JP2020123646A - エッチング方法、プラズマ処理装置、及び処理システム - Google Patents

エッチング方法、プラズマ処理装置、及び処理システム Download PDF

Info

Publication number
JP2020123646A
JP2020123646A JP2019014084A JP2019014084A JP2020123646A JP 2020123646 A JP2020123646 A JP 2020123646A JP 2019014084 A JP2019014084 A JP 2019014084A JP 2019014084 A JP2019014084 A JP 2019014084A JP 2020123646 A JP2020123646 A JP 2020123646A
Authority
JP
Japan
Prior art keywords
silicon
processing apparatus
gas
film
plasma processing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2019014084A
Other languages
English (en)
Other versions
JP7178918B2 (ja
Inventor
大亮 西出
Daisuke Nishide
大亮 西出
亨 久松
Toru Hisamatsu
亨 久松
慎也 石川
Shinya Ishikawa
慎也 石川
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2019014084A priority Critical patent/JP7178918B2/ja
Priority to CN202010045816.1A priority patent/CN111508831B/zh
Priority to TW109101624A priority patent/TWI833877B/zh
Priority to KR1020200007445A priority patent/KR20200094664A/ko
Priority to US16/775,960 priority patent/US11244804B2/en
Publication of JP2020123646A publication Critical patent/JP2020123646A/ja
Priority to US17/565,183 priority patent/US20220122802A1/en
Application granted granted Critical
Publication of JP7178918B2 publication Critical patent/JP7178918B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32899Multiple chambers, e.g. cluster tools
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/18Vacuum locks ; Means for obtaining or maintaining the desired pressure within the vessel
    • H01J37/185Means for transferring objects between different enclosures of different pressure or atmosphere
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/36Carbonitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/32Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

【課題】シリコン酸化層とは異なる層をマスク上に形成して、膜をエッチングすることを可能とするエッチング方法を提供する。【解決手段】一実施形態のエッチング方法は、基板上にシリコン含有層を形成する工程を含む。基板は、膜及びマスクを有する。シリコン含有層は、シリコンを含有する前駆体ガスを用いたプラズマ処理により形成される。シリコン含有層は、シリコン、炭素、及び窒素を含む。エッチング方法は、膜のプラズマエッチングを行う工程を更に含む。基板上にシリコン含有層を形成する工程の開始時点から膜のプラズマエッチングを行う工程の終了時点までの間、基板は、減圧された環境下に配置される。【選択図】図1

Description

本開示の例示的実施形態は、エッチング方法、プラズマ処理装置、及び処理システムに関するものである。
電子デバイスの製造においては、基板の膜にマスクのパターンを転写するためにプラズマエッチングが行われる。プラズマエッチングの実行前にマスクの開口の幅を狭くするために、基板上にシリコン酸化層が形成されることがある。基板の膜は、プラズマエッチングによってシリコン酸化層に対して選択的にエッチングされる。このような技術は、特許文献1に記載されている。
特開2016−76621号公報
シリコン酸化層とは異なる層をマスク上に形成して、膜をエッチングすることが求められている。
一つの例示的実施形態において、膜のエッチング方法が提供される。エッチング方法は、基板上にシリコン含有層を形成する工程を含む。基板は、膜及びマスクを有する。マスクは、膜上に設けられており、パターニングされている。シリコン含有層は、シリコンを含有する前駆体ガスを用いたプラズマ処理により形成される。シリコン含有層は、シリコン、炭素、及び窒素を含む。シリコン含有層の材料は、膜の材料とは異なる。エッチング方法は、膜のプラズマエッチングを行う工程を更に含む。基板上にシリコン含有層を形成する工程の開始時点から膜のプラズマエッチングを行う工程の終了時点までの間、基板は、減圧された環境下に配置される。
一つの例示的実施形態によれば、シリコン酸化層とは異なる層をマスク上に形成して、膜をエッチングすることが可能となる。
図1は、一つの例示的実施形態に係るエッチング方法の流れ図である。 図2の(a)はマスクの形成前の一状態における一例の基板の一部拡大断面図であり、図2の(b)はマスクの形成前の別の一状態における一例の基板の一部拡大断面図であり、図2の(c)は一例の基板の一部拡大断面図である。 図1に示すエッチング方法の実行に用いられ得る一例のプラズマ処理装置を概略的に示す図である。 図4の(a)は方法MTの工程ST1の実行後の状態における一例の基板の一部拡大断面図であり、図4の(b)はシリコン含有層のエッチバックが行われた後の状態における一例の基板の一部拡大断面図である。 図5の(a)は方法MTの工程ST2の実行後の状態における一例の基板の一部拡大断面図であり、図5の(b)は、マスクの除去後の状態における一例の基板の一部拡大断面図である。 図1に示すエッチング方法の工程ST1の一例のタイミングチャートである。 図1に示すエッチング方法の実行に用いられ得る一例の処理システムを概略的に示す図である。 図8の(a)は処理前のサンプルの画像であり、図8の(b)は比較実験の工程ST2の適用後のサンプルの画像であり、図8の(c)は実験の工程ST2の適用後のサンプルの画像である。 別の実験において作成したシリコン含有層のX線光電子分光法によるSi−2pスペクトルを示す図である。
以下、種々の例示的実施形態について説明する。
一つの例示的実施形態において、膜のエッチング方法が提供される。エッチング方法は、基板上にシリコン含有層を形成する工程を含む。基板は、膜及びマスクを有する。マスクは、膜上に設けられており、パターニングされている。シリコン含有層は、シリコンを含有する前駆体ガスを用いたプラズマ処理により形成される。シリコン含有層は、シリコン、炭素、及び窒素を含む。シリコン含有層の材料は、膜の材料とは異なる。エッチング方法は、膜のプラズマエッチングを行う工程を更に含む。基板上にシリコン含有層を形成する工程の開始時点から膜のプラズマエッチングを行う工程の終了時点までの間、基板は、減圧された環境下に配置される。
上記実施形態のエッチング方法では、マスク上に形成されるシリコン含有層は、シリコン、炭素、及び窒素を含む。したがって、シリコン酸化層とは異なる層をマスク上に形成して、膜をエッチングすることが可能となる。
一つの例示的実施形態において、前駆体ガスは、アミノシラン系ガスであってもよい。
一つの例示的実施形態において、シリコン含有層を形成する工程は、前駆体ガスと該前駆体ガスを希釈するガスを含む混合ガスを用いたプラズマ処理を含んでいてもよい。
一つの例示的実施形態において、シリコン含有層を形成する工程は、シーケンスを繰り返すことを含む。シーケンスは、第1の期間、該第1の期間に続く第2の期間、該第2の期間に続く第3の期間において、前駆体ガスを希釈するガスを基板に供給することを含む。シーケンスは、第1の期間及び第2の期間において、前駆体ガスを基板に供給することを含む。シーケンスは、第2の期間において前駆体ガス及び前駆体ガスを希釈するガスからプラズマを形成し、第3の期間において前駆体ガスを希釈するガスからプラズマを生成するために、第2の期間及び第3の期間において、高周波電力を供給することを含む。
一つの例示的実施形態において、シリコン含有層を形成する工程及び膜のプラズマエッチングを行う工程は、単一のプラズマ処理装置を用いて実行されてもよい。シリコン含有層を形成する工程の開始時点から膜のプラズマエッチングを行う工程の終了時点までの間、基板は、単一のプラズマ処理装置のチャンバ内に配置され得る。
一つの例示的実施形態において、シリコン含有層を形成する工程において用いられる第1のプラズマ処理装置が、膜のプラズマエッチングを行う工程において用いられる第2のプラズマ処理装置と真空搬送系を介して接続されていてもよい。シリコン含有層を形成する工程の実行中、基板は第1のプラズマ処理装置のチャンバ内に配置される。シリコン含有層を形成する工程の実行後、膜のプラズマエッチングを行う工程の実行前に、基板は、真空搬送系のみを介して第1のプラズマ処理装置から第2のプラズマ処理装置に搬送される。膜のプラズマエッチングを行う工程の実行中、基板は第2のプラズマ処理装置のチャンバ内に配置される。
一つの例示的実施形態において、シリコン含有層を形成する工程では、基板の温度は150℃以下の温度に設定され得る。この実施形態によれば、シリコン含有層の形成時の基板の温度と膜のエッチング時の基板の温度との差を減少させることが可能となる。
一つの例示的実施形態において、シリコン含有層は、シリコンと酸素の結合をその骨格に有していなくてもよい。一つの例示的実施形態において、膜は、シリコン酸化膜であってもよい。シリコン含有層が大気に晒されることなく行われるので、シリコン含有層の酸化が抑制される。膜がシリコン酸化膜である場合には、膜のプラズマエッチングの際のシリコン含有層のエッチングが抑制される。
別の例示的実施形態において、膜のエッチングに用いられるプラズマ処理装置が提供される。プラズマ処理装置は、チャンバ、ガス供給部、高周波電源、及び制御部を備える。ガス供給部は、チャンバに接続されている。高周波電源は、チャンバ内のガスからプラズマを形成するために高周波電力を供給するように構成されている。制御部は、ガス供給部及び高周波電源を制御するように構成されている。制御部は、シリコン含有層を基板上に形成するために、シリコンを含有する前駆体ガスをチャンバ内に供給するようガス供給部を制御し、高周波電力を供給するよう高周波電源を制御する。基板は、膜及びマスクを有する。マスクは、膜上に設けられており、パターニングされている。シリコン含有層は、シリコン、炭素、及び窒素を含み、膜の材料とは異なる材料から形成される。制御部は、膜のプラズマエッチングを行うために、処理ガスをチャンバ内に供給するようガス供給部を制御し、高周波電力を供給するよう高周波電源を制御する。
更に別の例示的実施形態において、膜のエッチングに用いられる処理システムが提供される。処理システムは、第1のプラズマ処理装置、第2のプラズマ処理装置、真空搬送系、及び制御部を備える。真空搬送系は、第1のプラズマ処理装置と第2のプラズマ処理装置との間で基板を搬送するように構成されている。制御部は、第1のプラズマ処理装置、第2のプラズマ処理装置、及び真空搬送系を制御するように構成されている。第1のプラズマ処理装置及び第2のプラズマ処理装置の各々は、チャンバ、ガス供給部、及び高周波電源を備える。ガス供給部は、チャンバに接続されている。高周波電源は、チャンバ内のガスからプラズマを形成するために高周波電力を供給するように構成されている。制御部は、シリコン含有層を基板上に形成するために、シリコンを含有する前駆体ガスを第1のプラズマ処理装置のチャンバ内に供給するよう第1のプラズマ処理装置のガス供給部を制御し、高周波電力を供給するよう第1のプラズマ処理装置の高周波電源を制御する。基板は、膜及びマスクを有する。マスクは、膜上に設けられており、パターニングされている。シリコン含有層は、シリコン、炭素、及び窒素を含み、膜の材料とは異なる材料から形成される。制御部は、膜のプラズマエッチングを行うために、処理ガスを第2のプラズマ処理装置のチャンバ内に供給するよう第2のプラズマ処理装置のガス供給部を制御し、高周波電力を供給するよう第2のプラズマ処理装置の高周波電源を制御する。
以下、図面を参照して種々の例示的実施形態について詳細に説明する。なお、各図面において同一又は相当の部分に対しては同一の符号を附すこととする。
図1は、一つの例示的実施形態に係るエッチング方法の流れ図である。図1に示すエッチング方法(以下、「方法MT」という)は、基板の膜のエッチングのために実行される。方法MTにおいてエッチングされる基板の膜は、後述するシリコン含有層の材料とは異なる材料から形成されている。方法MTにおいてエッチングされる基板の膜は、シリコン含有層に対して選択的にエッチングされ得る限り、任意の材料から形成され得る。方法MTにおいてエッチングされる基板の膜は、例えば、シリコン酸化膜、ポリシリコン膜、シリコン窒化膜、又はカーボン膜である。
図2の(c)は、一例の基板の一部拡大断面図である。図2の(c)に示す基板Wは、シリコン酸化膜OSF及びマスクMKを有する。基板Wは、下地領域URを更に有していてもよい。シリコン酸化膜OSFは、方法MTにおいてエッチングされる膜の一例である。シリコン酸化膜OSFは、下地領域UR上に設けられている。マスクMKは、シリコン酸化膜OSF上に設けられている。マスクMKは、パターニングされている。即ち、マスクMKは、一つ以上の開口を提供している。マスクMKの一つ以上の開口は、シリコン酸化膜OSFを露出させている。マスクMKは、シリコン酸化膜とは異なる材料から形成されていれば、一つ以上の任意の材料から形成され得る。また、マスクMKは、単一の膜又は多層膜から形成され得る。
一実施形態において、方法MTは、単一のプラズマ処理装置を用いて実行される。図3は、図1に示すエッチング方法の実行に用いられ得る一例のプラズマ処理装置を概略的に示す図である。図3に示すプラズマ処理装置1は、容量結合型のプラズマ処理装置である。プラズマ処理装置1は、チャンバ10を備えている。チャンバ10は、その中に内部空間10sを提供している。
チャンバ10は、チャンバ本体12を含んでいる。チャンバ本体12は、略円筒形状を有している。内部空間10sは、チャンバ本体12の内側に提供されている。チャンバ本体12は、アルミニウムといった導体から形成されている。チャンバ本体12は、接地されている。チャンバ本体12の内壁面には、耐腐食性を有する膜が施されている。耐腐食性を有する膜は、酸化アルミニウム、酸化イットリウムといったセラミックから形成された膜であり得る。
チャンバ本体12の側壁には、通路12pが形成されている。基板Wは、内部空間10sとチャンバ10の外部との間で搬送されるときに、通路12pを通過する。通路12pは、ゲートバルブ12gにより開閉可能となっている。ゲートバルブ12gは、チャンバ本体12の側壁に沿って設けられている。
チャンバ本体12の底部上には、支持部13が設けられている。支持部13は、絶縁材料から形成されている。支持部13は、略円筒形状を有している。支持部13は、内部空間10sの中で、チャンバ本体12の底部から上方に延在している。支持部13は、基板支持器14を支持している。基板支持器14は、チャンバ10内、即ち内部空間10sの中で、基板Wを支持するように構成されている。
基板支持器14は、下部電極18及び静電チャック20を有している。下部電極18及び静電チャック20は、チャンバ10内に設けられている。基板支持器14は、電極プレート16を更に有し得る。電極プレート16は、例えばアルミニウムといった導体から形成されており、略円盤形状を有している。下部電極18は、電極プレート16上に設けられている。下部電極18は、例えばアルミニウムといった導体から形成されており、略円盤形状を有している。下部電極18は、電極プレート16に電気的に接続されている。
静電チャック20は、下部電極18上に設けられている。静電チャック20の上面の上には、基板Wが載置される。静電チャック20は、本体及び電極を有する。静電チャック20の本体は、誘電体から形成されている。静電チャック20の電極は、膜状の電極であり、静電チャック20の本体内に設けられている。静電チャック20の電極は、スイッチ20sを介して直流電源20pに接続されている。静電チャック20の電極に直流電源20pからの電圧が印加されると、静電チャック20と基板Wとの間で静電引力が発生する。発生した静電引力により、基板Wは、静電チャック20に引き付けられ、静電チャック20によって保持される。
基板支持器14上には、エッジリングFRが配置される。エッジリングFRは、限定されるものではないが、シリコン、炭化シリコン、又は石英から形成され得る。チャンバ10内において基板Wの処理が行われるときには、基板Wは、静電チャック20上、且つ、エッジリングFRによって囲まれた領域内に、配置される。
下部電極18の内部には、流路18fが設けられている。流路18fには、チラーユニット22から配管22aを介して熱交換媒体(例えば冷媒)が供給される。チラーユニット22は、チャンバ10の外部に設けられている。流路18fに供給された熱交換媒体は、配管22bを介してチラーユニット22に戻される。プラズマ処理装置1では、静電チャック20上に載置された基板Wの温度が、熱交換媒体と下部電極18との熱交換により、調整される。
プラズマ処理装置1は、ガス供給ライン24を更に備え得る。ガス供給ライン24は、伝熱ガス(例えばHeガス)を、静電チャック20の上面と基板Wの裏面との間に供給する。伝熱ガスは、伝熱ガス供給機構からガス供給ライン24に供給される。
プラズマ処理装置1は、上部電極30を更に備えている。上部電極30は、基板支持器14の上方に設けられている。上部電極30は、部材32を介して、チャンバ本体12の上部に支持されている。部材32は、絶縁性を有する材料から形成されている。上部電極30と部材32は、チャンバ本体12の上部開口を閉じている。
上部電極30は、天板34及び支持体36を含み得る。天板34の下面は、内部空間10sの側の下面であり、内部空間10sを画成している。天板34は、シリコン含有材料から形成されている。天板34は、例えばシリコン又は炭化シリコンから形成されている。天板34には、複数のガス吐出孔34aが形成されている。複数のガス吐出孔34aは、天板34をその板厚方向に貫通している。
支持体36は、天板34を着脱自在に支持する。支持体36は、アルミニウムといった導電性材料から形成される。支持体36の内部には、ガス拡散室36aが設けられている。支持体36には、複数のガス孔36bが形成されている。複数のガス孔36bは、ガス拡散室36aから下方に延びている。複数のガス孔36bは、複数のガス吐出孔34aにそれぞれ連通している。支持体36には、ガス導入口36cが形成されている。ガス導入口36cは、ガス拡散室36aに接続している。ガス導入口36cには、ガス供給管38が接続されている。
ガス供給管38には、バルブ群41、流量制御器群42、及びバルブ群43を介して、ガスソース群40が接続されている。ガスソース群40、バルブ群41、流量制御器群42、及びバルブ群43は、ガス供給部GSを構成している。ガスソース群40は、複数のガスソースを含んでいる。ガスソース群40の複数のガスソースは、方法MTで利用される複数のガスのソースを含んでいる。バルブ群41及びバルブ群43の各々は、複数の開閉バルブを含んでいる。流量制御器群42は、複数の流量制御器を含んでいる。流量制御器群42の複数の流量制御器の各々は、マスフローコントローラ又は圧力制御式の流量制御器である。ガスソース群40の複数のガスソースの各々は、バルブ群41の対応の開閉バルブ、流量制御器群42の対応の流量制御器、及びバルブ群43の対応の開閉バルブを介して、ガス供給管38に接続されている。
プラズマ処理装置1では、チャンバ本体12の内壁面に沿って、シールド46が着脱自在に設けられている。シールド46は、支持部13の外周にも設けられている。シールド46は、チャンバ本体12にプラズマ処理の副生物が付着することを防止する。シールド46は、例えば、アルミニウムから形成された部材の表面に耐腐食性を有する膜を形成することにより構成される。耐腐食性を有する膜は、酸化イットリウムといったセラミックから形成された膜であり得る。
支持部13とチャンバ本体12の側壁との間には、バッフルプレート48が設けられている。バッフルプレート48は、例えば、アルミニウムから形成された部材の表面に耐腐食性を有する膜を形成することにより構成される。耐腐食性を有する膜は、酸化イットリウムといったセラミックから形成された膜であり得る。バッフルプレート48には、複数の貫通孔が形成されている。バッフルプレート48の下方、且つ、チャンバ本体12の底部には、排気口12eが設けられている。排気口12eには、排気管52を介して排気装置50が接続されている。排気装置50は、圧力調整弁及びターボ分子ポンプといった真空ポンプを有している。
プラズマ処理装置1は、第1の高周波電源62及び第2の高周波電源64を更に備えている。第1の高周波電源62は、第1の高周波電力を発生する電源である。第1の高周波電力は、一例では、プラズマの生成に適した周波数を有する。第1の高周波電力の周波数は、例えば27MHz〜100MHzの範囲内の周波数である。一例において、第1の高周波電力の周波数は60MHzであり得る。第1の高周波電源62は、整合器66及び電極プレート16を介して上部電極30に接続されている。整合器66は、第1の高周波電源62の出力インピーダンスと負荷側(上部電極30側)のインピーダンスを整合させるための回路を有している。なお、第1の高周波電源62は、整合器66を介して、下部電極18に接続されていてもよい。
第2の高周波電源64は、第2の高周波電力を発生する電源である。第2の高周波電力は、第1の高周波電力の周波数よりも低い周波数を有する。第2の高周波電力は、基板Wにイオンを引き込むためのバイアス用の高周波電力として用いられ得る。第2の高周波電力の周波数は、例えば400kHz〜40MHzの範囲内の周波数である。一例において、第2の高周波電力の周波数は40MHzであり得る。第2の高周波電源64は、整合器68及び電極プレート16を介して下部電極18に接続されている。整合器68は、第2の高周波電源64の出力インピーダンスと負荷側(下部電極18側)のインピーダンスを整合させるための回路を有している。なお、プラズマ処理装置1は、第1の高周波電源62及び第2の高周波電源64のうち何れか一方のみを備えていてもよい。
プラズマ処理装置1は、制御部MCを更に備えている。制御部MCは、プロセッサ、メモリといった記憶部、入力装置、表示装置、信号の入出力インターフェイス等を備えるコンピュータであり得る。制御部MCは、プラズマ処理装置1の各部を制御する。制御部MCでは、オペレータが、プラズマ処理装置1を管理するためにコマンドの入力操作等を入力装置を用いて行うことができる。また、制御部MCでは、表示装置により、プラズマ処理装置1の稼働状況を可視化して表示することができる。さらに、制御部MCの記憶部には、制御プログラム及びレシピデータが格納されている。制御プログラムは、プラズマ処理装置1で各種処理を実行するために、制御部MCのプロセッサによって実行される。制御部MCのプロセッサが、制御プログラムを実行し、レシピデータに従ってプラズマ処理装置1の各部を制御することにより、方法MTがプラズマ処理装置1で実行される。
再び図1を参照して、方法MTについて詳細に説明する。以下の説明では、プラズマ処理装置1を用いて基板Wに方法MTが適用される場合を例として、方法MTについて説明する。なお、方法MTは、例示する基板Wとは異なる基板に適用されてもよい。また、以下の説明では、制御部MCによるプラズマ処理装置1の各部の制御についても詳細に説明する。また、以下の説明では、図1に加えて、図2の(a)、図2の(b)、図2の(c)、図4の(a)、図4の(b)、図5の(a)、及び図5の(b)、を参照する。図2の(a)はマスクの形成前の一状態における一例の基板の一部拡大断面図であり、図2の(b)はマスクの形成前の別の一状態における一例の基板の一部拡大断面図であり、図2の(c)は一例の基板の一部拡大断面図である。図4の(a)は方法MTの工程ST1の実行後の状態における一例の基板の一部拡大断面図であり、図4の(b)はシリコン含有層のエッチバックが行われた後の状態における一例の基板の一部拡大断面図である。図5の(a)は方法MTの工程ST2の実行後の状態における一例の基板の一部拡大断面図であり、図5の(b)は、マスクの除去後の状態における一例の基板の一部拡大断面図である。
図1に示すように方法MTは、工程ST1及び工程ST2を含む。図2の(a)に示すように、基板Wは、工程ST1の実行前の状態において、有機膜OF、反射防止膜ARF、及びレジストマスクRMを更に有していてもよい。有機膜OFは、シリコン酸化膜OSF上に設けられている。反射防止膜ARFは、有機膜OF上に設けられている。反射防止膜ARFは、シリコンを含有し得る。レジストマスクRMは、反射防止膜ARF上に設けられている。レジストマスクRMは、パターニングされている。即ち、レジストマスクRMは、一つ以上の開口を提供している。レジストマスクRMは、例えばリソグラフィ技術を用いてパターニングされている。
方法MTは、マスクMKを形成する工程を更に含んでいてもよい。マスクMKを形成する工程は、工程ST1の実行前に行われる。図2の(a)に示す基板WからマスクMKを形成するために、マスクMKを形成する工程では、反射防止膜ARFのプラズマエッチングが行われる。反射防止膜ARFのプラズマエッチングの実行中には、基板Wが静電チャック20によって保持される。反射防止膜ARFのプラズマエッチングでは、チャンバ10内で処理ガスからプラズマが生成される。この処理ガスは、CFガスといったフルオロカーボンガスを含み得る。反射防止膜ARFは、プラズマから供給される化学種によりエッチングされる。反射防止膜ARFのプラズマエッチングの結果、図2の(b)に示すように、レジストマスクRMのパターンが反射防止膜ARFに転写される。
反射防止膜ARFのプラズマエッチングのために、制御部MCは、チャンバ10内に処理ガスを供給するよう、ガス供給部GSを制御する。反射防止膜ARFのプラズマエッチングのために、制御部MCは、チャンバ10内の圧力を指定された圧力に制御するよう、排気装置50を制御する。反射防止膜ARFのプラズマエッチングのために、制御部MCは、第1の高周波電源62及び/又は第2の高周波電源64を制御して、第1の高周波電力及び/又は第2の高周波電力を供給させる。
マスクMKを形成する工程では、次いで、図2の(b)に示す基板WからマスクMKを形成するために、有機膜OFのプラズマエッチングが行われる。有機膜OFのプラズマエッチングの実行中には、基板Wが静電チャック20によって保持される。有機膜OFのプラズマエッチングでは、チャンバ10内で処理ガスからプラズマが生成される。この処理ガスは、例えば水素ガスと窒素ガスの混合ガスである。この処理ガスは、酸素含有ガスであってもよい。有機膜OFは、プラズマから供給される化学種によりエッチングされる。有機膜OFのプラズマエッチングの結果、図2の(c)に示すように、反射防止膜ARFのパターンが有機膜OFに転写される。その結果、マスクMKが形成される。この例では、マスクMKは、レジストマスクRM、反射防止膜ARF、及び有機膜OFを含む。
有機膜OFのプラズマエッチングのために、制御部MCは、チャンバ10内に処理ガスを供給するよう、ガス供給部GSを制御する。有機膜OFのプラズマエッチングのために、制御部MCは、チャンバ10内の圧力を指定された圧力に制御するよう、排気装置50を制御する。有機膜OFのプラズマエッチングのために、制御部MCは、第1の高周波電源62及び/又は第2の高周波電源64を制御して、第1の高周波電力及び/又は第2の高周波電力を供給させる。
方法MTでは、マスクMKを有する基板W上に図4の(a)に示すようにシリコン含有層SCFを形成するために、工程ST1が実行される。シリコン含有層SCFは、シリコンを含有する前駆体ガスを用いたプラズマ処理により形成される。シリコン含有層SCFは、シリコン、炭素、及び窒素を含む。シリコン含有層SFCは、工程ST2においてエッチングされる膜(一例ではシリコン酸化膜OSF)の材料とは異なる材料から形成されている。一実施形態において、シリコン含有層SCFは、シリコンと酸素の結合を実質的にその骨格に有していなくてもよい。シリコン含有層SCFは、炭窒化シリコン膜(SiCN膜)であり得る。一実施形態において、前駆体ガスは、アミノシラン系ガスを含む。一実施形態において、シリコン含有層SCFは、前駆体ガスと前駆体ガスを希釈するガス(以下、「希釈ガス」という)の混合ガスを用いたプラズマ処理により、形成されてもよい。希釈ガスは、酸素含有ガス、水素含有ガス、希ガス等であり得る。水素含有ガスは、水素ガス(Hガス)及び/又はCHガスといった炭化水素ガスを含み得る。希釈ガスは、前駆体ガスを酸化させるガスであってもよく、或いは、前駆体ガスを還元するガスであってもよい。工程ST1で用いられる混合ガスは、アルゴンガスといった希ガスを更に含んでいてもよい。
一実施形態において、工程ST1では、前駆体ガスの過剰な解離を抑制するために、第1の高周波電力のパワーレベル及び/又は第2の高周波電力のパワーレベルが、比較的低いパワーレベルに設定される。一実施形態において、工程ST1では、プラズマの生成のために、第1の高周波電力及び第2の高周波電力のうち第2の高周波電力のみが供給される。一実施形態において、工程ST1では、前駆体ガスの過剰な解離を抑制するために、チャンバ10内の圧力が、比較的高い圧力に設定されてもよい。
別の実施形態において、前駆体ガスは、SiClガスといったハロゲン化ケイ素ガスであってもよい。この実施形態では、前駆体ガスに加えて窒素含有ガス及び炭素含有ガスを更に含む混合ガスを用いたプラズマ処理により、シリコン含有層SCFが形成される。窒素含有ガスは、例えばNHガスである。炭素含有ガスは、CHガスといった炭化水素ガスであり得る。
工程ST1の実行のために、制御部MCは、上述の前駆体ガス又は混合ガスをチャンバ10内に供給するよう、ガス供給部GSを制御する。工程ST1の実行のために、制御部MCは、チャンバ10内の圧力を指定された圧力に制御するよう、排気装置50を制御する。工程ST1の実行のために、制御部MCは、第1の高周波電源62及び/又は第2の高周波電源64を制御して、第1の高周波電力及び/又は第2の高周波電力を供給させる。
以下、図6を参照する。図6は、図1に示すエッチング方法の工程ST1の一例のタイミングチャートである。図6において、横軸は時間を示している。図6において、縦軸は、工程ST1で用いられるその他のガスの流量、即ち上述した混合ガス中の前駆体ガス以外のガスの流量、前駆体ガスの流量、及び高周波電力を示している。図6に示すように、一実施形態の工程ST1は、シーケンスSQを繰り返すことを含んでいてもよい。
シーケンスSQは、その他のガスを基板Wに供給することを含む。その他のガスは、前駆体ガスがアミノシラン系ガスである場合には、上述の希釈ガスを含む。その他のガスは、前駆体ガスがアミノシラン系ガスである場合には、上述した希ガスを更に含み得る。その他のガスは、前駆体ガスがハロゲン化ケイ素ガスである場合には、上述した窒素含有ガス及び炭素含有ガスを含む。その他のガスは、第1の期間P1、第2の期間P2、及び第3の期間P3において、基板Wに供給される。第2の期間P2は、第1の期間P1に続く期間である。第3の期間P3は、第2の期間P2に続く期間である。
シーケンスSQは、第1の期間P1及び第2の期間P2において、上述した前駆体ガスを基板Wに供給することを更に含む。シーケンスSQは、第2の期間P2及び第3の期間P3において第1の高周波電力及び/又は第2の高周波電力を供給することを含む。第2の期間P2においては、チャンバ10内で混合ガスからプラズマが形成される。第3の期間P3においては、チャンバ10内で、その他のガスからプラズマが形成される。かかるシーケンスSQの繰り返しによれば、基板Wの表面に対してシリコン含有層SCFを形成することが可能となる。また、シーケンスSQの繰り返し回数の設定により、シリコン含有層SCFの膜厚を調整することが可能となる。
なお、シーケンスSQ内の第2の期間P2においては、第1の高周波電力及び第2の高周波電力が供給されなくてもよい。即ち、シーケンスSQ内の第2の期間P2においては、チャンバ10内でプラズマが生成されなくてもよい。この場合には、第2の期間P2において、前駆体ガスが基板Wに吸着する。或いは、シーケンスSQ内の第1の期間P1〜第3の期間P3において、チャンバ10内でプラズマが生成されてもよい。シーケンスSQ内でプラズマが生成される期間を調整することにより、基板W上に形成されるシリコン含有層SCFの膜厚が調整され得る。また、第1の期間P1及び/又は第2の期間P2におけるチャンバ10内のガスの圧力及び/又は第2の高周波電力のパワーレベルを調整することにより、シリコン含有層SCFが形成される箇所を調整することが可能となる。例えば、第1の期間P1及び/又は第2の期間P2におけるチャンバ10内のガスの圧力を比較的高い圧力に設定することにより、シリコン含有層SCFを等方的に成膜することが可能となる。また、第1の期間P1及び/又は第2の期間P2において、チャンバ10内のガスの圧力が比較的低い圧力に設定され、第2の高周波電力のパワーレベルが比較的高いレベルに設定されてもよい。この場合には、シリコン含有層SCFは、マスクMKの上面とマスクMKの開口を画成する底面に優先的に形成され易くなる。
方法MTでは、次いで、図4の(b)に示すように、シリコン含有層SCFのエッチバックが行われてもよい。具体的には、マスクMKの上面の上及びシリコン酸化膜OSFの表面上で延在していたシリコン含有層SCFの部分領域が除去され、マスクMKの側壁に沿って延在しているシリコン含有層SCFの別の部分領域が残される。
シリコン含有層SCFのエッチバックの実行中には、基板Wが静電チャック20によって保持される。シリコン含有層SCFのエッチバックでは、チャンバ10内で処理ガスからプラズマが生成される。この処理ガスは、例えばCFガスといったフルオロカーボンガスを含む。シリコン含有層SCFの部分領域は、プラズマから供給される化学種によりエッチングされる。
シリコン含有層SCFのエッチバックのために、制御部MCは、チャンバ10内に処理ガスを供給するよう、ガス供給部GSを制御する。シリコン含有層SCFのエッチバックのために、制御部MCは、チャンバ10内の圧力を指定された圧力に制御するよう、排気装置50を制御する。シリコン含有層SCFのエッチバックは、異方性エッチングである。したがって、シリコン含有層SCFのエッチバックのために、制御部MCは、第1の高周波電源62及び第2の高周波電源64を制御して、第1の高周波電力及び第2の高周波電力を供給させる。
方法MTでは、次いで、工程ST2が実行される。工程ST2では、基板の膜のプラズマエッチングが行われる。工程ST2の実行中には、基板は静電チャック20によって保持される。工程ST2では、チャンバ10内で処理ガスからプラズマが形成される。工程ST2で用いられる処理ガスは、シリコン含有層SCFに対して膜を選択的にエッチングすることが可能な任意のガスであり得る。工程ST2では、基板の膜はプラズマから供給される化学種によりエッチングされる。
一例の工程ST2では、基板Wのシリコン酸化膜OSFのプラズマエッチングが行われる。工程ST2の実行中には、基板Wは静電チャック20によって保持される。工程ST2では、チャンバ10内で処理ガスからプラズマが生成される。この処理ガスは、Cガスといったフルオロカーボンガスを含む。この処理ガスは、酸素ガス(Oガス)及びアルゴンガスといった希ガスを更に含み得る。工程ST2では、シリコン酸化膜OSFは、プラズマから供給される化学種によりエッチングされる。シリコン酸化膜OSFのプラズマエッチングの結果、図5の(a)に示すように、マスクMKのパターンが、シリコン酸化膜OSFに転写される。なお、工程ST2の実行中には、レジストマスクRM及び反射防止膜ARFもエッチングされ得る。
工程ST2の実行のために、制御部MCは、チャンバ10内に処理ガスを供給するよう、ガス供給部GSを制御する。工程ST2の実行のために、制御部MCは、チャンバ10内の圧力を指定された圧力に制御するよう、排気装置50を制御する。工程ST2の実行のために、制御部MCは、第1の高周波電源62及び第2の高周波電源64を制御して、第1の高周波電力及び第2の高周波電力を供給させる。
方法MTは、マスクMKを除去する工程を更に含んでいてもよい。マスクMK、即ち、有機膜OFは、Oガスといった酸素含有ガスを用いたプラズマ処理により除去される。その結果、図5の(b)に示す基板Wが作成される。
マスクMKの除去のために、制御部MCは、チャンバ10内に酸素含有ガスを供給するよう、ガス供給部GSを制御する。マスクMKの除去のために、制御部MCは、チャンバ10内の圧力を指定された圧力に制御するよう、排気装置50を制御する。マスクMKの除去のために、制御部MCは、第1の高周波電源62及び/又は第2の高周波電源64を制御して、第1の高周波電力及び/又は第2の高周波電力を供給させる。
方法MTでは、マスク上に形成されるシリコン含有層SCFは、シリコン、炭素、及び窒素を含む。したがって、シリコン酸化層とは異なる層をマスク上に形成して、膜をエッチングすることが可能となる。
方法MTでは、少なくとも工程ST1の開始時点から工程ST2の終了時点までの間、基板Wは、減圧された環境下に配置される。即ち、方法MTでは、少なくとも工程ST1の開始時点から工程ST2の終了時点までの間、基板Wは大気に晒されない。換言すると、少なくとも工程ST1の開始時点から工程ST2の終了時点までの間、基板Wが配置されている環境において真空を破らずに、基板Wが処理される。一実施形態では、少なくとも工程ST1の開始時点から工程ST2の終了時点までの間、基板Wは、単一のプラズマ処理装置1のチャンバ10内に配置される。
一実施形態において、マスクMK上に形成されるシリコン含有層SCFは、シリコンと酸素の結合をその骨格に実質的に有していない。また、シリコン含有層SCFが大気に晒されることなく、シリコン酸化膜OSFのエッチングが行われる。したがって、シリコン含有層SCFの酸化が抑制される。故に、シリコン酸化膜OSFのプラズマエッチングの際のシリコン含有層SCFのエッチングが抑制される。
一実施形態の工程ST1では、基板Wの温度は150℃以下の温度に設定されてもよい。工程ST2の実行時には、基板Wの温度は、工程ST1の実行時の基板Wの温度よりも低い温度に設定され得る。一般的なシリコン含有層の形成時の基板の温度は400℃といった相当に高い温度に設定されるが、この実施形態ではシリコン含有層SCFの形成時の基板Wの温度が低い。したがって、この実施形態によれば、シリコン含有層SCFの形成時の基板Wの温度と膜(一例では、シリコン酸化膜OSF)のエッチング時の基板Wの温度との差を減少させることが可能となる。したがって、工程ST1から工程ST2に移行するまでの時間が短縮され得る。
以下、図7を参照する。図7は、図1に示すエッチング方法の実行に用いられ得る一例の処理システムを概略的に示す図である。少なくとも工程ST1の開始時点から工程ST2の終了時点までの間、基板Wが減圧された環境下に配置される限りにおいて、工程ST1と工程ST2は異なるプラズマ処理装置を用いて実行されてもよい。図7に示す処理システムは、この場合において方法MTの実行のために利用され得る。
図7に示す処理システムPSは、台2a〜2d、容器4a〜4d、ローダモジュールLM、アライナAN、ロードロックモジュールLL1,LL2、プロセスモジュールPM1〜PM6、搬送モジュールTF、及び、制御部MCを備えている。なお、処理システムPSにおける台の個数、容器の個数、ロードロックモジュールの個数は二つ以上の任意の個数であり得る。また、プロセスモジュールの個数は、二以上の任意の個数であり得る。
台2a〜2dは、ローダモジュールLMの一縁に沿って配列されている。容器4a〜4dはそれぞれ、台2a〜2d上に搭載されている。容器4a〜4dの各々は、例えば、FOUP(Front Opening Unified Pod)と称される容器である。容器4a〜4dの各々は、その内部に基板Wを収容するように構成されている。
ローダモジュールLMは、チャンバを有する。ローダモジュールLMのチャンバ内の圧力は、大気圧に設定される。ローダモジュールLMのチャンバ内には、搬送装置TU1が設けられている。搬送装置TU1は、例えば、多関節ロボットであり、制御部MCによって制御される。搬送装置TU1は、容器4a〜4dの各々とアライナANとの間、アライナANとロードロックモジュールLL1〜LL2の各々との間、ロードロックモジュールLL1〜LL2の各々と容器4a〜4dの各々との間で基板Wを搬送するように構成されている。アライナANは、ローダモジュールLMに接続されている。アライナANは、基板Wの位置の調整(位置の較正)を行うように構成されている。
ロードロックモジュールLL1及びロードロックモジュールLL2の各々は、ローダモジュールLMと搬送モジュールTFとの間に設けられている。ロードロックモジュールLL1及びロードロックモジュールLL2の各々は、予備減圧室を提供している。
搬送モジュールTFは、ロードロックモジュールLL1及びロードロックモジュールLL2にゲートバルブを介して接続されている。搬送モジュールTFは、減圧可能な搬送チャンバTCを有している。搬送チャンバTC内には、搬送装置TU2が設けられている。搬送装置TU2は、例えば、多関節ロボットであり、制御部MCによって制御される。搬送装置TU2は、ロードロックモジュールLL1〜LL2の各々とプロセスモジュールPM1〜PM6の各々との間、及び、プロセスモジュールPM1〜PM6のうち任意の二つのプロセスモジュールの間において、基板Wを搬送するように構成されている。
プロセスモジュールPM1〜PM6の各々は、専用の基板処理を行うよう構成された処理装置である。プロセスモジュールPM1〜PM6のうち一つのプロセスモジュールは、第1のプラズマ処理装置1aである。プロセスモジュールPM1〜PM6のうち別の一つのプロセスモジュールは、第2のプラズマ処理装置1bである。図7に示す例では、プロセスモジュールPM1が第1のプラズマ処理装置1aであり、プロセスモジュールPM2が第2のプラズマ処理装置1bである。一実施形態において、第1のプラズマ処理装置1a及び第2のプラズマ処理装置1bの各々は、プラズマ処理装置1と同じプラズマ処理装置であり得る。
上述の搬送モジュールTFは、真空搬送系を構成している。搬送モジュールTFは、第1のプラズマ処理装置1aと第2のプラズマ処理装置1bとの間で基板を搬送するように構成されている。
制御部MCは、処理システムPSでは、当該処理システムPSの各部、例えば、第1のプラズマ処理装置1a、第2のプラズマ処理装置1b、搬送モジュールTFを制御するように構成されている。
工程ST1の実行のための第1のプラズマ処理装置1aの各部の制御部MCによる制御は、上述した工程ST1の実行のためのプラズマ処理装置1の各部の制御部MCによる制御と同様である。具体的には、制御部MCは、工程ST1の実行のために、上述の前駆体ガス又は混合ガスを第1のプラズマ処理装置1aのチャンバ10内に供給するよう、第1のプラズマ処理装置1aのガス供給部GSを制御する。また、工程ST1の実行のために、制御部MCは、第1のプラズマ処理装置1aのチャンバ10内の圧力を指定された圧力に制御するよう、第1のプラズマ処理装置1aの排気装置50を制御する。また、制御部MCは、工程ST1の実行のために、第1のプラズマ処理装置1aの第1の高周波電源62及び/又は第1のプラズマ処理装置1aの第2の高周波電源64を制御して、第1の高周波電力及び/又は第2の高周波電力を供給させる。
制御部MCは、工程ST1の実行後、工程ST2の実行前に、基板Wを搬送モジュールTFの減圧されたチャンバを介して第1のプラズマ処理装置1aのチャンバ10の内部空間10sから第2のプラズマ処理装置1bのチャンバ10の内部空間10sに搬送する。この搬送のために、制御部MCは、搬送モジュールTFを制御する。方法MTにおいて処理システムPSが用いられる場合にも、少なくとも工程ST1の開始時点から工程ST2の終了時点までの間、基板Wは大気に晒されない。換言すると、少なくとも工程ST1の開始時点から工程ST2の終了時点までの間、基板Wが配置されている環境において真空を破らずに、基板Wが処理される。
工程ST2の実行のための第2のプラズマ処理装置1bの各部の制御部MCによる制御は、上述した工程ST2の実行のためのプラズマ処理装置1の各部の制御部MCによる制御と同様である。具体的には、制御部MCは、工程ST2の実行のために、第2のプラズマ処理装置1bのチャンバ10内に処理ガスを供給するよう、第2のプラズマ処理装置1bのガス供給部GSを制御する。また、制御部MCは、工程ST2の実行のために、第2のプラズマ処理装置1bのチャンバ10内の圧力を指定された圧力に制御するよう、第2のプラズマ処理装置1bの排気装置50を制御する。また、制御部MCは、工程ST2の実行のために、第2のプラズマ処理装置1bの第1の高周波電源62及び第2のプラズマ処理装置1bの第2の高周波電源64を制御して、第1の高周波電力及び第2の高周波電力を供給させる。
なお、反射防止膜ARFのプラズマエッチングのために、第1のプラズマ処理装置1a及び第2のプラズマ処理装置1bのうち一方の各部が、プラズマ処理装置1の各部と同様に制御されてもよい。また、有機膜OFのプラズマエッチングのために、第1のプラズマ処理装置1a及び第2のプラズマ処理装置1bのうち一方の各部が、プラズマ処理装置1の各部と同様に制御されてもよい。また、シリコン含有層SCFのエッチバックのために、第1のプラズマ処理装置1a及び第2のプラズマ処理装置1bのうち一方の各部が、プラズマ処理装置1の各部と同様に制御されてもよい。また、マスクMKの除去のために、第1のプラズマ処理装置1a及び第2のプラズマ処理装置1bのうち一方の各部が、プラズマ処理装置1の各部と同様に制御されてもよい。反射防止膜ARFのプラズマエッチング及び有機膜OFのプラズマエッチングは、第1のプラズマ処理装置1a及び第2のプラズマ処理装置1bとは別のプラズマ処理装置を用いて実行されてもよい。また、シリコン含有層SCFのエッチバック及び反射防止膜ARFのプラズマエッチングは、第1のプラズマ処理装置1a及び第2のプラズマ処理装置1bとは別のプラズマ処理装置を用いて実行されてもよい。
以上、種々の例示的実施形態について説明してきたが、上述した例示的実施形態に限定されることなく、様々な省略、置換、及び変更がなされてもよい。また、異なる実施形態における要素を組み合わせて他の実施形態を形成することが可能である。
例えば、方法MTの実行において用いられる一つ以上のプラズマ処理装置の各々は、任意のタイプのプラズマ処理装置であってもよい。そのようなプラズマ処理装置は、誘導結合型のプラズマ処理装置又はプラズマの生成のためにマイクロ波といった表面波を用いるプラズマ処理装置であってもよい。また、第1のプラズマ処理装置1a及び第2のプラズマ処理装置1bは、互いに異なるタイプのプラズマ処理装置であってもよい。
以下、方法MTの評価のために行った実験について説明する。実験においては、シリコン膜上にシリコン酸化膜から形成されたライン・アンド・スペースパターンを有するサンプルを準備した。サンプルの直径は300mmであった。そして、処理システムPSを用いて当該サンプルに工程ST1及び工程ST2を適用した。実験では、工程ST1を第1のプラズマ処理装置1aを用いて行い、工程ST2を第2のプラズマ処理装置1bを用いて行った。実験では、工程ST1の開始時点から工程ST2の終了時点まで、サンプルを大気に晒さなかった。即ち、実験では、工程ST1から工程ST2に移行する際に、搬送モジュールTFの減圧されたチャンバ内の空間のみを介して第1のプラズマ処理装置1aから第2のプラズマ処理装置1bに当該サンプルを搬送した。
また、比較のために比較実験を行った。比較実験では、上述のサンプルと同じサンプルを準備し、第1のプラズマ処理装置1aを用いて当該サンプルに工程ST1を適用した後に、当該サンプルを大気に晒し、しかる後に、第2のプラズマ処理装置1bを用いて当該サンプルに工程ST2を適用した。
以下、実験及び比較実験の各々における工程ST1及び工程ST2の条件を示す。
<工程ST1の条件>
・アミノシラン系ガス:20sccm
・Hガス:400sccm
・アルゴンガス:800sccm
・チャンバ10内の圧力:700mTorr(93.33Pa)
・第1の高周波電力:0W
・第2の高周波電力:40MHz、30W
・サンプルの温度:120℃
<工程ST2の条件>
・Cガス:2.7sccm
・Oガス:2.5sccm
・アルゴンガス:1000sccm
・チャンバ10内の圧力:30mTorr(4Pa)
・第1の高周波電力:40MHz、350W
・第2の高周波電力:13MHz、0W
・サンプルの温度:60℃
実験及び比較実験では、工程ST2の実行後のサンプルの画像(SEM画像)を取得した。図8の(a)は処理前のサンプルの画像であり、図8の(b)は比較実験の工程ST2の適用後のサンプルの画像であり、図8の(c)は実験の工程ST2の適用後のサンプルの画像である。図8の(a)と図8の(b)を比較すれば明らかなように、比較実験では、工程ST2の実行によりシリコン酸化膜のラインの膜厚が大きく減少していた。これは、工程ST1の実行後、工程ST2の実行前にサンプルが大気に晒されたので、工程ST1で形成したシリコン含有層が酸化したことが原因である。一方、図8の(a)と図8の(c)を比較すれば明らかなように、実験では、シリコン酸化膜のラインの膜厚は殆ど減少していなかった。これは、工程ST1で形成したシリコン含有層が、工程ST2の実行前に酸化しておらず、工程ST2の実行中にシリコン酸化膜のラインを保護したからである。
また、別の実験において、プラズマ処理装置1を用いて、酸化シリコン製のウエハ上にシリコン含有層を形成した。このシリコン含有層は前述のMTにおけるST1で形成された膜の一例である。シリコン含有層の形成条件を以下に示す。
<シリコン含有層の形成条件>
・アミノシラン系ガス:100sccm
・Hガス:400sccm
・アルゴンガス:500sccm
・チャンバ10内の圧力:500mTorr(87.5Pa)
・第1の高周波電力:60MHz、300W
・第2の高周波電力:0W
・ウエハの温度:80℃
そして、形成したシリコン含有層のSi−2pスペクトルを、大気中でX線光電子分光法を用いて取得した。図9は、別の実験において作成したシリコン含有層のX線光電子分光法によるSi−2pスペクトルを示す図である。また、図9に、シリコン含有層のSi−2pスペクトルに加えて、酸化シリコン(SiO)、窒化シリコン(SiN)、炭化シリコン(SiC)、及び多結晶シリコンの各々のSi−2pスペクトルを示す。図9に示すように、作成したシリコン含有層は、酸化シリコンのスペクトルと窒化シリコンのスペクトルとの間にスペクトルを有していた。また、作成したシリコン含有層は、酸化シリコン、窒化シリコン、炭化シリコン、多結晶シリコンの各々のスペクトルとは異なるスペクトルを有していた。したがって、上述した形成条件により、酸化シリコン、窒化シリコン、炭化シリコン、多結晶シリコンとは異なる材料から形成されたシリコン含有層を形成することが可能であることが確認された。
以上の説明から、本開示の種々の実施形態は、説明の目的で本明細書で説明されており、本開示の範囲及び主旨から逸脱することなく種々の変更をなし得ることが、理解されるであろう。したがって、本明細書に開示した種々の実施形態は限定することを意図しておらず、真の範囲と主旨は、添付の特許請求の範囲によって示される。
1…プラズマ処理装置、10…チャンバ、GS…ガス供給部、62…第1の高周波電源、64…第2の高周波電源、MC…制御部、MT…方法、W…基板。

Claims (11)

  1. 膜のエッチング方法であって、
    基板上にシリコン含有層を形成する工程であり、該基板は、前記膜及び該膜上に設けられたパターニングされたマスクを有する、該工程と、
    前記膜のプラズマエッチングを行う工程と、
    を含み、
    前記シリコン含有層は、シリコンを含有する前駆体ガスを用いたプラズマ処理により形成され、シリコン、炭素、及び窒素を含み、該シリコン含有層の材料は、前記膜の材料とは異なり、
    基板上にシリコン含有層を形成する前記工程の開始時点から前記膜のプラズマエッチングを行う前記工程の終了時点までの間、前記基板は、減圧された環境下に配置される、
    エッチング方法。
  2. 前記前駆体ガスは、アミノシラン系ガスである、請求項1に記載のエッチング方法。
  3. シリコン含有層を形成する前記工程においては、前記前駆体ガスと前記前駆体ガスを希釈するガスを含む混合ガスを用いた前記プラズマ処理が行われる、請求項1又は2に記載のエッチング方法。
  4. シリコン含有層を形成する前記工程は、
    第1の期間、該第1の期間に続く第2の期間、該第2の期間に続く第3の期間において、前記前駆体ガスを希釈するガス前記基板に供給し、
    前記第1の期間及び前記第2の期間において、前記前駆体ガスを前記基板に供給し、
    前記第2の期間において前記前駆体ガス及び前記前駆体ガスを希釈する前記ガスからプラズマを形成し、前記第3の期間において前記前駆体ガスを希釈する前記ガスからプラズマを生成するために、前記第2の期間及び前記第3の期間において、高周波電力を供給する、
    ことを含むシーケンスを繰り返すことを含む、請求項1又は2に記載のエッチング方法。
  5. シリコン含有層を形成する前記工程及び前記膜のプラズマエッチングを行う前記工程は、単一のプラズマ処理装置を用いて実行され、
    シリコン含有層を形成する前記工程の開始時点から前記膜のプラズマエッチングを行う前記工程の終了時点までの間、前記基板は、前記単一のプラズマ処理装置のチャンバ内に配置される、
    請求項1〜4の何れか一項に記載のエッチング方法。
  6. シリコン含有層を形成する前記工程において用いられる第1のプラズマ処理装置が、前記膜のプラズマエッチングを行う前記工程において用いられる第2のプラズマ処理装置と真空搬送系を介して接続されており、
    シリコン含有層を形成する前記工程の実行中、前記基板は前記第1のプラズマ処理装置のチャンバ内に配置され、
    シリコン含有層を形成する前記工程の実行後、前記膜のプラズマエッチングを行う前記工程の実行前に、前記基板は、前記真空搬送系のみを介して前記第1のプラズマ処理装置から前記第2のプラズマ処理装置に搬送され、
    前記膜のプラズマエッチングを行う前記工程の実行中、前記基板は前記第2のプラズマ処理装置のチャンバ内に配置される、
    請求項1〜4の何れか一項に記載のエッチング方法。
  7. シリコン含有層を形成する前記工程において、前記基板の温度は150℃以下の温度に設定される、請求項1〜6の何れか一項に記載のエッチング方法。
  8. 前記シリコン含有層は、シリコンと酸素の結合をその骨格に有していない、請求項1〜7の何れか一項に記載のエッチング方法。
  9. 前記膜は、シリコン酸化膜である、請求項1〜8の何れか一項に記載のエッチング方法。
  10. 膜のエッチングに用いられるプラズマ処理装置であって、
    チャンバと、
    前記チャンバに接続されたガス供給部と、
    前記チャンバ内のガスからプラズマを形成するために高周波電力を供給するように構成された高周波電源と、
    前記ガス供給部及び前記高周波電源を制御するように構成された制御部と、
    を備え、
    前記制御部は、
    シリコン、炭素、及び窒素を含み、前記膜の材料とは異なる材料から形成されるシリコン含有層を、前記膜及び該膜上に設けられたパターニングされたマスクを有する基板上に形成するために、シリコンを含有する前駆体ガスを前記チャンバ内に供給するよう前記ガス供給部を制御し、前記高周波電力を供給するよう前記高周波電源を制御し、
    前記膜のプラズマエッチングを行うために、処理ガスを前記チャンバ内に供給するよう前記ガス供給部を制御し、前記高周波電力を供給するよう前記高周波電源を制御する、
    プラズマ処理装置。
  11. 膜のエッチングに用いられる処理システムであって、
    第1のプラズマ処理装置と、
    第2のプラズマ処理装置と、
    前記第1のプラズマ処理装置と前記第2のプラズマ処理装置との間で基板を搬送する真空搬送系と、
    前記第1のプラズマ処理装置、前記第2のプラズマ処理装置、及び前記真空搬送系を制御するように構成された制御部と、
    を備え、
    前記第1のプラズマ処理装置及び前記第2のプラズマ処理装置の各々は、
    チャンバと、
    前記チャンバに接続されたガス供給部と、
    前記チャンバ内のガスからプラズマを形成するために高周波電力を供給するように構成された高周波電源と、
    有し、
    前記制御部は、
    シリコン、炭素、及び窒素を含み、前記膜の材料とは異なる材料から形成されるシリコン含有層を、前記膜及び該膜上に設けられたパターニングされたマスクを有する基板上に形成するために、シリコンを含有する前駆体ガスを前記第1のプラズマ処理装置の前記チャンバ内に供給するよう前記第1のプラズマ処理装置の前記ガス供給部を制御し、前記高周波電力を供給するよう前記第1のプラズマ処理装置の前記高周波電源を制御し、
    前記基板を前記第1のプラズマ処理装置から前記第2のプラズマ処理装置に搬送するよう、前記真空搬送系を制御し、
    前記膜のプラズマエッチングを行うために、処理ガスを前記第2のプラズマ処理装置の前記チャンバ内に供給するよう前記第2のプラズマ処理装置の前記ガス供給部を制御し、前記高周波電力を供給するよう前記第2のプラズマ処理装置の前記高周波電源を制御する、
    処理システム。
JP2019014084A 2019-01-30 2019-01-30 エッチング方法、プラズマ処理装置、及び処理システム Active JP7178918B2 (ja)

Priority Applications (6)

Application Number Priority Date Filing Date Title
JP2019014084A JP7178918B2 (ja) 2019-01-30 2019-01-30 エッチング方法、プラズマ処理装置、及び処理システム
CN202010045816.1A CN111508831B (zh) 2019-01-30 2020-01-16 蚀刻方法、等离子体处理装置和处理系统
TW109101624A TWI833877B (zh) 2019-01-30 2020-01-17 蝕刻方法、電漿處理裝置及處理系統
KR1020200007445A KR20200094664A (ko) 2019-01-30 2020-01-20 에칭 방법, 플라즈마 처리 장치, 및 처리 시스템
US16/775,960 US11244804B2 (en) 2019-01-30 2020-01-29 Etching method, plasma processing apparatus, and processing system
US17/565,183 US20220122802A1 (en) 2019-01-30 2021-12-29 Etching method, plasma processing apparatus, and processing system

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2019014084A JP7178918B2 (ja) 2019-01-30 2019-01-30 エッチング方法、プラズマ処理装置、及び処理システム

Publications (2)

Publication Number Publication Date
JP2020123646A true JP2020123646A (ja) 2020-08-13
JP7178918B2 JP7178918B2 (ja) 2022-11-28

Family

ID=71732677

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2019014084A Active JP7178918B2 (ja) 2019-01-30 2019-01-30 エッチング方法、プラズマ処理装置、及び処理システム

Country Status (4)

Country Link
US (2) US11244804B2 (ja)
JP (1) JP7178918B2 (ja)
KR (1) KR20200094664A (ja)
CN (1) CN111508831B (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2023112320A1 (ja) * 2021-12-17 2023-06-22 株式会社日立ハイテク 成膜方法およびプラズマ処理方法

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009267111A (ja) * 2008-04-25 2009-11-12 Tokyo Electron Ltd 半導体デバイスの製造方法、製造装置、コンピュータプログラム、及びコンピュータ可読記憶媒体
WO2009150870A1 (ja) * 2008-06-13 2009-12-17 東京エレクトロン株式会社 半導体装置の製造方法
JP2011521452A (ja) * 2008-05-13 2011-07-21 アプライド マテリアルズ インコーポレイテッド コンフォーマルpecvd膜を使用するクリティカルディメンジョンシュリンクのための方法
JP2012169408A (ja) * 2011-02-14 2012-09-06 Taiyo Nippon Sanso Corp マスク用材料、マスクの形成方法、パターン形成方法、及びエッチング保護膜
JP2016207915A (ja) * 2015-04-27 2016-12-08 東京エレクトロン株式会社 被処理体を処理する方法
JP2017183689A (ja) * 2016-03-29 2017-10-05 東京エレクトロン株式会社 被処理体を処理する方法
JP2018093139A (ja) * 2016-12-07 2018-06-14 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2920848B2 (ja) * 1991-03-19 1999-07-19 東京エレクトロン株式会社 シリコン層のエッチング方法
JP2988455B2 (ja) * 1997-10-15 1999-12-13 日本電気株式会社 プラズマエッチング方法
US7977390B2 (en) * 2002-10-11 2011-07-12 Lam Research Corporation Method for plasma etching performance enhancement
KR100680429B1 (ko) * 2005-07-29 2007-02-08 주식회사 하이닉스반도체 반도체 소자의 제조 방법
JP2010251654A (ja) * 2009-04-20 2010-11-04 Elpida Memory Inc 成膜方法および半導体装置の製造方法
JP5674375B2 (ja) * 2010-08-03 2015-02-25 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US8476168B2 (en) * 2011-01-26 2013-07-02 International Business Machines Corporation Non-conformal hardmask deposition for through silicon etch
EP2714960B1 (en) * 2011-06-03 2018-02-28 Versum Materials US, LLC Compositions and processes for depositing carbon-doped silicon-containing films
CN102509697A (zh) * 2011-11-01 2012-06-20 北京大学 一种制备超细线条的方法
JP2014003085A (ja) * 2012-06-15 2014-01-09 Tokyo Electron Ltd プラズマエッチング方法及びプラズマ処理装置
JP2014022656A (ja) * 2012-07-20 2014-02-03 Ps4 Luxco S A R L パターン形成方法およびそれを用いた半導体装置の製造方法
US9543158B2 (en) * 2014-12-04 2017-01-10 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
JP6382055B2 (ja) 2014-10-07 2018-08-29 東京エレクトロン株式会社 被処理体を処理する方法
JP5938506B1 (ja) * 2015-09-17 2016-06-22 株式会社日立国際電気 基板処理システム、半導体装置の製造方法、プログラム及び記録媒体
JP6537473B2 (ja) * 2015-10-06 2019-07-03 東京エレクトロン株式会社 被処理体を処理する方法

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009267111A (ja) * 2008-04-25 2009-11-12 Tokyo Electron Ltd 半導体デバイスの製造方法、製造装置、コンピュータプログラム、及びコンピュータ可読記憶媒体
JP2011521452A (ja) * 2008-05-13 2011-07-21 アプライド マテリアルズ インコーポレイテッド コンフォーマルpecvd膜を使用するクリティカルディメンジョンシュリンクのための方法
WO2009150870A1 (ja) * 2008-06-13 2009-12-17 東京エレクトロン株式会社 半導体装置の製造方法
JP2012169408A (ja) * 2011-02-14 2012-09-06 Taiyo Nippon Sanso Corp マスク用材料、マスクの形成方法、パターン形成方法、及びエッチング保護膜
JP2016207915A (ja) * 2015-04-27 2016-12-08 東京エレクトロン株式会社 被処理体を処理する方法
JP2017183689A (ja) * 2016-03-29 2017-10-05 東京エレクトロン株式会社 被処理体を処理する方法
JP2018093139A (ja) * 2016-12-07 2018-06-14 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2023112320A1 (ja) * 2021-12-17 2023-06-22 株式会社日立ハイテク 成膜方法およびプラズマ処理方法

Also Published As

Publication number Publication date
US11244804B2 (en) 2022-02-08
US20220122802A1 (en) 2022-04-21
KR20200094664A (ko) 2020-08-07
JP7178918B2 (ja) 2022-11-28
US20200243298A1 (en) 2020-07-30
CN111508831A (zh) 2020-08-07
TW202040686A (zh) 2020-11-01
CN111508831B (zh) 2024-03-26

Similar Documents

Publication Publication Date Title
JP7174634B2 (ja) 膜をエッチングする方法
TWI809086B (zh) 蝕刻方法及電漿處理裝置
TWI750364B (zh) 形成鈦矽化物區域之方法
US20220122802A1 (en) Etching method, plasma processing apparatus, and processing system
US20210082712A1 (en) Method of etching silicon oxide film and plasma processing apparatus
TWI833877B (zh) 蝕刻方法、電漿處理裝置及處理系統
US11404282B2 (en) Method of etching film and plasma processing apparatus
JP2021106212A (ja) エッチング方法、基板処理装置、及び基板処理システム
TWI840524B (zh) 蝕刻基板之膜之方法及電漿處理裝置
JP2021118315A (ja) エッチング方法、基板処理装置、及び基板処理システム
TWI833873B (zh) 膜之蝕刻方法
TWI797739B (zh) 蝕刻方法、電漿處理裝置及基板處理系統
CN112786442A (zh) 等离子体处理方法及等离子体处理装置

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20211006

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20220714

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20220726

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220909

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20221018

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20221115

R150 Certificate of patent or registration of utility model

Ref document number: 7178918

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150