JP2011521452A - コンフォーマルpecvd膜を使用するクリティカルディメンジョンシュリンクのための方法 - Google Patents
コンフォーマルpecvd膜を使用するクリティカルディメンジョンシュリンクのための方法 Download PDFInfo
- Publication number
- JP2011521452A JP2011521452A JP2011509554A JP2011509554A JP2011521452A JP 2011521452 A JP2011521452 A JP 2011521452A JP 2011509554 A JP2011509554 A JP 2011509554A JP 2011509554 A JP2011509554 A JP 2011509554A JP 2011521452 A JP2011521452 A JP 2011521452A
- Authority
- JP
- Japan
- Prior art keywords
- layer
- substrate
- conformal
- conformal layer
- recess
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Withdrawn
Links
- 238000000034 method Methods 0.000 title claims abstract description 183
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 title claims description 6
- 239000000758 substrate Substances 0.000 claims abstract description 140
- 238000005530 etching Methods 0.000 claims abstract description 44
- 230000008569 process Effects 0.000 claims description 123
- 238000012546 transfer Methods 0.000 claims description 54
- 230000002829 reductive effect Effects 0.000 claims description 34
- 229920002120 photoresistant polymer Polymers 0.000 claims description 26
- 238000000151 deposition Methods 0.000 claims description 23
- 239000007789 gas Substances 0.000 claims description 21
- 239000000463 material Substances 0.000 claims description 21
- 238000000059 patterning Methods 0.000 claims description 15
- QJGQUHMNIGDVPM-UHFFFAOYSA-N nitrogen group Chemical group [N] QJGQUHMNIGDVPM-UHFFFAOYSA-N 0.000 claims description 12
- 229910052760 oxygen Inorganic materials 0.000 claims description 11
- 150000004767 nitrides Chemical group 0.000 claims description 10
- 239000001301 oxygen Substances 0.000 claims description 8
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical group [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 claims description 6
- 230000004888 barrier function Effects 0.000 claims description 4
- 238000001039 wet etching Methods 0.000 claims description 4
- 239000007864 aqueous solution Substances 0.000 claims description 3
- 238000001459 lithography Methods 0.000 abstract description 2
- 239000010410 layer Substances 0.000 description 308
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 48
- 239000002243 precursor Substances 0.000 description 37
- 210000002381 plasma Anatomy 0.000 description 25
- 229910052757 nitrogen Inorganic materials 0.000 description 24
- 229910052581 Si3N4 Inorganic materials 0.000 description 23
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 23
- 229910052710 silicon Inorganic materials 0.000 description 21
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 19
- 239000010703 silicon Substances 0.000 description 19
- 239000012686 silicon precursor Substances 0.000 description 18
- 229910052582 BN Inorganic materials 0.000 description 17
- 238000000231 atomic layer deposition Methods 0.000 description 17
- 238000010586 diagram Methods 0.000 description 17
- 150000002500 ions Chemical class 0.000 description 17
- 239000000203 mixture Substances 0.000 description 15
- PZNSFCLAULLKQX-UHFFFAOYSA-N Boron nitride Chemical compound N#B PZNSFCLAULLKQX-UHFFFAOYSA-N 0.000 description 13
- 229910052796 boron Inorganic materials 0.000 description 13
- 229910052799 carbon Inorganic materials 0.000 description 13
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 12
- ZOXJGFHDIHLPTG-UHFFFAOYSA-N Boron Chemical compound [B] ZOXJGFHDIHLPTG-UHFFFAOYSA-N 0.000 description 12
- 238000012545 processing Methods 0.000 description 12
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 11
- -1 alkoxy silanes Chemical class 0.000 description 11
- 238000004140 cleaning Methods 0.000 description 11
- 229910052739 hydrogen Inorganic materials 0.000 description 11
- 125000000484 butyl group Chemical group [H]C([*])([H])C([H])([H])C([H])([H])C([H])([H])[H] 0.000 description 10
- 239000001257 hydrogen Substances 0.000 description 10
- UORVGPXVDQYIDP-UHFFFAOYSA-N borane Chemical compound B UORVGPXVDQYIDP-UHFFFAOYSA-N 0.000 description 9
- 238000005229 chemical vapour deposition Methods 0.000 description 9
- 239000000460 chlorine Substances 0.000 description 9
- 230000008021 deposition Effects 0.000 description 9
- QGZKDVFQNNGYKY-UHFFFAOYSA-N Ammonia Chemical compound N QGZKDVFQNNGYKY-UHFFFAOYSA-N 0.000 description 8
- 229910003481 amorphous carbon Inorganic materials 0.000 description 8
- 239000012159 carrier gas Substances 0.000 description 8
- 229910052801 chlorine Inorganic materials 0.000 description 8
- 230000008878 coupling Effects 0.000 description 8
- 238000010168 coupling process Methods 0.000 description 8
- 238000005859 coupling reaction Methods 0.000 description 8
- 125000001495 ethyl group Chemical group [H]C([H])([H])C([H])([H])* 0.000 description 8
- 229910052731 fluorine Inorganic materials 0.000 description 8
- 125000002496 methyl group Chemical group [H]C([H])([H])* 0.000 description 8
- 239000000376 reactant Substances 0.000 description 8
- 125000000217 alkyl group Chemical group 0.000 description 7
- 150000002431 hydrogen Chemical class 0.000 description 7
- 125000001436 propyl group Chemical group [H]C([*])([H])C([H])([H])C([H])([H])[H] 0.000 description 7
- 238000010926 purge Methods 0.000 description 7
- 239000000126 substance Substances 0.000 description 7
- KRHYYFGTRYWZRS-UHFFFAOYSA-N Fluorane Chemical compound F KRHYYFGTRYWZRS-UHFFFAOYSA-N 0.000 description 6
- OAKJQQAXSVQMHS-UHFFFAOYSA-N Hydrazine Chemical compound NN OAKJQQAXSVQMHS-UHFFFAOYSA-N 0.000 description 6
- 229910052786 argon Inorganic materials 0.000 description 6
- 238000003877 atomic layer epitaxy Methods 0.000 description 6
- 125000000524 functional group Chemical group 0.000 description 6
- 239000000243 solution Substances 0.000 description 6
- 229910000085 borane Inorganic materials 0.000 description 5
- 229910052736 halogen Inorganic materials 0.000 description 5
- 150000002367 halogens Chemical class 0.000 description 5
- 239000002184 metal Substances 0.000 description 5
- 229910052751 metal Inorganic materials 0.000 description 5
- 230000003647 oxidation Effects 0.000 description 5
- 238000007254 oxidation reaction Methods 0.000 description 5
- 125000001147 pentyl group Chemical group C(CCCC)* 0.000 description 5
- 230000009467 reduction Effects 0.000 description 5
- 125000003903 2-propenyl group Chemical group [H]C([*])([H])C([H])=C([H])[H] 0.000 description 4
- ZAMOUSCENKQFHK-UHFFFAOYSA-N Chlorine atom Chemical compound [Cl] ZAMOUSCENKQFHK-UHFFFAOYSA-N 0.000 description 4
- YCKRFDGAMUMZLT-UHFFFAOYSA-N Fluorine atom Chemical compound [F] YCKRFDGAMUMZLT-UHFFFAOYSA-N 0.000 description 4
- GQPLMRYTRLFLPF-UHFFFAOYSA-N Nitrous Oxide Chemical compound [O-][N+]#N GQPLMRYTRLFLPF-UHFFFAOYSA-N 0.000 description 4
- BLRPTPMANUNPDV-UHFFFAOYSA-N Silane Chemical compound [SiH4] BLRPTPMANUNPDV-UHFFFAOYSA-N 0.000 description 4
- CFOAUMXQOCBWNJ-UHFFFAOYSA-N [B].[Si] Chemical compound [B].[Si] CFOAUMXQOCBWNJ-UHFFFAOYSA-N 0.000 description 4
- HSFWRNGVRCDJHI-UHFFFAOYSA-N alpha-acetylene Natural products C#C HSFWRNGVRCDJHI-UHFFFAOYSA-N 0.000 description 4
- 229910021529 ammonia Inorganic materials 0.000 description 4
- LNENVNGQOUBOIX-UHFFFAOYSA-N azidosilane Chemical class [SiH3]N=[N+]=[N-] LNENVNGQOUBOIX-UHFFFAOYSA-N 0.000 description 4
- 229910052794 bromium Inorganic materials 0.000 description 4
- 239000011737 fluorine Substances 0.000 description 4
- 229910052734 helium Inorganic materials 0.000 description 4
- 239000001307 helium Substances 0.000 description 4
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 4
- 125000001449 isopropyl group Chemical group [H]C([H])([H])C([H])(*)C([H])([H])[H] 0.000 description 4
- 230000001443 photoexcitation Effects 0.000 description 4
- 238000001020 plasma etching Methods 0.000 description 4
- 239000004065 semiconductor Substances 0.000 description 4
- 229910000077 silane Inorganic materials 0.000 description 4
- 229910052724 xenon Inorganic materials 0.000 description 4
- FHNFHKCVQCLJFQ-UHFFFAOYSA-N xenon atom Chemical compound [Xe] FHNFHKCVQCLJFQ-UHFFFAOYSA-N 0.000 description 4
- RWRDLPDLKQPQOW-UHFFFAOYSA-N Pyrrolidine Chemical compound C1CCNC1 RWRDLPDLKQPQOW-UHFFFAOYSA-N 0.000 description 3
- 229910003697 SiBN Inorganic materials 0.000 description 3
- UMVBXBACMIOFDO-UHFFFAOYSA-N [N].[Si] Chemical compound [N].[Si] UMVBXBACMIOFDO-UHFFFAOYSA-N 0.000 description 3
- 150000001412 amines Chemical class 0.000 description 3
- 125000004432 carbon atom Chemical group C* 0.000 description 3
- 150000001875 compounds Chemical class 0.000 description 3
- 238000005137 deposition process Methods 0.000 description 3
- 229910001873 dinitrogen Inorganic materials 0.000 description 3
- 150000004820 halides Chemical class 0.000 description 3
- 229930195733 hydrocarbon Natural products 0.000 description 3
- 150000002430 hydrocarbons Chemical class 0.000 description 3
- 230000001939 inductive effect Effects 0.000 description 3
- 229910052740 iodine Inorganic materials 0.000 description 3
- 229910052754 neon Inorganic materials 0.000 description 3
- GKAOGPIIYCISHV-UHFFFAOYSA-N neon atom Chemical compound [Ne] GKAOGPIIYCISHV-UHFFFAOYSA-N 0.000 description 3
- FZHAPNGMFPVSLP-UHFFFAOYSA-N silanamine Chemical compound [SiH3]N FZHAPNGMFPVSLP-UHFFFAOYSA-N 0.000 description 3
- DVHMVRMYGHTALQ-UHFFFAOYSA-N silylhydrazine Chemical class NN[SiH3] DVHMVRMYGHTALQ-UHFFFAOYSA-N 0.000 description 3
- AZQWKYJCGOJGHM-UHFFFAOYSA-N 1,4-benzoquinone Chemical compound O=C1C=CC(=O)C=C1 AZQWKYJCGOJGHM-UHFFFAOYSA-N 0.000 description 2
- NLXLAEXVIDQMFP-UHFFFAOYSA-N Ammonia chloride Chemical compound [NH4+].[Cl-] NLXLAEXVIDQMFP-UHFFFAOYSA-N 0.000 description 2
- 239000004215 Carbon black (E152) Substances 0.000 description 2
- ROSDSFDQCJNGOL-UHFFFAOYSA-N Dimethylamine Chemical compound CNC ROSDSFDQCJNGOL-UHFFFAOYSA-N 0.000 description 2
- KRHYYFGTRYWZRS-UHFFFAOYSA-M Fluoride anion Chemical compound [F-] KRHYYFGTRYWZRS-UHFFFAOYSA-M 0.000 description 2
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 description 2
- MHAJPDPJQMAIIY-UHFFFAOYSA-N Hydrogen peroxide Chemical compound OO MHAJPDPJQMAIIY-UHFFFAOYSA-N 0.000 description 2
- QIGBRXMKCJKVMJ-UHFFFAOYSA-N Hydroquinone Chemical compound OC1=CC=C(O)C=C1 QIGBRXMKCJKVMJ-UHFFFAOYSA-N 0.000 description 2
- UFWIBTONFRDIAS-UHFFFAOYSA-N Naphthalene Chemical compound C1=CC=CC2=CC=CC=C21 UFWIBTONFRDIAS-UHFFFAOYSA-N 0.000 description 2
- NBIIXXVUZAFLBC-UHFFFAOYSA-N Phosphoric acid Chemical compound OP(O)(O)=O NBIIXXVUZAFLBC-UHFFFAOYSA-N 0.000 description 2
- 229910007991 Si-N Inorganic materials 0.000 description 2
- 229910006294 Si—N Inorganic materials 0.000 description 2
- HMDDXIMCDZRSNE-UHFFFAOYSA-N [C].[Si] Chemical compound [C].[Si] HMDDXIMCDZRSNE-UHFFFAOYSA-N 0.000 description 2
- 239000003929 acidic solution Substances 0.000 description 2
- MWPLVEDNUUSJAV-UHFFFAOYSA-N anthracene Chemical compound C1=CC=CC2=CC3=CC=CC=C3C=C21 MWPLVEDNUUSJAV-UHFFFAOYSA-N 0.000 description 2
- 230000003466 anti-cipated effect Effects 0.000 description 2
- 230000003667 anti-reflective effect Effects 0.000 description 2
- 238000004380 ashing Methods 0.000 description 2
- 238000005452 bending Methods 0.000 description 2
- 230000008901 benefit Effects 0.000 description 2
- BGECDVWSWDRFSP-UHFFFAOYSA-N borazine Chemical compound B1NBNBN1 BGECDVWSWDRFSP-UHFFFAOYSA-N 0.000 description 2
- 239000012707 chemical precursor Substances 0.000 description 2
- 125000001309 chloro group Chemical group Cl* 0.000 description 2
- 125000004122 cyclic group Chemical group 0.000 description 2
- 125000002534 ethynyl group Chemical group [H]C#C* 0.000 description 2
- 238000011049 filling Methods 0.000 description 2
- 125000004435 hydrogen atom Chemical group [H]* 0.000 description 2
- UIUXUFNYAYAMOE-UHFFFAOYSA-N methylsilane Chemical compound [SiH3]C UIUXUFNYAYAMOE-UHFFFAOYSA-N 0.000 description 2
- VYIRVGYSUZPNLF-UHFFFAOYSA-N n-(tert-butylamino)silyl-2-methylpropan-2-amine Chemical group CC(C)(C)N[SiH2]NC(C)(C)C VYIRVGYSUZPNLF-UHFFFAOYSA-N 0.000 description 2
- 239000001272 nitrous oxide Substances 0.000 description 2
- 125000000962 organic group Chemical group 0.000 description 2
- 230000001590 oxidative effect Effects 0.000 description 2
- 239000005011 phenolic resin Substances 0.000 description 2
- 125000001997 phenyl group Chemical group [H]C1=C([H])C([H])=C(*)C([H])=C1[H] 0.000 description 2
- QQONPFPTGQHPMA-UHFFFAOYSA-N propylene Natural products CC=C QQONPFPTGQHPMA-UHFFFAOYSA-N 0.000 description 2
- 125000004805 propylene group Chemical group [H]C([H])([H])C([H])([*:1])C([H])([H])[*:2] 0.000 description 2
- 150000004756 silanes Chemical class 0.000 description 2
- 150000004819 silanols Chemical class 0.000 description 2
- 238000002230 thermal chemical vapour deposition Methods 0.000 description 2
- QFDIPNFLALEXTD-UHFFFAOYSA-N 2-dimethylsilyl-1,1-dimethylhydrazine Chemical compound CN(C)N[SiH](C)C QFDIPNFLALEXTD-UHFFFAOYSA-N 0.000 description 1
- GPLIMIJPIZGPIF-UHFFFAOYSA-N 2-hydroxy-1,4-benzoquinone Chemical compound OC1=CC(=O)C=CC1=O GPLIMIJPIZGPIF-UHFFFAOYSA-N 0.000 description 1
- KXGFMDJXCMQABM-UHFFFAOYSA-N 2-methoxy-6-methylphenol Chemical compound [CH]OC1=CC=CC([CH])=C1O KXGFMDJXCMQABM-UHFFFAOYSA-N 0.000 description 1
- WKBOTKDWSSQWDR-UHFFFAOYSA-N Bromine atom Chemical compound [Br] WKBOTKDWSSQWDR-UHFFFAOYSA-N 0.000 description 1
- 206010011878 Deafness Diseases 0.000 description 1
- VGGSQFUCUMXWEO-UHFFFAOYSA-N Ethene Chemical compound C=C VGGSQFUCUMXWEO-UHFFFAOYSA-N 0.000 description 1
- 239000005977 Ethylene Substances 0.000 description 1
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 1
- NINIDFKCEFEMDL-UHFFFAOYSA-N Sulfur Chemical compound [S] NINIDFKCEFEMDL-UHFFFAOYSA-N 0.000 description 1
- 239000007983 Tris buffer Substances 0.000 description 1
- OPBJIDJILCEYRP-UHFFFAOYSA-N [Kr]Br Chemical compound [Kr]Br OPBJIDJILCEYRP-UHFFFAOYSA-N 0.000 description 1
- JWNBYUSSORDWOT-UHFFFAOYSA-N [Kr]Cl Chemical compound [Kr]Cl JWNBYUSSORDWOT-UHFFFAOYSA-N 0.000 description 1
- VZPPHXVFMVZRTE-UHFFFAOYSA-N [Kr]F Chemical compound [Kr]F VZPPHXVFMVZRTE-UHFFFAOYSA-N 0.000 description 1
- VOSJXMPCFODQAR-UHFFFAOYSA-N ac1l3fa4 Chemical compound [SiH3]N([SiH3])[SiH3] VOSJXMPCFODQAR-UHFFFAOYSA-N 0.000 description 1
- 230000004913 activation Effects 0.000 description 1
- 230000002411 adverse Effects 0.000 description 1
- 150000001298 alcohols Chemical class 0.000 description 1
- 125000001931 aliphatic group Chemical group 0.000 description 1
- 239000012670 alkaline solution Substances 0.000 description 1
- 125000003545 alkoxy group Chemical group 0.000 description 1
- 125000003282 alkyl amino group Chemical group 0.000 description 1
- 150000001343 alkyl silanes Chemical class 0.000 description 1
- 229910000147 aluminium phosphate Inorganic materials 0.000 description 1
- 125000003368 amide group Chemical group 0.000 description 1
- 125000003277 amino group Chemical group 0.000 description 1
- 235000019270 ammonium chloride Nutrition 0.000 description 1
- 239000006117 anti-reflective coating Substances 0.000 description 1
- YADDYKXZVNQFBH-UHFFFAOYSA-N argon;hydrobromide Chemical compound [Ar].Br YADDYKXZVNQFBH-UHFFFAOYSA-N 0.000 description 1
- RMTNSIBBWXZNDC-UHFFFAOYSA-N argon;hydrochloride Chemical compound Cl.[Ar] RMTNSIBBWXZNDC-UHFFFAOYSA-N 0.000 description 1
- 125000003118 aryl group Chemical group 0.000 description 1
- 125000004429 atom Chemical group 0.000 description 1
- XMQFTWRPUQYINF-UHFFFAOYSA-N bensulfuron-methyl Chemical compound COC(=O)C1=CC=CC=C1CS(=O)(=O)NC(=O)NC1=NC(OC)=CC(OC)=N1 XMQFTWRPUQYINF-UHFFFAOYSA-N 0.000 description 1
- GDTBXPJZTBHREO-UHFFFAOYSA-N bromine Substances BrBr GDTBXPJZTBHREO-UHFFFAOYSA-N 0.000 description 1
- 150000001721 carbon Chemical group 0.000 description 1
- 239000007833 carbon precursor Substances 0.000 description 1
- 238000006243 chemical reaction Methods 0.000 description 1
- DSWDPPJBJCXDCZ-UHFFFAOYSA-N ctk0h9754 Chemical class N[SiH2][SiH3] DSWDPPJBJCXDCZ-UHFFFAOYSA-N 0.000 description 1
- 125000006165 cyclic alkyl group Chemical group 0.000 description 1
- 150000001923 cyclic compounds Chemical class 0.000 description 1
- 231100000895 deafness Toxicity 0.000 description 1
- 238000013461 design Methods 0.000 description 1
- 238000011161 development Methods 0.000 description 1
- URQUNWYOBNUYJQ-UHFFFAOYSA-N diazonaphthoquinone Chemical compound C1=CC=C2C(=O)C(=[N]=[N])C=CC2=C1 URQUNWYOBNUYJQ-UHFFFAOYSA-N 0.000 description 1
- ZOCHARZZJNPSEU-UHFFFAOYSA-N diboron Chemical compound B#B ZOCHARZZJNPSEU-UHFFFAOYSA-N 0.000 description 1
- ILFGODHCYAHKOR-UHFFFAOYSA-N dibromoxenon Chemical compound Br[Xe]Br ILFGODHCYAHKOR-UHFFFAOYSA-N 0.000 description 1
- 239000003989 dielectric material Substances 0.000 description 1
- 238000009792 diffusion process Methods 0.000 description 1
- UBHZUDXTHNMNLD-UHFFFAOYSA-N dimethylsilane Chemical compound C[SiH2]C UBHZUDXTHNMNLD-UHFFFAOYSA-N 0.000 description 1
- PZPGRFITIJYNEJ-UHFFFAOYSA-N disilane Chemical compound [SiH3][SiH3] PZPGRFITIJYNEJ-UHFFFAOYSA-N 0.000 description 1
- 238000009826 distribution Methods 0.000 description 1
- 239000002019 doping agent Substances 0.000 description 1
- 230000005670 electromagnetic radiation Effects 0.000 description 1
- 238000005516 engineering process Methods 0.000 description 1
- 239000003822 epoxy resin Substances 0.000 description 1
- WGXGKXTZIQFQFO-CMDGGOBGSA-N ethenyl (e)-3-phenylprop-2-enoate Chemical compound C=COC(=O)\C=C\C1=CC=CC=C1 WGXGKXTZIQFQFO-CMDGGOBGSA-N 0.000 description 1
- VJVUOJVKEWVFBF-UHFFFAOYSA-N fluoroxenon Chemical class [Xe]F VJVUOJVKEWVFBF-UHFFFAOYSA-N 0.000 description 1
- 208000016354 hearing loss disease Diseases 0.000 description 1
- XEMZLVDIUVCKGL-UHFFFAOYSA-N hydrogen peroxide;sulfuric acid Chemical compound OO.OS(O)(=O)=O XEMZLVDIUVCKGL-UHFFFAOYSA-N 0.000 description 1
- 125000002887 hydroxy group Chemical group [H]O* 0.000 description 1
- 230000006698 induction Effects 0.000 description 1
- 230000010354 integration Effects 0.000 description 1
- 230000003993 interaction Effects 0.000 description 1
- 229910052743 krypton Inorganic materials 0.000 description 1
- DNNSSWSSYDEUBZ-UHFFFAOYSA-N krypton atom Chemical compound [Kr] DNNSSWSSYDEUBZ-UHFFFAOYSA-N 0.000 description 1
- 230000000670 limiting effect Effects 0.000 description 1
- 238000011068 loading method Methods 0.000 description 1
- 238000004519 manufacturing process Methods 0.000 description 1
- 239000011159 matrix material Substances 0.000 description 1
- 239000012528 membrane Substances 0.000 description 1
- 230000036961 partial effect Effects 0.000 description 1
- 239000002245 particle Substances 0.000 description 1
- YNPNZTXNASCQKK-UHFFFAOYSA-N phenanthrene Chemical compound C1=CC=C2C3=CC=CC=C3C=CC2=C1 YNPNZTXNASCQKK-UHFFFAOYSA-N 0.000 description 1
- 229920001568 phenolic resin Polymers 0.000 description 1
- 238000005268 plasma chemical vapour deposition Methods 0.000 description 1
- 125000005575 polycyclic aromatic hydrocarbon group Chemical group 0.000 description 1
- 229920000647 polyepoxide Polymers 0.000 description 1
- 229920000642 polymer Polymers 0.000 description 1
- 239000002861 polymer material Substances 0.000 description 1
- 229910021426 porous silicon Inorganic materials 0.000 description 1
- 238000002360 preparation method Methods 0.000 description 1
- 239000011241 protective layer Substances 0.000 description 1
- 230000005855 radiation Effects 0.000 description 1
- 150000003254 radicals Chemical class 0.000 description 1
- 239000011347 resin Substances 0.000 description 1
- 229920005989 resin Polymers 0.000 description 1
- LIVNPJMFVYWSIS-UHFFFAOYSA-N silicon monoxide Chemical compound [Si-]#[O+] LIVNPJMFVYWSIS-UHFFFAOYSA-N 0.000 description 1
- 229910052814 silicon oxide Inorganic materials 0.000 description 1
- 125000003808 silyl group Chemical group [H][Si]([H])([H])[*] 0.000 description 1
- 238000004528 spin coating Methods 0.000 description 1
- 229910052717 sulfur Inorganic materials 0.000 description 1
- 239000011593 sulfur Substances 0.000 description 1
- 125000001302 tertiary amino group Chemical group 0.000 description 1
- LXEXBJXDGVGRAR-UHFFFAOYSA-N trichloro(trichlorosilyl)silane Chemical compound Cl[Si](Cl)(Cl)[Si](Cl)(Cl)Cl LXEXBJXDGVGRAR-UHFFFAOYSA-N 0.000 description 1
- SEDZOYHHAIAQIW-UHFFFAOYSA-N trimethylsilyl azide Chemical compound C[Si](C)(C)N=[N+]=[N-] SEDZOYHHAIAQIW-UHFFFAOYSA-N 0.000 description 1
- 125000000026 trimethylsilyl group Chemical group [H]C([H])([H])[Si]([*])(C([H])([H])[H])C([H])([H])[H] 0.000 description 1
- 229930195735 unsaturated hydrocarbon Natural products 0.000 description 1
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Chemical compound O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 description 1
- HGCGQDMQKGRJNO-UHFFFAOYSA-N xenon monochloride Chemical compound [Xe]Cl HGCGQDMQKGRJNO-UHFFFAOYSA-N 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31144—Etching the insulating layers by chemical or physical means using masks
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02365—Forming inorganic semiconducting materials on a substrate
- H01L21/02612—Formation types
- H01L21/02617—Deposition types
- H01L21/0262—Reduction or decomposition of gaseous compounds, e.g. CVD
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/027—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
- H01L21/033—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
- H01L21/0334—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
- H01L21/0337—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/027—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
- H01L21/033—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
- H01L21/0334—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
- H01L21/0338—Process specially adapted to improve the resolution of the mask
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/314—Inorganic layers
- H01L21/3141—Deposition using atomic layer deposition techniques [ALD]
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/314—Inorganic layers
- H01L21/318—Inorganic layers composed of nitrides
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/314—Inorganic layers
- H01L21/318—Inorganic layers composed of nitrides
- H01L21/3185—Inorganic layers composed of nitrides of siliconnitrides
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76802—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
- H01L21/76816—Aspects relating to the layout of the pattern or to the size of vias or trenches
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02123—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
- H01L21/0217—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/0226—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
- H01L21/02263—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
- H01L21/02271—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
- H01L21/02274—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/314—Inorganic layers
- H01L21/316—Inorganic layers composed of oxides or glassy oxides or oxide based glass
- H01L21/31604—Deposition from a gas or vapour
- H01L21/31608—Deposition of SiO2
Landscapes
- Engineering & Computer Science (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Computer Hardware Design (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Physics & Mathematics (AREA)
- Chemical & Material Sciences (AREA)
- Inorganic Chemistry (AREA)
- Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
- Electrodes Of Semiconductors (AREA)
- Chemical Vapour Deposition (AREA)
- Weting (AREA)
- Drying Of Semiconductors (AREA)
- Formation Of Insulating Films (AREA)
Abstract
基板中に狭いビアを形成するための方法および装置を提供する。従来型のリソグラフィによって、パターンリセスを基板中にエッチングする。パターンリセスの側壁および底部を含んでいる基板の表面の上方に薄いコンフォーマル層を形成する。コンフォーマル層の厚さは、パターンリセスの実効的な幅を縮小する。下方にある基板を暴露させるために、異方性エッチングによってパターンリセスの底からコンフォーマル層を除去する。次に、マスクとしてパターンリセスの側壁を覆っているコンフォーマル層を使用して基板をエッチングする。次に、ウェットエッチャントを使用してコンフォーマル層を除去する。
Description
本発明の実施形態は、半導体製造の方法に関する。より具体的には、本発明の実施形態は、半導体デバイスにおいてクリティカルディメンジョンを縮小する方法に関する。
半世紀以上にわたって、半導体産業は、1個の集積回路上のトランジスタの密度が約2年毎に2倍になるというムーアの法則に従ってきている。この道筋に沿った産業の継続的な発展は、基板上へとパターニングするより小さなフィーチャを要求するであろう。現在生産しているスタックトランジスタは、50から100ナノメートル(nm)の寸法を有する。45nmの寸法を有するデバイスが現在生産されており、設計の取り組みは、20nm以下の寸法のデバイスに向けられようとしている。
デバイスがそのように小さな寸法にシュリンクするので、現在のリソグラフィプロセスは、要求されるクリティカルディメンジョン(CD)であるパターンを作ることに挑戦している。100nm以上の幅のビアを作るように設計されているパターニング機器は、一般にもっと小さなビアを作ることができない。
現在のリソグラフィ機器を再設計する必要性を回避するために、基板中にエッチングするビアのクリティカルディメンジョンをシュリンクするための方法が必要である。
本発明の実施形態は、フィールド領域を有する基板中に形成した側壁および底部を有するリセスのクリティカルディメンジョンを縮小する方法を提供し、フィールド領域、側壁、および底部の上方にコンフォーマル層を適用することと、基板を暴露させるために、指向性エッチングプロセスによって底部からコンフォーマル層を除去することと、底部において暴露した基板をエッチングすることと、ウェットエッチングプロセスによってコンフォーマル層を除去することとを含む。コンフォーマル層は、良いステップカバレッジを有し、コンフォーマル層の下方の層をエッチングするために使用するエッチャントに対して高い選択性を有するコンフォーマル層を堆積することに適合する任意の手段によって堆積することができる。
別の実施形態は、基板のフィールド領域内にビアを形成する方法を提供し、この方法は、側壁および底部を有するリセスを形成するために、基板の表面上に形成した層をパターニングすることと、層の上方にコンフォーマル膜を適用することによってリセスの幅を縮小することと、基板の一部を暴露させるために、リセスの底部からコンフォーマル膜を除去することによって縮小したクリティカルディメンジョン域を形成することと、ビアを形成するために、縮小クリティカルディメンジョン域をエッチングすることとを含む。
別の実施形態は、基板上に形成した誘電体層をパターニングする方法を提供し、この方法は、誘電体層の上方にパターン転写層を形成することと、フォトレジストを適用することによってパターン転写層をパターニングし、フォトレジストをパターニングし、パターン転写層にパターンをエッチングして底部を有するリセスを形成することと、パターン転写層の上方に第1のコンフォーマル層を堆積することと、誘電体層を暴露させるために、リセスの底部から第1のコンフォーマル層を除去することと、狭いリセスを形成するために、誘電体層の暴露した部分をエッチングすることと、パターン転写層およびコンフォーマル層を除去することと、基板の上方に第2のコンフォーマル層を堆積することと、狭いリセスの底部から第2のコンフォーマル層を除去することとを含む。ある実施形態は、パターン形成中にCDの二重の縮小を提供する。
従って、本発明の上に記述した特徴を詳細に理解することが可能な方式で、上記に簡潔に要約されている本発明のより明細な説明を、その一部が添付した図面に図示されている実施形態を参照することによって知ることができる。しかしながら、添付した図面が本発明の典型的な実施形態だけを図示し、それゆえ、本発明に関して他の同様に有効な実施形態を許容することができる本発明の範囲を限定するようには見なされないことに、留意すべきである。
理解を容易にするために、可能である場合には、複数の図に共通な同一の要素を示すために、同一の参照番号を使用している。一実施形態において開示した要素を、具体的な記述がなくとも別の実施形態において利益をもたらすように利用することができることが予想される。
本発明は、一般に基板を処理する方法に関する。本発明の実施形態は、基板中にリセスまたはビアを形成する方法を提供し、そのリセスまたはビアは、従来型のリソグラフィプロセスを介しては得られるはずのものよりも小さなクリティカルディメンジョンを有する。
図1Aは、本発明の一実施形態による方法100を説明する流れ図である。図1B〜図1Fは、方法100の様々なステージにおける基板150の模式図である。その中に形成したリセスを有する基板150などの基板を、プロセシングチャンバに設置する。図1Bは、エッチングすべきフィーチャ層152およびフィーチャ層152の上に重なるパターン転写層154中に形成したリセスまたは開口部156のある基板150を図示する。フィーチャ層152を、任意の種類のエッチングしようとする誘電体層または半導体層とすることができる。パターン転写層154を、ハードマスク層か、反射防止層か、誘電体層か、またはこれらの任意の組み合わせとすることができる。リセス156は、側壁およびフィーチャ層152を暴露させる底部を有し、引き続くパターニングステージのためのエッチングパターンとして使用することができる。
方法100のボックス102では、コンフォーマル層を基板表面の上方に適用する。図1Cは、パターン転写層154のフィールド領域ならびにリセス156の側壁および底部を覆うように付けられたコンフォーマル層158を図示する。コンフォーマル層158を、好ましくは、フィーチャ層152をエッチングするために使用するいずれのエッチャント中でも低エッチング速度である物質から形成する。例えば、フィーチャ層152がフッ素の化学的性質を使用してエッチングすべき酸化物層である実施形態では、コンフォーマル層158を、窒化物層などの窒素含有層とすることができる。ある実施形態では、コンフォーマル層158を、シリコンナイトライド層か、ボロンナイトライド層か、シリコンボロナイトライド層か、シリコンドープのボロンナイトライド層か、ボロンドープのシリコンナイトライド層とすることができる。それに加えて、コンフォーマル層158は、好ましくは、アッシングまたはウェットエッチングなどによって基板から除去することが容易である。
ある実施形態では、コンフォーマル層は、処理中の後の時点において除去される犠牲層である。下記に説明するように、別の実施形態では、コンフォーマル層は、構造の一部として残り、その最終特性に寄与するように意図した誘電体層であってもよい。ある実施形態では、コンフォーマル層は、ハーメティック層であってもよい。別の実施形態では、コンフォーマル層は、バリア層または反射防止層であってもよい。コンフォーマル層は、好ましくは、約80%と約120%との間のステップカバレッジを有する。
後述するように、ボックス102において付けたコンフォーマル層158は、エッチングマスクとして働き、コンフォーマル層158の厚さは、層152中にエッチングするパターンのクリティカルディメンジョンを画定する。例えば、リセス156が500Å幅である場合には、50Å幅のコンフォーマル層は、400Åにリセス156の幅を縮小する。引き続くエッチングシーケンスは、順に、フィーチャ層152中に400Å幅のパターンを生成する。かかるプロセスは、特定のリソグラフィ装置の能力よりも小さなクリティカルディメンジョンを有するパターンを発生する際に有用でありうる。
コンフォーマル層158などのコンフォーマル層を、基板上にコンフォーマル層を堆積するための公知の方法のいずれかによって堆積することができる。かかる方法の例は、化学気相堆積(CVD)や、プラズマCVD(PECVD)や、原子層エピタキシ(ALE)や、原子層堆積(ALD)や、プラズマALD(PEALD)を含むが、これらに限定されない。シリコンナイトライドコンフォーマル層を、ALDプロセスまたはPEALDプロセスを使用することによって堆積することができ、シランもしくはジシランか、メチルシランもしくはジメチルシランなどの低アルキルシランか、または低級アルコキシシランか、シラノールか、シラザンなどのいずれかのシランオリゴマでありうる前駆物質のパルスを、窒素ガス(N2)か、アンモニア(NH3)か、亜酸化窒素(N2O)か、またはヒドラジン(N2H2)などの窒素含有化合物と交互に、基板を含有しているリアクタに供給する。前駆物質を供給し、リアクタをパージすることを容易にするために、キャリアガスを多くの場合に使用する。適した条件で、前駆物質は基板表面と反応して、基板の表面の上方に一様に成長する堆積生成物の層を生成する。必要に応じてプロセスを繰り返すことによって、所望の厚さが得られる。同様に、ボランまたはジボランなどのボランオリゴマと、交互のN2か、NH3か、N2Oか、またはN2H2などの窒素含有前駆物質を使用して、ALDプロセスまたはPEALDプロセスにおいて、ボロンナイトライド層を生成することができる。所望のドーピングレベルにほぼ比例したボロン前駆物質とシリコン前駆物質の混合ガスを使用することによって、ドーピングを実施することができる。
方法100のボックス104では、リセスの底部を覆っているコンフォーマル層の一部を、下にあるフィーチャ層152の一部を暴露させるためにエッチングして除去する。図1Dは、リセス156の底部160から除去されているコンフォーマル層158を有する基板を図示する。コンフォーマル層158を、選択エッチングプロセスを介してリセス156の底部160から除去することができる。ある実施形態では、選択エッチングプロセスを、基板の水平面からだけ物質をエッチングするように設計された指向性エッチングプロセスまたは異方性エッチングプロセスとすることができる。基板表面に向けて加速するようにプラズマ中のイオンを仕向けるために、かかるプロセスは、基板に印加した電気的なバイアスによりプラズマエッチャントを扱うことができる。かかるプロセスでは、加速されたイオンは、一般に、側壁に向かって曲がる前にリセス156中へと深く進み、反応種の極めて大部分がリセス156の底部160に衝突するという結果をもたらす。同時に、かかるプロセスは、また、パターン転写層154のフィールド領域からコンフォーマル層158の実質的な除去を結果としてもたらすことができる。フッ素イオンおよび酸素イオンを使用する反応性イオンエッチングは、本発明の実施形態を実行するために有用な選択エッチングプロセスの一例である。非反応性イオンによるエッチングなどの他のエッチング方法も、やはり、使用することができる。
コンフォーマル層158の下にあるフィーチャ層152の一部を暴露させた後で、フィーチャ層152をボックス106においてエッチングすることができる。図1Eは、方法100のこのステージにおける基板を図示する。リセス156の側壁上に残っているコンフォーマル層158の部分は、リセス156の幅およびエッチャントに曝されるフィーチャ層152の部分を縮小させる。コンフォーマル層158が、フィーチャ層152をエッチングするために使用するエッチャントに対して高いエッチング選択性を有する物質から形成されている場合には、ボックス106の間にコンフォーマル層158はゆっくりとエッチングされるまたはまったくエッチングされず、フィーチャ層152中にエッチングされた縮小CDビア162を残す。フィーチャ層152のエッチングを、フィーチャ層152を形成する物質をエッチングするために公知の任意の方法によって実行することができるが、好ましくは、コンフォーマル層158をエッチングしないプロセスによって実行する。パターン転写層154を、やはり、同時に部分的にエッチングして除去することができ、減少した厚さの層154を残す。本明細書中のどこかで説明するような反応性イオンまたは非反応性イオンを使用するバイアス下でのエッチングなどの指向性エッチングは、誘電体層152をエッチングしつつ、コンフォーマル層158の残膜を確保するために有利でありうる。
図1Fに示したように、引き続く処理のための準備が整った縮小CDビアを有する基板を残すために、コンフォーマル層158を、ボックス108において除去することができる。パターン転写層154もまた、エッチングまたは酸化による手段によって一般に除去される。縮小CDビア162は、従来型のリソグラフィを介して得られるはずのものよりも狭い。
本発明の別の実施形態は、基板のフィールド領域内にビアを形成する方法を提供する。図2Aは、本発明の一実施形態による方法200を説明する流れ図である。エッチングすべき基板がプロセスチャンバ内に置かれる。図2Bは、方法200に従って処理される基板250の模式図である。基板250などの例示的な基板は、下部層252や、スタック構造254や、保護層256や、絶縁層または誘電体層258を有することができる。
ボックス202では、パターン転写層を基板に適用する。パターン転写層は、引き続くエッチングシーケンスの間エッチングマスクとして働く。パターン転写層は、誘電体層か、反射防止層か、またはバリア層であってよく、1つより多くのかかる特性を保有することができる。炭化水素前駆物質を使用するCVDプロセスから形成される、sp3(ダイアモンド状)炭素原子や、sp2(グラファイト状)−混成炭素原子や、sp1(熱分解)−混成炭素原子の混合物を含む、アモルファスカーボン層は、パターン転写層として有用でありうる。例示的なアモルファスカーボン層は、Santa Clara、CaliforniaのApplied Materials, Inc.から入手可能なPRODUCER(登録商標)SEおよびGT PECVDプラットフォームによって生成されるAPF(登録商標)Advanced Patterning Filmである。エッチングすべき基板を、一般に、パターン転写層を形成するためにプロセシングチャンバ内に配置する。基板を、基板支持部上に配置することができ、基板支持部は、容量カップリングによるプラズマを発生させるための電極として働くことができ、基板の温度を制御するように適合させることができる。代替の実施形態では、基板支持部は、プラズマの指向性堆積のために基板に電気的なバイアスを印加するように働くことができる。容量カップリングによるプラズマを、やはり、サイドプレートや、シャワーヘッド電極や、拡散プレートや、その他などの、基板支持部以外の電極を設置することによってプロセスチャンバの内部で発生させることができる。チャンバの側壁は、プラズマ発生電極として働くことができる。さらに別の実施形態では、誘導コイルに合い、かつチャンバの上部に配置されたリエントラント管を介した誘導カップリングによって、プラズマを発生させることができる。最後に、ある実施形態では、プラズマを遠くで発生させ、チャンバに供給することができる。パターン転写層を形成するための例示的なプラズマチャンバの詳細を、米国特許第5,855,681号および第6,495,233号中に見出すことができる。
アモルファスカーボンは、例示的なパターン転写層である。下記にさらに説明するように、パターンを画定するために一般に使用する「ソフト」フォトレジストと区別するために「ハードマスク」としても知られるアモルファスカーボンパターン転写層を、その中に基板を配置しているプロセシングチャンバへ炭素原料を供給することによって形成することができる。炭素原料を、ある実施形態ではプロピレンまたはアセチレンとすることができるが、好ましくは、適した蒸気圧および活性化を容易にするためのイオン化電位を有する前駆物質である。反応性プラズマへと炭素前駆物質をイオン化させるために、RFパワーを一般に印加する。ある実施形態では、基板の表面へ向けて反応性イオンを加速するために、基板に電圧を印加することができ、基板上への堆積を促進させる。
フォトレジスト層を、ボックス204においてパターン転写層上に形成する。フォトレジストは、一般にある波長の電磁放射に感度があるポリマ材料であり、スピンコーティングプロセスまたはCVDプロセスを介して適用することができる。ある実施形態では、フォトレジストは、フェノール樹脂か、エポキシ樹脂か、またはアゾナフテン樹脂などの紫外線に感度がある炭素ベースのポリマである。フォトレジスト層は、ポジ型フォトレジストであってもネガ型フォトレジストであってもよい。好ましいポジ型フォトレジストを、248nmレジストや、193nmレジストや、157nmレジストや、ジアゾナフトキノン増感剤入りのフェノール樹脂母材からなるグループから選択することができる。好ましいネガ型フォトレジストを、ポリ−シス−イソプレンおよびポリ−ビニルシナメイトからなるグループから選択することができる。ある実施形態では、フォトレジスト層は、下部反射防止コーティング(BARC)層をさらに包含することができ、BARC層およびフォトレジスト層を、スピン−オンプロセスによって堆積することができる。
フォトレジスト層は、ボックス204においてパターニングされ、パターンが現像される。図2Cは、プロセスのこのステージにおける基板250を図示する。パターン転写層260が、誘電体層258の上方に形成されている。フォトレジスト層262は、パターン転写層260の上に重なり、下にあるパターン転写層260を暴露するパターン開口部264を明示している。
図2B〜図2Hの実施形態では、フォトレジストをエッチングすることによって与えられるパターンは、複数の開口部264を明示している。デバイス254のゲートスタックおよびソース接合やドレイン接合のためのコンタクトビアを形成するために、開口部264を最終的には使用する。コンタクトビアを形成するために縮小CDパターンを使用することは、コンタクト間の容量相互作用、またはクロス−トークを低減するために有利である。ビアのCDを縮小させることは、それらの間の距離を増加させ、ビア中に形成したコンタクトの容量カップリングを低減する。
パターンを、ボックス208においてパターン転写層中へと転写する。パターンを、いずれかの適したプロセスによってパターン転写層中へエッチングすることができる。パターン転写層がアモルファスカーボンである例示的な実施形態では、O2およびN2の組み合わせまたはCH4、N2およびO2の組み合わせを組み入れたプラズマエッチングプロセスを使用して、パターンをエッチングすることができる。図2Dは、方法200のこのステージにおける基板250を示す。パターン転写層260は、開口部すなわちリセス266を形成するためにエッチングされている。開口部266の幅は、フォトレジスト層262中に刻まれたパターン開口部264の幅によって決められている。フォトレジスト層も、また、このステージにおいて除去されている。ある実施形態では、炭素原子が、フォトレジストおよびパターン転写層の両者において支配的であり、その結果、実質的に同じエッチング化学作用を、フォトレジストを除去し、エッチングパターンを転写するために使用することができる。
コンフォーマル層を、ボックス210において基板の上方に形成する。図2Eは、プロセスのこのステージにおける基板250を図示する。コンフォーマル層268は、基板の上に重ねられて示され、縮小した幅のリセス270を形成する。コンフォーマル膜を形成するために適した任意のプロセスによって、コンフォーマル膜を形成することができる。コンフォーマル膜は、開口部266の幅を一様に縮小する。コンフォーマル膜は、好ましくは約80%と約120%との間のステップカバレッジを有し、下地の誘電体層258をエッチングするために使用するエッチャントに対して低いエッチング速度を有する物質から形成される。誘電体層258が多孔質シリコンオキシカーバイドlow−kまたは超low−k誘電体層などの酸化物層である例示的な実施形態では、コンフォーマル膜を、窒素含有膜とすることができる。シリコンナイトライドや、ボロンナイトライドや、シリコンボロナイトライドは、この方法に適した例示的な膜である。コンフォーマル膜を、原子層エピタキシ(ALE)や、原子層堆積(ALD)や、化学気相堆積(CVD)などのプロセスによって堆積することができる。これらのプロセスを、プラズマ支援型とすることができる。
一般に、シリコンナイトライドが、経験的化学式、SiNxである層または膜として堆積される。完全に窒化されたシリコンナイトライドは、N:Siの(原子)比が約1.33であるように、化学式Si3N4を有することができる。しかしながら、不十分に窒化されたシリコンナイトライド材料を、約0.7程度まで低いN:Si比で形成することができる。それゆえ、シリコンナイトライド材料は、約0.7から約1.33まで、好ましくは約0.8から約1.3までのN:Si比を有する。シリコンナイトライド材料は、シリコンおよび窒素以外に、水素や、炭素や、酸素および/またはボロンなどの他の元素を含有することができる。ある実施形態では、シリコンナイトライド材料中の水素濃度は、約8重量パーセント(wt%)以上である。シリコンナイトライド材料中の炭素濃度を、約3原子パーセント(at%)から約15at%とすることができる。シリコンナイトライド材料は、シリコンナイトライド(SiNx)や、シリコンオキシナイトライド(SiOxNy)や、シリコンカーボンナイトライド(SiCxNy)や、シリコンカーボンオキシナイトライド(SiCxOyNz)を含む。シリコンナイトライド材料を、プロセス条件を制御することによって、化学量論組成および組成を変化させて形成することができる。
化学量論組成を1:1の比の付近で変化させて、ボロンナイトライド膜を、やはり、形成することができる。約0.9と約1.1との間で変化するx:yの比で、本明細書中で説明したプロセスによって、組成BxNyを有する膜を形成することができる。プロセス条件を制御することによって、ボロンナイトライド膜の組成を調節することができる。
ある膜は、シリコンや、ボロンや、窒素を含有することができる。ある実施形態では、ボロンドープのシリコンナイトライド膜を形成することができる。別の実施形態では、シリコンドープのボロンナイトライド膜を形成することができる。さらに別の実施形態では、シリコン、ボロン、および窒素がほぼ化学量論比(すなわち、1:1:1)であるシリコンボロナイトライド膜を形成することができる。別の実施形態では、上記の膜のいずれかを、やはり、水素か、炭素か、塩素またはフッ素などのハロゲンか、酸素か、または別のドーパントでドープする、または含有させることができる。
ALEプロセスまたはALDプロセスでは、化学的な前駆物質が逐次的にプロセスチャンバに供給され、チャンバがステップ間でパージされる。ボロンナイトライドコンフォーマル層を堆積する例示的なプロセスでは、ボラン(BH3)か、ジボラン(B2H6)などのもう1つのボランオリゴマか、ボラジン(B3N3H6)か、アルキルボラジンか、トリメチルボリン(B(CH3)3)か、またはBCl3などのボロン前駆物質を、プロセスチャンバに供給することができる。プロセスチャンバに前駆物質をパルス的に送ることを容易にするために、キャリアガスを使用することができる。キャリアガスを、ヘリウム(He)か、アルゴン(Ar)か、窒素(N2)か、またはキセノン(Xe)などの非反応性ガスとすることができる。キャリアガス流中へとパルス的に送られる前駆物質のあるキャリアガスを、連続的に流すことができる、またはパルス的に送られる前駆物質とともに間欠的に流すことができる。ボロン前駆物質の堆積に続いて、パージガスのパルスまたは非反応性キャリアガスの連続的な流れのいずれかによって、チャンバをパージする。窒素ガス(N2)か、アンモニア(NH3)か、亜酸化窒素(N2O)か、またはヒドラジン(H2N2)などの第2の前駆物質含有窒素が、次にチャンバ中へとパルス的に送られ、反応することを可能にする。パージステップが、窒素ステップの後に続く。このサイクルを、堆積した膜が所望の厚さになるまで繰り返すことができる。シリコンナイトライド膜を堆積するために、ボロン前駆物質の代わりに、低級シランか、シロキサンか、シラノールか、もしくはシラザンなどのシリコン前駆物質、またはアルキルや、フェニルや、それらのアミノ誘導体を、使用することができる。シラン(SiH4)およびメチルシラン(MeSiH3)が例である。それに加えて、置換型シクロシロキサン類およびシクロシラザン類などの環式誘導体、ならびにハロゲン誘導体を、やはり、使用することができる。ある実施形態では、コンフォーマル層を、Cや、Fや、Nや、Oや、Siや、Clや、Hからなるグループから選択した原子によりさらにドープすることができる。
ある実施形態では、2以上の前駆物質を使用することができる。例示的なシリコンボロナイトライドコンフォーマル層を堆積させるために、例えば、上記に列挙したもののようなシリコン含有前駆物質を、シリコン含有種を堆積させるためにプロセスチャンバへ供給することができる。パージステップの後で、上記のようなボロン前駆物質を、層にボロンを付加するために供給することができ、次に、上記のような窒素前駆物質を層に窒素を付加するために供給することができる。所望の化学的性質および厚さを有するコンフォーマル層を作るために、3−ステージサイクルを必要なだけ繰り返すことができる。
本明細書中で説明したもののようなコンフォーマル膜を堆積するためのALDプロセスでは、ALDプロセスの開始の前に、プレクリーニングプロセスおよび表面準備処理を基板に受けさせることができる。これらの準備処理は、基板の上側表面からすべての自然酸化膜を除去し、ALDプロセスを容易にするように意図された官能基により表面をターミネートする。基板の表面上に付着させたまたは形成した官能基は、水酸基(OH)や、アルコキシ基(OR、ここでR=Me、Et、PrまたはBu)や、ハロキシル基(OX、ここでX=F、Cl、BrまたはI)や、ハロゲン化物(F、Cl、BrまたはI)や、酸素ラジカルや、アミド基(NRまたはNR2、ここでR=H、Me、Et、PrまたはBu)を含む。プレクリーニングプロセスは、NH3か、B2H6か、SiH4か、Si2H6か、H2Oか、HFか、HClか、O2か、O3か、H2O2か、H2か、原子状のHか、原子状のNか、原子状のOか、アルコール類か、アミン類か、これらのプラズマか、これらの誘導体か、またはこれらの組み合わせなどの薬品に基板を暴露させることができる。官能基は、基板の上側表面上に付着させるために入ってくる化学的前駆物質に関する基剤を与えることができる。ある種の実施形態では、プレクリーニングプロセスは、約1秒から約2分までの期間にわたり薬品に基板の上側表面を曝すことができる。ある種の実施形態では、曝す期間を、約5秒から約60秒とすることができる。プレクリーニングプロセスは、また、RCA溶液(SC1/SC2)か、HF仕上げ溶液か、過酸化水素水溶液か、酸性溶液か、アルカリ性溶液か、これらのプラズマか、これらの誘導体か、またはこれらの組み合わせに基板の表面を曝すことを含む。ある実施形態では、基板を、約2分から約15分の間フッ化水素酸槽中に浸漬させることができる。例示的な一実施形態では、基板を、約2分間2%フッ化水素酸槽中に浸漬させることができる。ある実施形態では、プレクリーニングを、バッチ洗浄システム中でまたは枚葉洗浄システム中で実施することができる。枚葉洗浄システムの一例は、Santa Clara、CaliforniaのApplied Materials,Inc.から入手可能なOASIS CLEAN(登録商標)システムである。
ウェット洗浄プロセスが基板表面を洗浄するために実行されるある種の実施形態では、ウェット洗浄プロセスを、Applied Materials,Inc.から入手可能なMARINER(商標)ウェット洗浄システムまたはTEMPEST(登録商標)ウェット洗浄システム中で実行することができる。あるいは、基板を約15秒間WVGシステムから得られる水蒸気に曝すことができる。
プラズマを形成するためにRFパワーの印加によって、ALEプロセスまたはALDプロセスを支援することができる。パルス的に送るステップおよびパージステップ中ずっと、RFパワーを継続することができる、またはRFパワーを選択的に印加することができる。一般に、強い指向性堆積を避けるために、誘導カップリングプラズマまたは弱い容量カップリングプラズマが好まれる。
ボロンナイトライド膜を堆積させるための熱CVDプロセスでは、ボロン前駆物質および窒素前駆物質を、約10sccmと約1slmとの間などの約5sccmと約50slmとの間の流量でプロセシングチャンバへそれぞれ供給することができる。一実施形態では、キャリアガスなどの非反応性ガスを、約10sccmと約1slmとの間などの約5sccmと約50slmとの間の流量で、やはり供給することができる。チャンバを、約2トールと約20トールとの間などの約10mトールと約760トールとの間の圧力で維持することができ、および基板を、約300℃と約500℃との間などの約100℃と約1000℃との間の温度で維持することができる。
ボロンナイトライド膜を堆積させるためのPECVDプロセスでは、前駆物質を活性化させるために、RFパワーを印加することができる。約30Wと約1000Wとの間などの約2Wと約5000Wとの間のパワーレベルで、約100kHzから約1MHzまでの間の、例えば、約300kHzから約400kHzまでの単一低周波数で、または、約30Wと約1000Wとの間などの約2Wと約5000Wとの間のパワーレベルで、約1MHzよりも高く約60MHzまでの、例えば、13.6MHzなどの約1MHzよりも高い単一高周波数で、RFパワーを供給することができる。あるいは、約30Wと約1000Wとの間などの約2Wと約5000Wとの間のパワーレベルで、約100kHzから約1MHzまでの間の、例えば、約300kHzから約400kHzの第1の周波数および、約30Wと約1000Wとの間などの約2Wと約5000Wとの間のパワーレベルで、約1MHzよりも高く約60MHzまでの、例えば、13.6MHzなどの約1MHzよりも高い第2の周波数、を含む混合周波数で、RFパワーを供給することができる。
ボロン含有前駆物質および窒素含有前駆物質が同時に導入されるさらなる実施形態では、SiBN層を形成するために、シリコン含有前駆物質もまた、ボロン含有前駆物質および窒素含有前駆物質とともにチャンバ内へと導入することができる。SiBN層を堆積するための例示的なプロセス条件は、60sccmSiH4、600sccmNH3、1000sccmN2、100〜1000sccmB2H6で前駆物質を導入すること、6トールのチャンバ圧力でチャンバ条件を維持しつつ、13.6MHzで100WのRFパワーでプラズマを発生させること、および480ミルの間隔を含む。選択肢として、SiBN層を、400℃で10分間UV硬化させることができる。
ボロンナイトライド層を堆積させるためのALDプロセスでは、約5:1などの約4:1と約6:1との間の比で前駆物質としてジボランおよび窒素を使用して、層をサイクル当たり20Åの速度で堆積させることができる。例えば、400sccmのジボランおよび2000sccmの窒素を、6トールのチャンバ圧力および480ミルの間隔で5秒/サイクルの間供給することができ、結果として得られた層を、層中へと窒素を取込み、ボロンナイトライド層を形成するために、プラズマプロセスにより処理する、ここで、プラズマプロセスは、13.6MHzでの300WのRFパワーにより10秒/サイクルの間100sccmのアンモニアおよび2000sccmの窒素を使用することを包含する。
シリコンおよび窒素含有層のコンフォーマル堆積を、様々なプロセスに従って行うことができる。あるプロセスでは、基板表面を、シリコン前駆物質およびアンモニアを含まない反応物質に曝すことができる。シリコン前駆物質は、ビス(第三級アミノ)シラン(BTBAS)などのアルキルアミノシラン類を含むことができ、アンモニアを含まない反応物質を、水素か、シラン類か、ボラン類か、ゲルマン類か、アルキル類か、アミン類か、またはヒドラジン類などの化合物とすることができる。反応物質に曝すことは、熱CVDプロセスか、パルスCVDプロセスか、ALDプロセス中であってもよく、プラズマへと活性化させることができる。
一プロセスでは、シリコン前駆物質および反応物質は、ALDプロセスを実施するためにその中に配置した基板を有するプロセスチャンバ内へと逐次パルス的に送られる。シリコン前駆物質を、約1sccmから約300sccmの流量で、好ましくは約10sccmから約100sccmの流量でプロセスチャンバ内へと投与する。例えば、BTBASは、約13sccmから約130sccmの流量を有することができ、それはBTBASの分圧および暴露した表面積に応じて、約0.1g/分から約1.0g/分の速度と同等である。反応物質を、約100sccmから約3,000sccm以上の流量で、好ましくは、約500sccmから約3,000sccmなどの約500sccmより多くの流量で、より好ましくは、約1,000sccmから約2,000sccmの流量でプロセスチャンバ内へと投与する。シリコン前駆物質か、反応物質か、またはパージガスのパルスは、他とは無関係に、約0.05秒から約10秒までの持続時間、好ましくは約0.1秒から約1秒まで、例えば、約0.5秒の持続時間を有する。各パルスは、パルス的に送った前駆物質が基板に付着することを可能にするために、通常遅延時間が後に続き、窒素またはアルゴンなどのパージガスが反応ゾーンを継続的にまたは遅延時間の後でパルス的に流れ抜けることをともなう。
コンフォーマルシリコンナイトライド層を形成するために有用なシリコン前駆物質は、アミノシランなどの窒素を一般に含有する。有用なシリコン前駆物質である具体的なアミノシラン類は、(RR’N).sub.4−nSiH.sub.nの化学式を有するアルキルアミノシラン類であり、ここで、RおよびR’は、他とは無関係に、水素か、メチルか、エチルか、プロピルか、ブチルか、ペンチルか、またはアリルであり、n=0、1、2または3である。一実施形態では、Rは水素であり、R’は、メチルか、エチルか、プロピルか、ブチルか、またはペンチルなどのアルキル基であり、例えば、R’は、第三級ブチルなどのブチル基であり、nは2である。別の一実施形態では、RおよびR’は、他とは無関係に、メチルや、エチルや、プロピルや、ブチルや、ペンチルなどのアルキル基、またはアリル基である。本明細書中で説明した堆積プロセスのために有用なシリコン前駆物質は、(.sup.tBu(H)N).sub.3SiHや、(.sup.tBu(H)N).sub.2SiH.sub.2や、(.sup.tBu(H)N)SiH.sub.3や、(.sup.iPr(H)N).sub.3SiHや、(.sup.iPr(H)N).sub.2SiH.sub.2や、(.sup.iPr(H)N)SiH.sub.3およびこれらの誘導体を含む。好ましくは、シリコン前駆物質は、ビス(第三級ブチルアミノ)シラン((.sup.tBu(H)N).sub.2SiH.sub.2、すなわちBTBAS)である。別の実施形態では、シリコン前駆物質は、(RR’N).sub.4−nSiR”.sub.nの化学式を有するアルキルアミノシランであってもよく、ここで、RおよびR’は、他とは無関係に、水素か、メチルか、エチルか、プロピルか、ブチルか、ペンチルか、またはアリルであり、R”は、他とは無関係に、水素か、アルキル(例えば、メチル、エチル、プロピル、ブチル、またはペンチル)か、アリルか、またはハロゲン(例えば、F、Cl、BrまたはI)であり、n=0、1、2または3である。
シリコン前駆物質としてBTBASを使用して単一ウエハプロセシングチャンバ内でコンフォーマルなシリコンおよび窒素含有層を形成するためのプロセスでは、反応物質に対するBTBASの比率は、一般に少なくとも10であり、好ましくは約10と約100との間、例えば、約30と約50との間である。比率は、バッチプロセシングチャンバに対してはより低いことがある。基板を、約500℃と約800℃との間の温度に維持することができ、チャンバを、約10トールと約760トールとの間の圧力、例えば、約250トールの圧力で維持する。代替の実施形態では、ALDプロセスを実施するために、シリコン前駆物質および反応物質を、チャンバ内へと逐次パルス的に送ることができる。
ある実施形態では、前処理プロセス中にUV光源から得られるエネルギービームに基板を曝し、堆積プロセス中にアミノシランを含有する堆積ガスおよびエネルギービームに基板を曝すことによって、シリコンおよび窒素を含有するコンフォーマル層の堆積を促進することができる。Xeエキシマレーザなどのエキシマレーザを使用して、エネルギービームを発生させることができる。有用なXeエキシマレーザの一例は、Danvers、MAに所在のOsram Sylvaniaから入手可能な、XERADEX(登録商標)20である。
基板の表面から自然酸化膜を除去するために、前処理プロセス中にエネルギービームに基板を曝すことができる。シリコンナイトライド材料を堆積する前に基板表面から自然酸化膜を除去するために、直接光励起システムによって発生したエネルギービームにより、基板を前処理することができる。前処理プロセス中に、プロセスガスを基板に暴露させることができる。プロセスガスは、アルゴンか、窒素か、ヘリウムか、水素か、フォーミングガスか、またはこれらの組み合わせを含有することができる。前処理プロセスは、光励起プロセス中に自然酸化膜除去を促進させるために、約2分から約10分の範囲内の時間の期間にわたり続けることができる。やはり、プロセス100中に自然酸化膜除去を促進させるために、光励起中に、約100℃から約800℃の範囲内、好ましくは約200℃から約600℃、より好ましくは約300℃から約500℃の範囲内の温度に、基板を加熱することができる。エネルギービームを、約2eVから約10eVの範囲内のフォトンエネルギーを有するフォトンビームとすることができ、約126nmから約351nmの範囲内の波長を有するUV照射を生成することができる。
ある実施形態では、光励起プロセス中に、エネルギー送達ガスを供給することができる。エネルギー送達ガスを、ネオンか、アルゴンか、クリプトンか、キセノンか、臭化アルゴンか、塩化アルゴンか、臭化クリプトンか、塩化クリプトンか、フッ化クリプトンか、フッ化キセノン類(例えば、XeF2)か、塩化キセノン類か、臭化キセノン類か、フッ素か、塩素か、臭素か、これらのエキシマか、これらのラジカルか、これらの誘導体か、またはこれらの組み合わせとすることができる。ある実施形態では、少なくとも1つのエネルギー送達ガスの他に、プロセスガスは、やはり、窒素ガス(N2)、水素ガス(H2)、フォーミングガス(例えば、N2/H2またはAr/H2)を含有することができる。別の実施形態では、プロセスガスは、環式芳香族炭化水素を含むことができる。前処理プロセス中に有用である単環式芳香族炭化水素類および多環式芳香族炭化水素類は、キノンか、ヒドロキシキノン(ヒドロキノン)か、アントラセンか、ナフタレンか、フェナントラセンか、これらの誘導体か、またはこれらの組み合わせを含む。別の一実施形態では、エチレンか、アセチレン(エチン)か、プロピレンか、アルキル誘導体か、ハロゲン化物誘導体か、またはこれらの組み合わせなどの不飽和炭化水素類などの、他の炭化水素類を含有するプロセスガスに、基板を曝すことができる。別の一実施形態では、有機物の蒸気は、前処理プロセス中にアルカン化合物類を含有することができる。
十分に速い堆積速度であるが低温でUV支援化学気相堆積によってシリコンナイトライド材料を生成するために使用することができるシリコン前駆物質は、ビス(第三級ブチルアミノ)シラン(BTBASすなわち(tBu(H)N)2SiH2)またはヘキサクロロジシラン(HCDすなわちSi2Cl6)などの1つまたは複数のSi−N結合またはSi−Cl結合を有する化合物を含む。好ましい結合構造を有するシリコン前駆物質は、化学式:R2NSi(R’2)Si(R’2)NR2(アミノジシラン類)、(I)R3SiN3(シリルアジド類)、または(II)R’3SiNRNR2(シリルヒドラジン類)を有する。(III)RおよびR’を、ハロゲンか、1つまたは複数の二重結合を有する有機基か、1つまたは複数の三重結合を有する有機基か、脂肪族アルキル基か、環式アルキル基か、芳香族基か、有機シリル基か、アルキルアミノ基か、NもしくはSiを含有する環式基か、またはこれらの組み合わせのグループから他とは無関係に選択される1つまたは複数の官能基とすることができる。シリコン前駆物質についての適した官能基の例は、クロロ(−Cl)か、メチル(−CH3)か、エチル(−CH2CH3)か、イソプロピル(−CH(CH3)2)か、第三ブチル(−C(CH3)3)か、トリメチルシリル(−Si(CH3)3)か、ピロリジンか、またはこれらの組み合わせを含む。本明細書中で説明したシリコン前駆物質または窒素前駆物質の多くが約550℃以下などの低温で分解するまたは解離することができることが、考えられている。
UV励起堆積プロセス用に適したシリコン前駆物質の別の例は、シリルアジド類R3−SiN3および前駆物質のシリルヒドラジン群R3SiNRNR2、R基の任意の組み合わせの付いた直鎖状化合物および環式化合物を含む。R基を、Hまたはメチルや、エチルや、プロピルや、ブチルや、その他(CxHy)などのいずれかの有機官能基とすることができる。Siに結合したR基を、選択肢として別の1つのアミノ基NH2またはNR2とすることができる。シリコン−窒素前駆物質を使用することの1つの利点は、Si−N膜前駆物質の他の集合に対して問題になる望ましくない塩化アンモニウム粒子を形成せずに良いステップカバレッジおよび最小のパターン依存性(いわゆるパターンローディング)で膜を生じさせるために、シリコンおよび窒素を、同時に送達し、一方で塩素の存在を回避することである。具体的なシリルアジド化合物の例は、(Bristol、Paに所在するUnited Chemical Technologiesから入手可能な)トリメチルシリルアジド((CH3)3SiN3)およびトリス(ジメチルアミン)シリルアジド(((CH3)2N)3SiN3)を含む。具体的なシリルヒドラジン化合物の一例は、1,1−ジメチル−2−ジメチルシリルヒドラジン((CH3)2HSiNHN(CH3)2)である。別の一実施形態では、シリコン−窒素前駆物質を、(R3Si)3Nや、(R3Si)2NN(SiR3)2および(R3Si)NN(SiR3)のうちの少なくとも1つとすることができ、ここで、各Rは、他とは無関係に、水素またはメチルか、エチルか、プロピルか、ブチルか、フェニルか、またはこれらの組み合わせである。適したシリコン−窒素前駆物質の例は、トリシリルアミン((H3Si)3N)、(H3Si)2NN(SiH3)2、(H3Si)NN(SiH3)またはこれらの誘導体を含む。
やはりコンフォーマル膜でありうるコンフォーマル層268は、膜の厚さだけ開口部266の幅を縮小する。従って、コンフォーマル層268の厚さを、所望の幅の縮小から導くことができる。例えば、開口部266が500Å(50nm)の幅である場合には、50Å(5nm)厚のコンフォーマル層の形成によって、幅400Å(40nm)のリセスに縮小することができる。この幅の縮小は、現在のリソグラフィ機器の能力よりも小さなフィーチャを製造するために有用である。
コンフォーマル層の一部をボックス212において除去し、図2Aの方法200を続ける。コンフォーマル層の除去は、エッチングプロセスによることができ、縮小した幅のリセスの側壁から膜をエッチングすることを避けるために好ましくは異方性である。かかる状況における異方性エッチングのために有用な例示的なプロセスは、反応性イオンエッチングである。エッチャントを、プロセスチャンバ内へ供給し、プロセスチャンバは、コンフォーマル層を作るために使用したものと同じチャンバであってよく、または別のチャンバであってもよい。反応性イオンを包含する混合ガスを形成するために、RFパワーを印加することによってエッチャントを活性化する。基板表面に向けて反応性イオンを加速するために、電気的バイアスを基板に印加することができる。縮小した幅のリセスに侵入するこれらのイオンは、側壁に向けて曲がる前にリセス中へと深く進む。かかるイオンの大部分は、リセス270の底部に衝突し、従ってリセス270の底部からコンフォーマル層をエッチングする。リセス270に侵入しないイオンは、基板のフィールド領域に衝突し、フィールド領域からコンフォーマル層268をエッチングして取り去る。図2Fは、方法200のこのステージにおける基板を図示する。
コンフォーマル層がシリコンナイトライド層か、ボロンナイトライド層か、またはシリコンボロナイトライド層である実施形態に関して、基板を含有しているプロセスチャンバ内にハロゲンを含有する前駆物質を供給することによって、反応性イオンを形成することができる。炭素や、イオウや、窒素の様々なハロゲン化物を、これらの物質をエッチングするために使用することができる。例は、CF4や、SF6や、NF3や、CHF3を含む。塩素含有類似体は、やはり、幾分か遅い速度でこれらの層をエッチングする。
一実施形態では、例えば、エッチャントSF6を、その中に配置した基板を有するプロセシングチャンバに供給することができる。エッチャントを、約100sccmと500sccmとの間の、例えば、約300sccmなどの、約20sccmと約1000sccmとの間の流量で供給することができる。ヘリウムか、アルゴンか、ネオンか、またはキセノンなどの非反応性キャリアガスを供給することができる。約200℃と約400℃との間の、例えば、約300℃などの、約50℃と約500℃との間の温度で、基板を維持することができる。約1トールと約5トールとの間の、例えば、約2トールなどの、約1mトールと約10トールとの間の圧力で、チャンバを維持することができる。約200Wと約5000Wとの間のRFパワーを、13.56MHzの高単一周波数で、または約400kHzなどの約100kHzと約600kHzとの間の低単一周波数で、または約400kHzの第1の周波数および約13.56MHzの第2の周波数を有する混合周波数で、印加することができる。RFパワーは、容量カップリングまたは誘導カップリングでありうる。約500Wなどの、約100Wと約1000Wとの間のパワー範囲で基板支持部またはガス分配プレートに電圧を印加することによって、電気的バイアスを基板に印加することができる。RFパワーは、SF6分子からフッ素イオンF−を解離させ、電気的バイアスは、基板表面に向けてイオンを加速する。イオンは、フィールド領域へ向けておよびリセス中へと加速する。リセスへ侵入するイオンは、一般に底へ進み、リセスの底のところのコンフォーマル層をエッチングする。
代替の実施形態では、非反応性イオンを使用してリセス270の底部をエッチングすることができる。アルゴンか、ヘリウムか、ネオンか、またはキセノンなどの希ガスをプラズマへとイオン化し、基板に印加した電圧バイアスによって基板の表面に向けて加速することができる。そのように作られエネルギーを与えられたイオンは、次に基板のフィールド領域および縮小した幅のリセスの底部に衝突し、高エネルギー衝突によって基板からコンフォーマル層を侵食する。
ボックス214では、エッチングマスクとして縮小した幅のリセスを使用して、下地の誘電体層258を公知のプロセスによってエッチングする。図2Gは、方法200のこのステージにおける基板を示す。コンフォーマル層268の残りの部分は、誘電体層258をエッチングするために使用したエッチング化学作用によってゆっくりとエッチングされる、またはまったくエッチングされない。従って、コンフォーマル層268は、エッチングした開口部の幅を画定する。幅で50nm未満などの、現在のリソグラフィ機器の能力よりもはるかに小さな開口部を形成するため、この方法を使用することができる。電気的なバイアス下での反応性イオンまたは非反応性イオンを組み入れた指向性エッチング法は、コンフォーマル層268の残りの部分を元のままに残しながら誘電体層258をエッチングするために有用でありうる。
パターン転写層260を、ボックス216において除去する。層260の組成を有する層を除去することに適合した任意のプロセスを介して、これを実施することができる。パターン転写層260がアモルファスカーボン層などの炭素含有層である例示的な実施形態では、パターン転写層260を酸化によって除去することができる。好ましい酸化方法は、酸素プラズマを使用して層をアタックすることである。この方法が炭素層を速い速度で除去するために好ましい。しかしながら、熱酸化などの別の酸化方法を使用することができる。
パターン転写層260の除去に続いて、コンフォーマル層268のすべての残っている残留物を、ボックス218において除去する。図2Hは、方法200のこのステージにおける基板を示す。コンフォーマル層268の組成を有する層を除去することに適合した任意のプロセスを使用して、コンフォーマル層268の除去を実施することができる。コンフォーマル層268がボロンおよび窒素含有層である例示的な実施形態では、本技術において公知の硫酸過酸化水素水混合液(SPM)などの酸化性溶液でありうる水溶液を使用して、コンフォーマル層268をうまい具合に除去することができる。この性質のリンスは、一般に酸化物系の誘電体をエッチングしない。フッ化水素酸溶液またはリン酸溶液などの酸性溶液を使用して、シリコンおよび窒素含有層を除去することができる。
本発明の実施形態は、また、基板のフィールド領域内に縮小したCDを有するビアを形成する方法を提供する。図3Aは、本発明の別の一実施形態によるプロセスを図示する流れ図である。図3B〜図3Dは、図3Aのプロセスの様々なステージにおける基板の模式図である。ボックス302では、ビアを基板の層中にエッチングする。層を、酸化物層または窒化物層などの誘電体層とすることができる。基板中にビアをエッチングするためのいくつかの公知のプロセスのいずれかによってビアをエッチングする。正確なプロセスは、エッチングすべき層の組成に依存する。図3Bは、そのようにエッチングした基板350を示す。下地層352は、その上に付けた誘電体層354を有し、ビア356が層354中にエッチングされている。
ボックス304において基板の上方にコンフォーマル層を形成する。図1Aから図2Hに関連して上に説明しものと同様なプロセスでは、コンフォーマル層は、約80%と約120%との間のステップカバレッジで、フィールド領域や、側壁や、ビア底を覆う。コンフォーマル層を堆積するために、前述のプロセスのうちのいずれかを使用することができる。この実施形態では、コンフォーマル層は、エッチングした誘電体層の組成と同様な組成を有する。図3A〜図3Dによって説明される実施形態は、最終デバイスの一部に残っているコンフォーマル層を想定している。従って、ある実施形態では、コンフォーマル層は、一般に誘電体層の誘電率と同様な誘電率を有する。
図3Cは、その上に形成したコンフォーマル層358が付いた基板を図示する。コンフォーマル層358は、縮小CDビア360を形成するためにビア356の幅を縮小する。図1Aから図2Hに関連して上に説明したように、ビア356の幅を、コンフォーマル層358の厚さの2倍だけ縮小する。
一実施形態では、コンフォーマル層を、酸化物層とすることができる。low−k炭素含有誘電体層などの酸化物誘電体層の上方に、プラズマ有りまたは無で、CVDプロセスまたはALDプロセスによって、シリコン酸化物のコンフォーマル層を形成することができる。誘電体層を、さらに多孔質とすることができる。デバイスの電気的特性に悪影響を及ぼさずにデバイス構造の一部に残るように、コンフォーマル酸化物層は、十分に低い誘電率および厚さを有する。ある実施形態では、コンフォーマル層は、シリコンに対する酸素の化学量論比よりも大きいことも小さいこともありうる。コンフォーマル層は、従って、約1.8から約2.2の範囲であるシリコンに対する酸素の比を有することができる。
別の実施形態では、コンフォーマル層を、窒素含有層とすることができる。シリコン膜中へ窒素を含ませることが、それ自体の堅さを増加させ、バリア特性を授けることがあるために、ある実施形態では、窒素を含ませることは有用でありうる。コンフォーマル層を、従って、ある実施形態ではシリコンナイトライド層またはシリコンオキシナイトライド層とすることができる。その上に、ある実施形態では、コンフォーマル層を、完全に窒化したシリコンナイトライド層とすることができる、または化学量論比よりも少ない窒素濃度を有することができる。例えば、方法300において使用したシリコンナイトライドコンフォーマル層中のシリコンに対する窒素の比を、約0.7から約1.5にすることができる。
誘電体層354の暴露したフィールド領域や、縮小CDビア360の暴露した底部や、縮小CDビア360の側壁を覆っているコンフォーマル層358の残りの部分を残すために、コンフォーマル層の一部をボックス306において除去する。コンフォーマル層の所望の部分の除去を、コンフォーマル層の組成に合わせた異方性エッチングプロセスを介して実施することができる。コンフォーマル層が酸化物層または窒化物層である一実施形態では、電気的バイアス下でのフッ素イオン指向性エッチングは、本明細書中で上に説明したように、基板350の水平な表面を覆っているコンフォーマル層の一部を選択的にエッチングする。
本発明の実施形態は、基板のフィールド領域内にビアを形成するための別の一方法を提供する。図4Aは、本発明の別の一実施形態による方法400を図示する流れ図である。図4B〜図4Gは、図4Aのプロセスの様々なステージにおける基板の模式図である。エッチングすべき層を有する基板を、プロセシングチャンバに設置する。ボックス402では、パターン転写層を、基板の上側表面に適用する。図4Bは、下部層452や、エッチング層454や、パターン転写層456が付いた基板450を示す。パターン転写層を、層454をエッチングするために使用するエッチング化学作用に耐性のある任意の組成のものとすることができる。図2A〜図2Hに関連して上に説明したように、通常使用するパターン転写層は、炭化水素前駆物質からPECVDによって形成したアモルファスカーボンである。
本明細書中で上に説明したものと実質的に同じフォトレジストを、ボックス404において基板の上方に付け、ボックス406においてパターニングする。図4Cは、方法400のこのステージにおける基板450を図示する。パターン転写層456をパターニングしたフォトレジスト458によって覆い、フォトレジスト458中に形成したビア460は、下のパターン転写層456を暴露させる。
パターン転写層456中へと延びているビア460を示す図4Dに図示したように、パターンを、ボックス408においてパターン転写層中へと転写する。パターンをそれにより転写するプロセスを、アモルファスカーボンパターン転写層のケースにおけるアッシングまたは酸化性エッチングなどの本明細書中で上に説明したいずれかのものとすることができる。
図4Eによって図示したように、次にボックス410において、パターンを基板中へと転写する。エッチング層454中へとビア460を延ばすために、パターン転写層456をエッチングマスクとして使用する。炭素層は、本明細書中で上に説明したプロセスによって除去されている。
本明細書中で説明したものと実質的に同じ方式で、ボックス412においてコンフォーマル層を基板450に適用する。図4Fは、そこに付けたコンフォーマル層462のある基板450を示す。コンフォーマル層462は、縮小CDビア464を形成するために、ビア460の幅を縮小する。この実施形態では、ギャップを埋める前にコンフォーマル層をビア460から除去する必要がないように、コンフォーマル層は、好ましくはエッチング層454に相応するものである。コンフォーマル層は、従って酸化物材料または窒化物材料などに相応する誘電体であり、本明細書中で説明した方法によって堆積することができる。
ボックス414において、指向性エッチングまたは異方性エッチングによって、コンフォーマル層462の一部を除去する。図4Gは、コンフォーマル層462を縮小CDビア464の底部から除去するが、縮小幅を確保するために側壁に沿って残してある結果の構造を示す。
ある実施形態では、パターン転写層を金属層または金属窒化物層とすることができる。金属層または金属窒化物層を、エッチングしたフィーチャの非常に正確なアライメントを必要とするダマシン集積化プロセスにおいてエッチングマスクとしてしばしば使用する。本明細書中で説明したもののような酸化物または窒化物を包含するコンフォーマル層は、かかる実施形態においてCDを縮小するために有用である。パターンを形成するために金属ハードマスクをエッチングし、本明細書中で上に説明したようにコンフォーマル酸化物層または窒化物層をその上に形成し、パターンリセスの底を覆っている部分を除去し、縮小CDエッチングを終わる。ハードマスク層の除去と同じステージにおいてまたは別のステージにおいてコンフォーマル層を除去し、その後でギャップ埋め込みを行うことができる。
本発明のある実施形態は、基板上に形成した誘電体層をパターニングする方法を提供する。図5Aは、本発明の別の一実施形態による方法500を図示する流れ図である。図5B〜図5Hは、図5Aの方法の様々なステージにおける基板の模式図である。エッチングすべき基板をプロセシングチャンバ内に配置し、ステップ502では、その中に形成したパターンを有するパターン転写層が基板上に堆積されている。上記のように、フォトレジスト層を堆積し、パターニングし、パターン転写層にパターンを転写することによって、これを実施することができる。図5Bは、下部層552や、エッチングすべき誘電体層554や、その中に形成したパターンリセス558を有するパターン転写層556を付けた状態の、プロセスのこのステージにおける基板550を図示する。
コンフォーマル層を、ボックス504において基板の上方に形成する。コンフォーマル層を、本明細書中で説明した方法のうちのいずれかを使用して形成することができ、本明細書中で上に説明したコンフォーマル層と同様な組成を有することができる。パターンリセス558の幅を縮小するために選択した厚さにコンフォーマル層を形成する。図5Cは、その上に形成したコンフォーマル層560を有する基板550を図示し、第1の縮小CDパターンリセス562を結果としてもたらす。
コンフォーマル層を、ボックス506において縮小CDパターンリセスの底部から除去する。図5Dは、縮小CDパターンリセス562の底部から除去したコンフォーマル層560が付いた基板550を図示する。本明細書中で上に説明したように、エッチングのために下にある誘電体層554を暴露させるように、バイアス下での反応性イオンエッチングまたは非反応性イオンエッチングなどのいずれかの異方性手段によって、コンフォーマル層を除去することができる。
公知のエッチングプロセスを介して、ボックス508において誘電体層中へと縮小CDパターンを転写する。図5Eは、誘電体層554中へと延びる縮小CDパターンリセス562が付いた基板を図示する。図5Fに示したように、パターニングした誘電体層554を残すために、ボックス510において、パターン転写層556およびコンフォーマル層560を次に除去する。誘電体層554中に形成した縮小CDパターンリセス562を、狭いリセスとすることができる。
ボックス512において基板に第2のコンフォーマル層を適用することによって、CDのさらなる縮小を実現する。上に説明し、図5Gに図示したように、第2のコンフォーマル層564は、誘電体層554のフィールド領域ならびに縮小CDパターンリセス562の側壁および底部を覆う。CDは、コンフォーマル層の厚さだけ縮小され、縮小CDビア566を結果としてもたらす。上に説明したように、エッチングの後でCDを縮小するために使用されるコンフォーマル層は、誘電体層554に相応する材料から好ましくは形成することになり、また、低誘電率を有する酸化物層または窒化物層とすることができる。
図5Hに図示したように、ボックス514において、第2のコンフォーマル層564を縮小CDビア566の底部から除去する。図3A〜図3Dに関連して上に説明したように、縮小CDビア566の側壁上に堆積した第2のコンフォーマル層が、完成したデバイス中に誘電体層554の一部として残るであろうことが、予想される。第2のコンフォーマル層564が誘電体層554に相応するために、デバイス内での適正な機能に一般に適合する電気的特性を有する。従って、コンフォーマル層を適用することによるCD縮小を、エッチングの前および後の両者に適用することができる。
上記は本発明の実施形態に向けられているが、本発明の別の実施形態およびさらなる実施形態を、本発明の基本的な範囲から乖離せずに考案することができ、本発明の範囲は、下記の特許請求の範囲によって決められる。
Claims (15)
- フィールド領域を有する基板中に形成された側壁および底部を有するリセスのクリティカルディメンジョンを縮小する方法であって、
前記フィールド領域、前記側壁、および前記底部の上方にコンフォーマル層を適用する工程と、
前記基板を暴露させるために、指向性エッチングプロセスによって前記底部から前記コンフォーマル層を除去する工程と、
前記底部において前記暴露した基板をエッチングする工程と、
ウェットエッチングプロセスによって前記コンフォーマル層を除去する工程と
を含む方法。 - 前記コンフォーマル層がバリア層である、請求項1に記載の方法。
- 前記リセスが前記基板のパターン転写層をパターニングすることによって形成される、請求項1に記載の方法。
- 前記指向性エッチングプロセスが、前記フィールド領域からも前記コンフォーマル層を除去する、請求項1に記載の方法。
- 前記コンフォーマル層が窒化物層である、請求項1に記載の方法。
- 前記コンフォーマル層がPECVDプロセスによって堆積される、請求項1に記載の方法。
- 前記コンフォーマル層が、前記基板をエッチングするために選択されたエッチャントに曝されたときのエッチング速度が低い物質を包含する、請求項1に記載の方法。
- ウェットエッチングプロセスによって前記コンフォーマル層を除去する工程が、水溶液に前記コンフォーマル層を曝す工程を含む、請求項1に記載の方法。
- 前記指向性エッチングプロセスが、エッチャントガスからプラズマを形成し、前記基板に電気的バイアスを印加する工程を含む、請求項1に記載の方法。
- 基板のフィールド領域内にビアを形成する方法であって、
側壁および底部を有するリセスを形成するために、前記基板の表面上に形成された層をパターニングする工程と、
前記層の上方にコンフォーマル膜を適用することによって前記リセスの幅を縮小する工程と、
前記基板の一部を暴露させるために、前記リセスの前記底部から前記コンフォーマル膜を除去することにより、縮小されたクリティカルディメンジョン域を形成する工程と、
前記ビアを形成するために、前記縮小されたクリティカルディメンジョン域をエッチングする工程と
を含む方法。 - 前記コンフォーマル膜を除去する工程が、エッチャントガスのプラズマに前記コンフォーマル膜を曝し、前記基板に電気的バイアスを印加する工程を含む、請求項10に記載の方法。
- 前記コンフォーマル膜を除去する工程が、水溶液に前記コンフォーマル膜を曝す工程を含む、請求項10に記載の方法。
- 基板上に形成された誘電体層をパターニングする方法であって、
前記誘電体層の上方にパターン転写層を形成する工程と、
フォトレジストを適用することによって前記パターン転写層をパターニングし、前記フォトレジストをパターニングし、前記パターン転写層に前記パターンをエッチングして底部を有するリセスを形成する工程と、
前記パターン転写層の上方に第1のコンフォーマル層を堆積する工程と、
前記誘電体層を暴露させるために、前記リセスの前記底部から前記第1のコンフォーマル層を除去する工程と、
狭いリセスを形成するために、前記誘電体層の前記暴露された部分をエッチングする工程と、
前記パターン転写層および前記コンフォーマル層を除去する工程と、
前記基板の上方に第2のコンフォーマル層を堆積させる工程と、
前記狭いリセスの底部から前記第2のコンフォーマル層を除去する工程と
を含む方法。 - 前記第1のコンフォーマル層が窒素含有層である、請求項13に記載の方法。
- 前記第2のコンフォーマル層が酸素含有層である、請求項14に記載の方法。
Applications Claiming Priority (5)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US5281908P | 2008-05-13 | 2008-05-13 | |
US61/052,819 | 2008-05-13 | ||
US12/257,137 US20090286402A1 (en) | 2008-05-13 | 2008-10-23 | Method for critical dimension shrink using conformal pecvd films |
US12/257,137 | 2008-10-23 | ||
PCT/US2009/042708 WO2009140094A2 (en) | 2008-05-13 | 2009-05-04 | Method for critical dimension shrink using conformal pecvd films |
Publications (1)
Publication Number | Publication Date |
---|---|
JP2011521452A true JP2011521452A (ja) | 2011-07-21 |
Family
ID=41316588
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2011509554A Withdrawn JP2011521452A (ja) | 2008-05-13 | 2009-05-04 | コンフォーマルpecvd膜を使用するクリティカルディメンジョンシュリンクのための方法 |
Country Status (6)
Country | Link |
---|---|
US (1) | US20090286402A1 (ja) |
JP (1) | JP2011521452A (ja) |
KR (1) | KR20110016916A (ja) |
CN (1) | CN102027572A (ja) |
TW (1) | TW201007832A (ja) |
WO (1) | WO2009140094A2 (ja) |
Cited By (19)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2011166060A (ja) * | 2010-02-15 | 2011-08-25 | Hitachi Kokusai Electric Inc | 基板処理装置及び半導体装置の製造方法 |
JP2014090188A (ja) * | 2013-12-11 | 2014-05-15 | Hitachi Kokusai Electric Inc | 半導体装置の製造方法、基板処理装置およびプログラム |
US8785333B2 (en) | 2012-08-23 | 2014-07-22 | Hitachi Kokusai Electric Inc. | Method of manufacturing semiconductor device, substrate processing apparatus, and recording medium |
JP2014175596A (ja) * | 2013-03-12 | 2014-09-22 | Hitachi Kokusai Electric Inc | 半導体装置の製造方法、基板処理装置およびプログラム |
JP2014183223A (ja) * | 2013-03-19 | 2014-09-29 | Hitachi Kokusai Electric Inc | 半導体装置の製造方法、基板処理装置およびプログラム |
JP2014220468A (ja) * | 2013-05-10 | 2014-11-20 | 株式会社日立国際電気 | 半導体装置の製造方法、基板処理装置およびプログラム |
JP2015126177A (ja) * | 2013-12-27 | 2015-07-06 | 株式会社日立国際電気 | 半導体装置の製造方法、基板処理装置およびプログラム |
JP2015198184A (ja) * | 2014-04-02 | 2015-11-09 | 株式会社日立国際電気 | 半導体装置の製造方法、基板処理装置およびプログラム |
JP2016134623A (ja) * | 2015-01-16 | 2016-07-25 | エーエスエム アイピー ホールディング ビー.ブイ. | プラスマエンハンスト原子層エッチングの方法 |
US9431240B2 (en) | 2012-09-11 | 2016-08-30 | Hitachi Kokusai Electric Inc. | Method of manufacturing semiconductor device |
JP2017163032A (ja) * | 2016-03-10 | 2017-09-14 | 東芝メモリ株式会社 | 半導体装置の製造方法 |
JP2017208469A (ja) * | 2016-05-19 | 2017-11-24 | 東京エレクトロン株式会社 | 酸化膜除去方法および除去装置、ならびにコンタクト形成方法およびコンタクト形成システム |
JP2019503082A (ja) * | 2016-01-20 | 2019-01-31 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | 横方向ハードマスク凹部縮小のためのハイブリッドカーボンハードマスク |
WO2020100339A1 (ja) * | 2019-06-26 | 2020-05-22 | 株式会社日立ハイテク | プラズマ処理方法 |
JP2020519007A (ja) * | 2017-05-05 | 2020-06-25 | エーエスエム アイピー ホールディング ビー.ブイ. | 酸素含有薄膜の制御された形成のためのプラズマ増強堆積プロセス |
JP2020520126A (ja) * | 2017-05-16 | 2020-07-02 | エーエスエム アイピー ホールディング ビー.ブイ. | 誘電体上の酸化物の選択的peald |
JP2020123646A (ja) * | 2019-01-30 | 2020-08-13 | 東京エレクトロン株式会社 | エッチング方法、プラズマ処理装置、及び処理システム |
JP2022044696A (ja) * | 2019-06-26 | 2022-03-17 | 株式会社日立ハイテク | プラズマ処理方法 |
US11996284B2 (en) | 2015-11-12 | 2024-05-28 | Asm Ip Holding B.V. | Formation of SiOCN thin films |
Families Citing this family (413)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US10378106B2 (en) | 2008-11-14 | 2019-08-13 | Asm Ip Holding B.V. | Method of forming insulation film by modified PEALD |
US9394608B2 (en) | 2009-04-06 | 2016-07-19 | Asm America, Inc. | Semiconductor processing reactor and components thereof |
US7842622B1 (en) * | 2009-05-15 | 2010-11-30 | Asm Japan K.K. | Method of forming highly conformal amorphous carbon layer |
US8802201B2 (en) | 2009-08-14 | 2014-08-12 | Asm America, Inc. | Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species |
US8415259B2 (en) | 2009-10-14 | 2013-04-09 | Asm Japan K.K. | Method of depositing dielectric film by modified PEALD method |
US8173554B2 (en) * | 2009-10-14 | 2012-05-08 | Asm Japan K.K. | Method of depositing dielectric film having Si-N bonds by modified peald method |
NL2005657A (en) * | 2009-12-03 | 2011-06-06 | Asml Netherlands Bv | A lithographic apparatus and a method of forming a lyophobic coating on a surface. |
US8741394B2 (en) | 2010-03-25 | 2014-06-03 | Novellus Systems, Inc. | In-situ deposition of film stacks |
US9028924B2 (en) | 2010-03-25 | 2015-05-12 | Novellus Systems, Inc. | In-situ deposition of film stacks |
US9997357B2 (en) | 2010-04-15 | 2018-06-12 | Lam Research Corporation | Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors |
US9257274B2 (en) | 2010-04-15 | 2016-02-09 | Lam Research Corporation | Gapfill of variable aspect ratio features with a composite PEALD and PECVD method |
KR20110136273A (ko) * | 2010-06-14 | 2011-12-21 | 삼성전자주식회사 | 수직형 반도체 소자의 제조 방법 |
KR101692389B1 (ko) | 2010-06-15 | 2017-01-04 | 삼성전자주식회사 | 수직형 반도체 소자 및 그 제조 방법 |
JP5644341B2 (ja) * | 2010-10-04 | 2014-12-24 | ソニー株式会社 | 固体撮像素子、および、その製造方法、電子機器 |
TW201216331A (en) | 2010-10-05 | 2012-04-16 | Applied Materials Inc | Ultra high selectivity doped amorphous carbon strippable hardmask development and integration |
TWI455255B (zh) * | 2011-05-23 | 2014-10-01 | Sino American Silicon Prod Inc | 圖案化基板結構、其製作方法及其發光元件 |
US9312155B2 (en) | 2011-06-06 | 2016-04-12 | Asm Japan K.K. | High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules |
US9793148B2 (en) | 2011-06-22 | 2017-10-17 | Asm Japan K.K. | Method for positioning wafers in multiple wafer transport |
US10364496B2 (en) | 2011-06-27 | 2019-07-30 | Asm Ip Holding B.V. | Dual section module having shared and unshared mass flow controllers |
US10854498B2 (en) | 2011-07-15 | 2020-12-01 | Asm Ip Holding B.V. | Wafer-supporting device and method for producing same |
US20130023129A1 (en) | 2011-07-20 | 2013-01-24 | Asm America, Inc. | Pressure transmitter for a semiconductor processing environment |
US9017481B1 (en) | 2011-10-28 | 2015-04-28 | Asm America, Inc. | Process feed management for semiconductor substrate processing |
US8592327B2 (en) * | 2012-03-07 | 2013-11-26 | Tokyo Electron Limited | Formation of SiOCl-containing layer on exposed low-k surfaces to reduce low-k damage |
US8946830B2 (en) | 2012-04-04 | 2015-02-03 | Asm Ip Holdings B.V. | Metal oxide protective layer for a semiconductor device |
US9165788B2 (en) | 2012-04-06 | 2015-10-20 | Novellus Systems, Inc. | Post-deposition soft annealing |
CN102709230B (zh) * | 2012-05-22 | 2015-05-20 | 上海华力微电子有限公司 | 一种形成半导体通孔的方法 |
US9117668B2 (en) * | 2012-05-23 | 2015-08-25 | Novellus Systems, Inc. | PECVD deposition of smooth silicon films |
US8859430B2 (en) * | 2012-06-22 | 2014-10-14 | Tokyo Electron Limited | Sidewall protection of low-K material during etching and ashing |
KR101910499B1 (ko) | 2012-06-29 | 2018-10-23 | 에스케이하이닉스 주식회사 | 반도체 장치의 캐패시터 제조방법 |
US9388491B2 (en) | 2012-07-23 | 2016-07-12 | Novellus Systems, Inc. | Method for deposition of conformal films with catalysis assisted low temperature CVD |
US9558931B2 (en) | 2012-07-27 | 2017-01-31 | Asm Ip Holding B.V. | System and method for gas-phase sulfur passivation of a semiconductor surface |
US9659799B2 (en) | 2012-08-28 | 2017-05-23 | Asm Ip Holding B.V. | Systems and methods for dynamic semiconductor process scheduling |
US9021985B2 (en) | 2012-09-12 | 2015-05-05 | Asm Ip Holdings B.V. | Process gas management for an inductively-coupled plasma deposition reactor |
US9324811B2 (en) | 2012-09-26 | 2016-04-26 | Asm Ip Holding B.V. | Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same |
US10714315B2 (en) | 2012-10-12 | 2020-07-14 | Asm Ip Holdings B.V. | Semiconductor reaction chamber showerhead |
SG2013083241A (en) * | 2012-11-08 | 2014-06-27 | Novellus Systems Inc | Conformal film deposition for gapfill |
US9640416B2 (en) | 2012-12-26 | 2017-05-02 | Asm Ip Holding B.V. | Single-and dual-chamber module-attachable wafer-handling chamber |
US20160376700A1 (en) | 2013-02-01 | 2016-12-29 | Asm Ip Holding B.V. | System for treatment of deposition reactor |
US9484191B2 (en) | 2013-03-08 | 2016-11-01 | Asm Ip Holding B.V. | Pulsed remote plasma method and system |
US9589770B2 (en) | 2013-03-08 | 2017-03-07 | Asm Ip Holding B.V. | Method and systems for in-situ formation of intermediate reactive species |
US8895415B1 (en) | 2013-05-31 | 2014-11-25 | Novellus Systems, Inc. | Tensile stressed doped amorphous silicon |
US8993054B2 (en) | 2013-07-12 | 2015-03-31 | Asm Ip Holding B.V. | Method and system to reduce outgassing in a reaction chamber |
US9018111B2 (en) | 2013-07-22 | 2015-04-28 | Asm Ip Holding B.V. | Semiconductor reaction chamber with plasma capabilities |
US9793115B2 (en) | 2013-08-14 | 2017-10-17 | Asm Ip Holding B.V. | Structures and devices including germanium-tin films and methods of forming same |
US9240412B2 (en) | 2013-09-27 | 2016-01-19 | Asm Ip Holding B.V. | Semiconductor structure and device and methods of forming same using selective epitaxial process |
US9556516B2 (en) | 2013-10-09 | 2017-01-31 | ASM IP Holding B.V | Method for forming Ti-containing film by PEALD using TDMAT or TDEAT |
US10179947B2 (en) | 2013-11-26 | 2019-01-15 | Asm Ip Holding B.V. | Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition |
JP6495025B2 (ja) | 2014-01-31 | 2019-04-03 | ラム リサーチ コーポレーションLam Research Corporation | 真空統合ハードマスク処理および装置 |
US10683571B2 (en) | 2014-02-25 | 2020-06-16 | Asm Ip Holding B.V. | Gas supply manifold and method of supplying gases to chamber using same |
US10167557B2 (en) | 2014-03-18 | 2019-01-01 | Asm Ip Holding B.V. | Gas distribution system, reactor including the system, and methods of using the same |
US9447498B2 (en) | 2014-03-18 | 2016-09-20 | Asm Ip Holding B.V. | Method for performing uniform processing in gas system-sharing multiple reaction chambers |
US11015245B2 (en) | 2014-03-19 | 2021-05-25 | Asm Ip Holding B.V. | Gas-phase reactor and system having exhaust plenum and components thereof |
US9404587B2 (en) | 2014-04-24 | 2016-08-02 | ASM IP Holding B.V | Lockout tagout for semiconductor vacuum valve |
US10858737B2 (en) | 2014-07-28 | 2020-12-08 | Asm Ip Holding B.V. | Showerhead assembly and components thereof |
US9543180B2 (en) | 2014-08-01 | 2017-01-10 | Asm Ip Holding B.V. | Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum |
WO2016025114A1 (en) * | 2014-08-14 | 2016-02-18 | Applied Materials, Inc. | Method for critical dimension reduction using conformal carbon films |
US9890456B2 (en) | 2014-08-21 | 2018-02-13 | Asm Ip Holding B.V. | Method and system for in situ formation of gas-phase compounds |
US9657845B2 (en) | 2014-10-07 | 2017-05-23 | Asm Ip Holding B.V. | Variable conductance gas distribution apparatus and method |
US10941490B2 (en) | 2014-10-07 | 2021-03-09 | Asm Ip Holding B.V. | Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same |
KR102300403B1 (ko) | 2014-11-19 | 2021-09-09 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
DE102014226138A1 (de) | 2014-12-16 | 2016-06-16 | Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. | Verfahren zum Herstellen einer Vorrichtung mit einer dreidimensionalen magnetischen Struktur |
KR102263121B1 (ko) | 2014-12-22 | 2021-06-09 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 및 그 제조 방법 |
US9478415B2 (en) | 2015-02-13 | 2016-10-25 | Asm Ip Holding B.V. | Method for forming film having low resistance and shallow junction depth |
US10529542B2 (en) | 2015-03-11 | 2020-01-07 | Asm Ip Holdings B.V. | Cross-flow reactor and method |
US10276355B2 (en) | 2015-03-12 | 2019-04-30 | Asm Ip Holding B.V. | Multi-zone reactor, system including the reactor, and method of using the same |
US10566187B2 (en) | 2015-03-20 | 2020-02-18 | Lam Research Corporation | Ultrathin atomic layer deposition film accuracy thickness control |
US10763103B2 (en) * | 2015-03-31 | 2020-09-01 | Versum Materials Us, Llc | Boron-containing compounds, compositions, and methods for the deposition of a boron containing films |
JP6925976B2 (ja) * | 2015-04-03 | 2021-08-25 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | 熱cvd中にリガンドを並行して流すことにより高アスペクト比トレンチを充填するプロセス |
US9484202B1 (en) * | 2015-06-03 | 2016-11-01 | Applied Materials, Inc. | Apparatus and methods for spacer deposition and selective removal in an advanced patterning process |
US9659771B2 (en) * | 2015-06-11 | 2017-05-23 | Applied Materials, Inc. | Conformal strippable carbon film for line-edge-roughness reduction for advanced patterning |
US9837304B2 (en) | 2015-06-24 | 2017-12-05 | Tokyo Electron Limited | Sidewall protection scheme for contact formation |
US10458018B2 (en) | 2015-06-26 | 2019-10-29 | Asm Ip Holding B.V. | Structures including metal carbide material, devices including the structures, and methods of forming same |
US10600673B2 (en) | 2015-07-07 | 2020-03-24 | Asm Ip Holding B.V. | Magnetic susceptor to baseplate seal |
US10043661B2 (en) | 2015-07-13 | 2018-08-07 | Asm Ip Holding B.V. | Method for protecting layer by forming hydrocarbon-based extremely thin film |
US9899291B2 (en) | 2015-07-13 | 2018-02-20 | Asm Ip Holding B.V. | Method for protecting layer by forming hydrocarbon-based extremely thin film |
US10083836B2 (en) | 2015-07-24 | 2018-09-25 | Asm Ip Holding B.V. | Formation of boron-doped titanium metal films with high work function |
US10087525B2 (en) | 2015-08-04 | 2018-10-02 | Asm Ip Holding B.V. | Variable gap hard stop design |
US9647114B2 (en) | 2015-08-14 | 2017-05-09 | Asm Ip Holding B.V. | Methods of forming highly p-type doped germanium tin films and structures and devices including the films |
US9711345B2 (en) | 2015-08-25 | 2017-07-18 | Asm Ip Holding B.V. | Method for forming aluminum nitride-based film by PEALD |
US9960072B2 (en) | 2015-09-29 | 2018-05-01 | Asm Ip Holding B.V. | Variable adjustment for precise matching of multiple chamber cavity housings |
US10418243B2 (en) | 2015-10-09 | 2019-09-17 | Applied Materials, Inc. | Ultra-high modulus and etch selectivity boron-carbon hardmask films |
US9909214B2 (en) | 2015-10-15 | 2018-03-06 | Asm Ip Holding B.V. | Method for depositing dielectric film in trenches by PEALD |
US10211308B2 (en) | 2015-10-21 | 2019-02-19 | Asm Ip Holding B.V. | NbMC layers |
US9620356B1 (en) | 2015-10-29 | 2017-04-11 | Applied Materials, Inc. | Process of selective epitaxial growth for void free gap fill |
US10322384B2 (en) | 2015-11-09 | 2019-06-18 | Asm Ip Holding B.V. | Counter flow mixer for process chamber |
US9455138B1 (en) | 2015-11-10 | 2016-09-27 | Asm Ip Holding B.V. | Method for forming dielectric film in trenches by PEALD using H-containing gas |
CN106684139B (zh) * | 2015-11-11 | 2020-02-04 | 中国科学院苏州纳米技术与纳米仿生研究所 | 基于Si衬底的GaN外延结构及其制备方法 |
US9905420B2 (en) | 2015-12-01 | 2018-02-27 | Asm Ip Holding B.V. | Methods of forming silicon germanium tin films and structures and devices including the films |
US9607837B1 (en) | 2015-12-21 | 2017-03-28 | Asm Ip Holding B.V. | Method for forming silicon oxide cap layer for solid state diffusion process |
US9735024B2 (en) | 2015-12-28 | 2017-08-15 | Asm Ip Holding B.V. | Method of atomic layer etching using functional group-containing fluorocarbon |
US9627221B1 (en) | 2015-12-28 | 2017-04-18 | Asm Ip Holding B.V. | Continuous process incorporating atomic layer etching |
US9515252B1 (en) * | 2015-12-29 | 2016-12-06 | International Business Machines Corporation | Low degradation MRAM encapsulation process using silicon-rich silicon nitride film |
US11139308B2 (en) | 2015-12-29 | 2021-10-05 | Asm Ip Holding B.V. | Atomic layer deposition of III-V compounds to form V-NAND devices |
US9754779B1 (en) | 2016-02-19 | 2017-09-05 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US10468251B2 (en) | 2016-02-19 | 2019-11-05 | Asm Ip Holding B.V. | Method for forming spacers using silicon nitride film for spacer-defined multiple patterning |
US10529554B2 (en) | 2016-02-19 | 2020-01-07 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US10501866B2 (en) | 2016-03-09 | 2019-12-10 | Asm Ip Holding B.V. | Gas distribution apparatus for improved film uniformity in an epitaxial system |
US10343920B2 (en) | 2016-03-18 | 2019-07-09 | Asm Ip Holding B.V. | Aligned carbon nanotubes |
US9892913B2 (en) | 2016-03-24 | 2018-02-13 | Asm Ip Holding B.V. | Radial and thickness control via biased multi-port injection settings |
US10865475B2 (en) | 2016-04-21 | 2020-12-15 | Asm Ip Holding B.V. | Deposition of metal borides and silicides |
US10087522B2 (en) | 2016-04-21 | 2018-10-02 | Asm Ip Holding B.V. | Deposition of metal borides |
US10190213B2 (en) | 2016-04-21 | 2019-01-29 | Asm Ip Holding B.V. | Deposition of metal borides |
US10177002B2 (en) * | 2016-04-29 | 2019-01-08 | Applied Materials, Inc. | Methods for chemical etching of silicon |
US10367080B2 (en) | 2016-05-02 | 2019-07-30 | Asm Ip Holding B.V. | Method of forming a germanium oxynitride film |
US10032628B2 (en) | 2016-05-02 | 2018-07-24 | Asm Ip Holding B.V. | Source/drain performance through conformal solid state doping |
KR102592471B1 (ko) | 2016-05-17 | 2023-10-20 | 에이에스엠 아이피 홀딩 비.브이. | 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법 |
US11453943B2 (en) | 2016-05-25 | 2022-09-27 | Asm Ip Holding B.V. | Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor |
US10381448B2 (en) | 2016-05-26 | 2019-08-13 | Tokyo Electron Limited | Wrap-around contact integration scheme |
JP6928810B2 (ja) | 2016-05-29 | 2021-09-01 | 東京エレクトロン株式会社 | 側壁イメージ転写の方法 |
KR102228219B1 (ko) * | 2016-06-01 | 2021-03-17 | 어플라이드 머티어리얼스, 인코포레이티드 | 3d 낸드 응용들을 위한 터널 산화물의 고압 암모니아 질화 |
US10388509B2 (en) | 2016-06-28 | 2019-08-20 | Asm Ip Holding B.V. | Formation of epitaxial layers via dislocation filtering |
US10612137B2 (en) | 2016-07-08 | 2020-04-07 | Asm Ip Holdings B.V. | Organic reactants for atomic layer deposition |
US9859151B1 (en) | 2016-07-08 | 2018-01-02 | Asm Ip Holding B.V. | Selective film deposition method to form air gaps |
US9793135B1 (en) | 2016-07-14 | 2017-10-17 | ASM IP Holding B.V | Method of cyclic dry etching using etchant film |
US10714385B2 (en) | 2016-07-19 | 2020-07-14 | Asm Ip Holding B.V. | Selective deposition of tungsten |
KR102354490B1 (ko) | 2016-07-27 | 2022-01-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
US10395919B2 (en) | 2016-07-28 | 2019-08-27 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US9887082B1 (en) | 2016-07-28 | 2018-02-06 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US9812320B1 (en) | 2016-07-28 | 2017-11-07 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
KR102532607B1 (ko) | 2016-07-28 | 2023-05-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 가공 장치 및 그 동작 방법 |
US10177025B2 (en) | 2016-07-28 | 2019-01-08 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
DE102016215616B4 (de) | 2016-08-19 | 2020-02-20 | Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. | Verfahren zum Herstellen einer magnetischen Struktur und Vorrichtung |
DE102016215617A1 (de) * | 2016-08-19 | 2018-02-22 | Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. | Verfahren zum Herstellen eines Hohlraums mit poröser Struktur |
US10090316B2 (en) | 2016-09-01 | 2018-10-02 | Asm Ip Holding B.V. | 3D stacked multilayer semiconductor memory using doped select transistor channel |
US10217670B2 (en) | 2016-09-07 | 2019-02-26 | Tokyo Electron Limited | Wrap-around contact integration scheme |
US10410943B2 (en) | 2016-10-13 | 2019-09-10 | Asm Ip Holding B.V. | Method for passivating a surface of a semiconductor and related systems |
US10643826B2 (en) | 2016-10-26 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for thermally calibrating reaction chambers |
US11532757B2 (en) | 2016-10-27 | 2022-12-20 | Asm Ip Holding B.V. | Deposition of charge trapping layers |
US10643904B2 (en) | 2016-11-01 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for forming a semiconductor device and related semiconductor device structures |
US10435790B2 (en) | 2016-11-01 | 2019-10-08 | Asm Ip Holding B.V. | Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap |
US10714350B2 (en) | 2016-11-01 | 2020-07-14 | ASM IP Holdings, B.V. | Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10229833B2 (en) | 2016-11-01 | 2019-03-12 | Asm Ip Holding B.V. | Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10134757B2 (en) | 2016-11-07 | 2018-11-20 | Asm Ip Holding B.V. | Method of processing a substrate and a device manufactured by using the method |
KR102546317B1 (ko) | 2016-11-15 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기체 공급 유닛 및 이를 포함하는 기판 처리 장치 |
US10340135B2 (en) | 2016-11-28 | 2019-07-02 | Asm Ip Holding B.V. | Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride |
KR20180068582A (ko) | 2016-12-14 | 2018-06-22 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11447861B2 (en) | 2016-12-15 | 2022-09-20 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus and a method of forming a patterned structure |
US11581186B2 (en) | 2016-12-15 | 2023-02-14 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus |
US10658184B2 (en) * | 2016-12-15 | 2020-05-19 | Taiwan Semiconductor Manufacturing Co., Ltd. | Pattern fidelity enhancement with directional patterning technology |
US9916980B1 (en) | 2016-12-15 | 2018-03-13 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US10517179B2 (en) * | 2016-12-15 | 2019-12-24 | Taiwan Semiconductor Manufacturing Co., Ltd. | Material composition and methods thereof |
US10566212B2 (en) | 2016-12-19 | 2020-02-18 | Lam Research Corporation | Designer atomic layer etching |
KR102700194B1 (ko) | 2016-12-19 | 2024-08-28 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10269558B2 (en) | 2016-12-22 | 2019-04-23 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US10867788B2 (en) | 2016-12-28 | 2020-12-15 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US11390950B2 (en) | 2017-01-10 | 2022-07-19 | Asm Ip Holding B.V. | Reactor system and method to reduce residue buildup during a film deposition process |
KR102067082B1 (ko) | 2017-01-19 | 2020-01-16 | 삼성에스디아이 주식회사 | 패턴 형성 방법 및 반도체 소자 |
US10655221B2 (en) | 2017-02-09 | 2020-05-19 | Asm Ip Holding B.V. | Method for depositing oxide film by thermal ALD and PEALD |
US10468261B2 (en) | 2017-02-15 | 2019-11-05 | Asm Ip Holding B.V. | Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures |
US10283353B2 (en) | 2017-03-29 | 2019-05-07 | Asm Ip Holding B.V. | Method of reforming insulating film deposited on substrate with recess pattern |
US10529563B2 (en) | 2017-03-29 | 2020-01-07 | Asm Ip Holdings B.V. | Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures |
US10103040B1 (en) | 2017-03-31 | 2018-10-16 | Asm Ip Holding B.V. | Apparatus and method for manufacturing a semiconductor device |
USD830981S1 (en) | 2017-04-07 | 2018-10-16 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate processing apparatus |
US10832909B2 (en) | 2017-04-24 | 2020-11-10 | Lam Research Corporation | Atomic layer etch, reactive precursors and energetic sources for patterning applications |
KR102457289B1 (ko) | 2017-04-25 | 2022-10-21 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10770286B2 (en) | 2017-05-08 | 2020-09-08 | Asm Ip Holdings B.V. | Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures |
US10446393B2 (en) | 2017-05-08 | 2019-10-15 | Asm Ip Holding B.V. | Methods for forming silicon-containing epitaxial layers and related semiconductor device structures |
US10892156B2 (en) | 2017-05-08 | 2021-01-12 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film on a substrate and related semiconductor device structures |
US10796912B2 (en) * | 2017-05-16 | 2020-10-06 | Lam Research Corporation | Eliminating yield impact of stochastics in lithography |
US10504742B2 (en) | 2017-05-31 | 2019-12-10 | Asm Ip Holding B.V. | Method of atomic layer etching using hydrogen plasma |
US10886123B2 (en) | 2017-06-02 | 2021-01-05 | Asm Ip Holding B.V. | Methods for forming low temperature semiconductor layers and related semiconductor device structures |
US12040200B2 (en) | 2017-06-20 | 2024-07-16 | Asm Ip Holding B.V. | Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus |
US11306395B2 (en) | 2017-06-28 | 2022-04-19 | Asm Ip Holding B.V. | Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus |
US10685834B2 (en) | 2017-07-05 | 2020-06-16 | Asm Ip Holdings B.V. | Methods for forming a silicon germanium tin layer and related semiconductor device structures |
KR20190009245A (ko) | 2017-07-18 | 2019-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물 |
US11374112B2 (en) | 2017-07-19 | 2022-06-28 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US10541333B2 (en) | 2017-07-19 | 2020-01-21 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US11018002B2 (en) | 2017-07-19 | 2021-05-25 | Asm Ip Holding B.V. | Method for selectively depositing a Group IV semiconductor and related semiconductor device structures |
US10605530B2 (en) | 2017-07-26 | 2020-03-31 | Asm Ip Holding B.V. | Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace |
US10312055B2 (en) | 2017-07-26 | 2019-06-04 | Asm Ip Holding B.V. | Method of depositing film by PEALD using negative bias |
US10590535B2 (en) | 2017-07-26 | 2020-03-17 | Asm Ip Holdings B.V. | Chemical treatment, deposition and/or infiltration apparatus and method for using the same |
US10770336B2 (en) | 2017-08-08 | 2020-09-08 | Asm Ip Holding B.V. | Substrate lift mechanism and reactor including same |
US10692741B2 (en) | 2017-08-08 | 2020-06-23 | Asm Ip Holdings B.V. | Radiation shield |
US11139191B2 (en) | 2017-08-09 | 2021-10-05 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US10249524B2 (en) | 2017-08-09 | 2019-04-02 | Asm Ip Holding B.V. | Cassette holder assembly for a substrate cassette and holding member for use in such assembly |
US11769682B2 (en) | 2017-08-09 | 2023-09-26 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US10236177B1 (en) | 2017-08-22 | 2019-03-19 | ASM IP Holding B.V.. | Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures |
USD900036S1 (en) | 2017-08-24 | 2020-10-27 | Asm Ip Holding B.V. | Heater electrical connector and adapter |
US11830730B2 (en) | 2017-08-29 | 2023-11-28 | Asm Ip Holding B.V. | Layer forming method and apparatus |
US11056344B2 (en) | 2017-08-30 | 2021-07-06 | Asm Ip Holding B.V. | Layer forming method |
US11295980B2 (en) | 2017-08-30 | 2022-04-05 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures |
KR102491945B1 (ko) | 2017-08-30 | 2023-01-26 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR102401446B1 (ko) | 2017-08-31 | 2022-05-24 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10607895B2 (en) | 2017-09-18 | 2020-03-31 | Asm Ip Holdings B.V. | Method for forming a semiconductor device structure comprising a gate fill metal |
KR102630301B1 (ko) | 2017-09-21 | 2024-01-29 | 에이에스엠 아이피 홀딩 비.브이. | 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치 |
US10844484B2 (en) | 2017-09-22 | 2020-11-24 | Asm Ip Holding B.V. | Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
KR20190035036A (ko) * | 2017-09-25 | 2019-04-03 | 삼성전자주식회사 | 박막 형성 장치 및 이를 이용한 비정질 실리콘 막 형성방법 |
US10658205B2 (en) | 2017-09-28 | 2020-05-19 | Asm Ip Holdings B.V. | Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber |
US10403504B2 (en) | 2017-10-05 | 2019-09-03 | Asm Ip Holding B.V. | Method for selectively depositing a metallic film on a substrate |
US10319588B2 (en) | 2017-10-10 | 2019-06-11 | Asm Ip Holding B.V. | Method for depositing a metal chalcogenide on a substrate by cyclical deposition |
US10923344B2 (en) | 2017-10-30 | 2021-02-16 | Asm Ip Holding B.V. | Methods for forming a semiconductor structure and related semiconductor structures |
US10910262B2 (en) | 2017-11-16 | 2021-02-02 | Asm Ip Holding B.V. | Method of selectively depositing a capping layer structure on a semiconductor device structure |
KR102443047B1 (ko) | 2017-11-16 | 2022-09-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 방법 및 그에 의해 제조된 장치 |
US11022879B2 (en) | 2017-11-24 | 2021-06-01 | Asm Ip Holding B.V. | Method of forming an enhanced unexposed photoresist layer |
KR102597978B1 (ko) | 2017-11-27 | 2023-11-06 | 에이에스엠 아이피 홀딩 비.브이. | 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치 |
CN111344522B (zh) | 2017-11-27 | 2022-04-12 | 阿斯莫Ip控股公司 | 包括洁净迷你环境的装置 |
US10290508B1 (en) | 2017-12-05 | 2019-05-14 | Asm Ip Holding B.V. | Method for forming vertical spacers for spacer-defined patterning |
WO2019113482A1 (en) * | 2017-12-08 | 2019-06-13 | Tokyo Electron Limited | High aspect ratio via etch using atomic layer deposition protection layer |
US10872771B2 (en) | 2018-01-16 | 2020-12-22 | Asm Ip Holding B. V. | Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures |
CN111630203A (zh) | 2018-01-19 | 2020-09-04 | Asm Ip私人控股有限公司 | 通过等离子体辅助沉积来沉积间隙填充层的方法 |
TWI799494B (zh) | 2018-01-19 | 2023-04-21 | 荷蘭商Asm 智慧財產控股公司 | 沈積方法 |
USD903477S1 (en) | 2018-01-24 | 2020-12-01 | Asm Ip Holdings B.V. | Metal clamp |
US11018047B2 (en) | 2018-01-25 | 2021-05-25 | Asm Ip Holding B.V. | Hybrid lift pin |
USD880437S1 (en) | 2018-02-01 | 2020-04-07 | Asm Ip Holding B.V. | Gas supply plate for semiconductor manufacturing apparatus |
US10535516B2 (en) | 2018-02-01 | 2020-01-14 | Asm Ip Holdings B.V. | Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures |
US11081345B2 (en) | 2018-02-06 | 2021-08-03 | Asm Ip Holding B.V. | Method of post-deposition treatment for silicon oxide film |
US11685991B2 (en) | 2018-02-14 | 2023-06-27 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
US10896820B2 (en) | 2018-02-14 | 2021-01-19 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
US10731249B2 (en) | 2018-02-15 | 2020-08-04 | Asm Ip Holding B.V. | Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus |
US10658181B2 (en) | 2018-02-20 | 2020-05-19 | Asm Ip Holding B.V. | Method of spacer-defined direct patterning in semiconductor fabrication |
KR102636427B1 (ko) | 2018-02-20 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 장치 |
US10975470B2 (en) | 2018-02-23 | 2021-04-13 | Asm Ip Holding B.V. | Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment |
US11473195B2 (en) | 2018-03-01 | 2022-10-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus and a method for processing a substrate |
US11629406B2 (en) | 2018-03-09 | 2023-04-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate |
US11114283B2 (en) | 2018-03-16 | 2021-09-07 | Asm Ip Holding B.V. | Reactor, system including the reactor, and methods of manufacturing and using same |
KR102646467B1 (ko) | 2018-03-27 | 2024-03-11 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조 |
US11230766B2 (en) | 2018-03-29 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US11088002B2 (en) | 2018-03-29 | 2021-08-10 | Asm Ip Holding B.V. | Substrate rack and a substrate processing system and method |
US10510536B2 (en) | 2018-03-29 | 2019-12-17 | Asm Ip Holding B.V. | Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber |
KR102501472B1 (ko) | 2018-03-30 | 2023-02-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
US12025484B2 (en) | 2018-05-08 | 2024-07-02 | Asm Ip Holding B.V. | Thin film forming method |
TWI811348B (zh) | 2018-05-08 | 2023-08-11 | 荷蘭商Asm 智慧財產控股公司 | 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構 |
KR20190129718A (ko) | 2018-05-11 | 2019-11-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조 |
KR102596988B1 (ko) | 2018-05-28 | 2023-10-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 그에 의해 제조된 장치 |
TWI840362B (zh) | 2018-06-04 | 2024-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 水氣降低的晶圓處置腔室 |
US11718913B2 (en) | 2018-06-04 | 2023-08-08 | Asm Ip Holding B.V. | Gas distribution system and reactor system including same |
US11286562B2 (en) | 2018-06-08 | 2022-03-29 | Asm Ip Holding B.V. | Gas-phase chemical reactor and method of using same |
KR102568797B1 (ko) | 2018-06-21 | 2023-08-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 시스템 |
US10797133B2 (en) | 2018-06-21 | 2020-10-06 | Asm Ip Holding B.V. | Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures |
JP2021529254A (ja) | 2018-06-27 | 2021-10-28 | エーエスエム・アイピー・ホールディング・ベー・フェー | 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法 |
TWI815915B (zh) | 2018-06-27 | 2023-09-21 | 荷蘭商Asm Ip私人控股有限公司 | 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法 |
KR102686758B1 (ko) | 2018-06-29 | 2024-07-18 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10612136B2 (en) | 2018-06-29 | 2020-04-07 | ASM IP Holding, B.V. | Temperature-controlled flange and reactor system including same |
US10388513B1 (en) | 2018-07-03 | 2019-08-20 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10755922B2 (en) | 2018-07-03 | 2020-08-25 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10767789B2 (en) | 2018-07-16 | 2020-09-08 | Asm Ip Holding B.V. | Diaphragm valves, valve components, and methods for forming valve components |
US10483099B1 (en) | 2018-07-26 | 2019-11-19 | Asm Ip Holding B.V. | Method for forming thermally stable organosilicon polymer film |
US11053591B2 (en) | 2018-08-06 | 2021-07-06 | Asm Ip Holding B.V. | Multi-port gas injection system and reactor system including same |
US10883175B2 (en) | 2018-08-09 | 2021-01-05 | Asm Ip Holding B.V. | Vertical furnace for processing substrates and a liner for use therein |
US10829852B2 (en) | 2018-08-16 | 2020-11-10 | Asm Ip Holding B.V. | Gas distribution device for a wafer processing apparatus |
US11430674B2 (en) | 2018-08-22 | 2022-08-30 | Asm Ip Holding B.V. | Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US11024523B2 (en) | 2018-09-11 | 2021-06-01 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102707956B1 (ko) | 2018-09-11 | 2024-09-19 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
US11049751B2 (en) | 2018-09-14 | 2021-06-29 | Asm Ip Holding B.V. | Cassette supply system to store and handle cassettes and processing apparatus equipped therewith |
KR20200038184A (ko) | 2018-10-01 | 2020-04-10 | 에이에스엠 아이피 홀딩 비.브이. | 기판 유지 장치, 장치를 포함하는 시스템, 및 이를 이용하는 방법 |
US11232963B2 (en) | 2018-10-03 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102592699B1 (ko) | 2018-10-08 | 2023-10-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치 |
US10847365B2 (en) | 2018-10-11 | 2020-11-24 | Asm Ip Holding B.V. | Method of forming conformal silicon carbide film by cyclic CVD |
US10811256B2 (en) | 2018-10-16 | 2020-10-20 | Asm Ip Holding B.V. | Method for etching a carbon-containing feature |
KR102546322B1 (ko) | 2018-10-19 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
KR102605121B1 (ko) | 2018-10-19 | 2023-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
USD948463S1 (en) | 2018-10-24 | 2022-04-12 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate supporting apparatus |
US10381219B1 (en) | 2018-10-25 | 2019-08-13 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film |
US11087997B2 (en) | 2018-10-31 | 2021-08-10 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
KR20200051105A (ko) | 2018-11-02 | 2020-05-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 기판 처리 장치 |
US11572620B2 (en) | 2018-11-06 | 2023-02-07 | Asm Ip Holding B.V. | Methods for selectively depositing an amorphous silicon film on a substrate |
US11031242B2 (en) | 2018-11-07 | 2021-06-08 | Asm Ip Holding B.V. | Methods for depositing a boron doped silicon germanium film |
KR20240104192A (ko) | 2018-11-14 | 2024-07-04 | 램 리써치 코포레이션 | 차세대 리소그래피에서 유용한 하드 마스크들을 제조하기 위한 방법들 |
US10818758B2 (en) | 2018-11-16 | 2020-10-27 | Asm Ip Holding B.V. | Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
US10847366B2 (en) | 2018-11-16 | 2020-11-24 | Asm Ip Holding B.V. | Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process |
US10559458B1 (en) | 2018-11-26 | 2020-02-11 | Asm Ip Holding B.V. | Method of forming oxynitride film |
US12040199B2 (en) | 2018-11-28 | 2024-07-16 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
US11217444B2 (en) | 2018-11-30 | 2022-01-04 | Asm Ip Holding B.V. | Method for forming an ultraviolet radiation responsive metal oxide-containing film |
KR102636428B1 (ko) | 2018-12-04 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치를 세정하는 방법 |
US11158513B2 (en) | 2018-12-13 | 2021-10-26 | Asm Ip Holding B.V. | Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures |
TW202037745A (zh) | 2018-12-14 | 2020-10-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成裝置結構之方法、其所形成之結構及施行其之系統 |
TW202405220A (zh) | 2019-01-17 | 2024-02-01 | 荷蘭商Asm Ip 私人控股有限公司 | 藉由循環沈積製程於基板上形成含過渡金屬膜之方法 |
KR20200091543A (ko) | 2019-01-22 | 2020-07-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
CN111524788B (zh) | 2019-02-01 | 2023-11-24 | Asm Ip私人控股有限公司 | 氧化硅的拓扑选择性膜形成的方法 |
US11482533B2 (en) | 2019-02-20 | 2022-10-25 | Asm Ip Holding B.V. | Apparatus and methods for plug fill deposition in 3-D NAND applications |
TWI845607B (zh) | 2019-02-20 | 2024-06-21 | 荷蘭商Asm Ip私人控股有限公司 | 用來填充形成於基材表面內之凹部的循環沉積方法及設備 |
TW202044325A (zh) | 2019-02-20 | 2020-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備 |
KR102626263B1 (ko) | 2019-02-20 | 2024-01-16 | 에이에스엠 아이피 홀딩 비.브이. | 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치 |
TWI842826B (zh) | 2019-02-22 | 2024-05-21 | 荷蘭商Asm Ip私人控股有限公司 | 基材處理設備及處理基材之方法 |
CN111627809B (zh) * | 2019-02-28 | 2024-03-22 | 东京毅力科创株式会社 | 基片处理方法和基片处理装置 |
KR20200108242A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체 |
KR20200108248A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | SiOCN 층을 포함한 구조체 및 이의 형성 방법 |
KR20200108243A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | SiOC 층을 포함한 구조체 및 이의 형성 방법 |
JP2020167398A (ja) | 2019-03-28 | 2020-10-08 | エーエスエム・アイピー・ホールディング・ベー・フェー | ドアオープナーおよびドアオープナーが提供される基材処理装置 |
KR20200116855A (ko) | 2019-04-01 | 2020-10-13 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자를 제조하는 방법 |
US11447864B2 (en) | 2019-04-19 | 2022-09-20 | Asm Ip Holding B.V. | Layer forming method and apparatus |
KR20200125453A (ko) | 2019-04-24 | 2020-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 기상 반응기 시스템 및 이를 사용하는 방법 |
WO2020223011A1 (en) | 2019-04-30 | 2020-11-05 | Lam Research Corporation | Atomic layer etch and selective deposition process for extreme ultraviolet lithography resist improvement |
CN114127890A (zh) | 2019-05-01 | 2022-03-01 | 朗姆研究公司 | 调整的原子层沉积 |
KR20200130118A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 비정질 탄소 중합체 막을 개질하는 방법 |
KR20200130121A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 딥 튜브가 있는 화학물질 공급원 용기 |
KR20200130652A (ko) | 2019-05-10 | 2020-11-19 | 에이에스엠 아이피 홀딩 비.브이. | 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조 |
JP2020188254A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
JP2020188255A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
USD975665S1 (en) | 2019-05-17 | 2023-01-17 | Asm Ip Holding B.V. | Susceptor shaft |
USD947913S1 (en) | 2019-05-17 | 2022-04-05 | Asm Ip Holding B.V. | Susceptor shaft |
USD935572S1 (en) | 2019-05-24 | 2021-11-09 | Asm Ip Holding B.V. | Gas channel plate |
US11145509B2 (en) * | 2019-05-24 | 2021-10-12 | Applied Materials, Inc. | Method for forming and patterning a layer and/or substrate |
CN113891954A (zh) | 2019-05-29 | 2022-01-04 | 朗姆研究公司 | 通过高功率脉冲低频率rf产生的高选择性、低应力、且低氢的类金刚石碳硬掩模 |
USD922229S1 (en) | 2019-06-05 | 2021-06-15 | Asm Ip Holding B.V. | Device for controlling a temperature of a gas supply unit |
KR20200141002A (ko) | 2019-06-06 | 2020-12-17 | 에이에스엠 아이피 홀딩 비.브이. | 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법 |
KR20200143254A (ko) | 2019-06-11 | 2020-12-23 | 에이에스엠 아이피 홀딩 비.브이. | 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조 |
USD944946S1 (en) | 2019-06-14 | 2022-03-01 | Asm Ip Holding B.V. | Shower plate |
TWI837391B (zh) | 2019-06-26 | 2024-04-01 | 美商蘭姆研究公司 | 利用鹵化物化學品的光阻顯影 |
USD931978S1 (en) | 2019-06-27 | 2021-09-28 | Asm Ip Holding B.V. | Showerhead vacuum transport |
KR20210005515A (ko) | 2019-07-03 | 2021-01-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법 |
JP7499079B2 (ja) | 2019-07-09 | 2024-06-13 | エーエスエム・アイピー・ホールディング・ベー・フェー | 同軸導波管を用いたプラズマ装置、基板処理方法 |
CN112216646A (zh) | 2019-07-10 | 2021-01-12 | Asm Ip私人控股有限公司 | 基板支撑组件及包括其的基板处理装置 |
KR20210010307A (ko) | 2019-07-16 | 2021-01-27 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210010820A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 게르마늄 구조를 형성하는 방법 |
KR20210010816A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 라디칼 보조 점화 플라즈마 시스템 및 방법 |
US11643724B2 (en) | 2019-07-18 | 2023-05-09 | Asm Ip Holding B.V. | Method of forming structures using a neutral beam |
KR20210010817A (ko) | 2019-07-19 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 토폴로지-제어된 비정질 탄소 중합체 막을 형성하는 방법 |
TWI839544B (zh) | 2019-07-19 | 2024-04-21 | 荷蘭商Asm Ip私人控股有限公司 | 形成形貌受控的非晶碳聚合物膜之方法 |
CN112309843A (zh) | 2019-07-29 | 2021-02-02 | Asm Ip私人控股有限公司 | 实现高掺杂剂掺入的选择性沉积方法 |
CN112309899A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112309900A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
US11587814B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11227782B2 (en) | 2019-07-31 | 2022-01-18 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587815B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
KR20210018759A (ko) | 2019-08-05 | 2021-02-18 | 에이에스엠 아이피 홀딩 비.브이. | 화학물질 공급원 용기를 위한 액체 레벨 센서 |
USD965524S1 (en) | 2019-08-19 | 2022-10-04 | Asm Ip Holding B.V. | Susceptor support |
USD965044S1 (en) | 2019-08-19 | 2022-09-27 | Asm Ip Holding B.V. | Susceptor shaft |
JP2021031769A (ja) | 2019-08-21 | 2021-03-01 | エーエスエム アイピー ホールディング ビー.ブイ. | 成膜原料混合ガス生成装置及び成膜装置 |
KR20210024423A (ko) | 2019-08-22 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 홀을 구비한 구조체를 형성하기 위한 방법 |
USD940837S1 (en) | 2019-08-22 | 2022-01-11 | Asm Ip Holding B.V. | Electrode |
USD930782S1 (en) | 2019-08-22 | 2021-09-14 | Asm Ip Holding B.V. | Gas distributor |
USD979506S1 (en) | 2019-08-22 | 2023-02-28 | Asm Ip Holding B.V. | Insulator |
USD949319S1 (en) | 2019-08-22 | 2022-04-19 | Asm Ip Holding B.V. | Exhaust duct |
KR20210024420A (ko) | 2019-08-23 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법 |
US11286558B2 (en) | 2019-08-23 | 2022-03-29 | Asm Ip Holding B.V. | Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film |
KR20210029090A (ko) | 2019-09-04 | 2021-03-15 | 에이에스엠 아이피 홀딩 비.브이. | 희생 캡핑 층을 이용한 선택적 증착 방법 |
KR20210029663A (ko) | 2019-09-05 | 2021-03-16 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11562901B2 (en) | 2019-09-25 | 2023-01-24 | Asm Ip Holding B.V. | Substrate processing method |
CN112593212B (zh) | 2019-10-02 | 2023-12-22 | Asm Ip私人控股有限公司 | 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法 |
TWI846953B (zh) | 2019-10-08 | 2024-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理裝置 |
KR20210042810A (ko) | 2019-10-08 | 2021-04-20 | 에이에스엠 아이피 홀딩 비.브이. | 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법 |
TWI846966B (zh) | 2019-10-10 | 2024-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成光阻底層之方法及包括光阻底層之結構 |
US12009241B2 (en) | 2019-10-14 | 2024-06-11 | Asm Ip Holding B.V. | Vertical batch furnace assembly with detector to detect cassette |
TWI834919B (zh) | 2019-10-16 | 2024-03-11 | 荷蘭商Asm Ip私人控股有限公司 | 氧化矽之拓撲選擇性膜形成之方法 |
US11637014B2 (en) | 2019-10-17 | 2023-04-25 | Asm Ip Holding B.V. | Methods for selective deposition of doped semiconductor material |
KR20210047808A (ko) | 2019-10-21 | 2021-04-30 | 에이에스엠 아이피 홀딩 비.브이. | 막을 선택적으로 에칭하기 위한 장치 및 방법 |
KR20210050453A (ko) | 2019-10-25 | 2021-05-07 | 에이에스엠 아이피 홀딩 비.브이. | 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
US11646205B2 (en) | 2019-10-29 | 2023-05-09 | Asm Ip Holding B.V. | Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same |
KR20210054983A (ko) | 2019-11-05 | 2021-05-14 | 에이에스엠 아이피 홀딩 비.브이. | 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템 |
US11501968B2 (en) | 2019-11-15 | 2022-11-15 | Asm Ip Holding B.V. | Method for providing a semiconductor device with silicon filled gaps |
KR20210062561A (ko) | 2019-11-20 | 2021-05-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템 |
US11450529B2 (en) | 2019-11-26 | 2022-09-20 | Asm Ip Holding B.V. | Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface |
CN112951697A (zh) | 2019-11-26 | 2021-06-11 | Asm Ip私人控股有限公司 | 基板处理设备 |
US11862546B2 (en) * | 2019-11-27 | 2024-01-02 | Applied Materials, Inc. | Package core assembly and fabrication methods |
CN112885692A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885693A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
JP7527928B2 (ja) | 2019-12-02 | 2024-08-05 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基板処理装置、基板処理方法 |
KR20210070898A (ko) | 2019-12-04 | 2021-06-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
TW202125596A (zh) | 2019-12-17 | 2021-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成氮化釩層之方法以及包括該氮化釩層之結構 |
KR20210080214A (ko) | 2019-12-19 | 2021-06-30 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
JP2021109175A (ja) | 2020-01-06 | 2021-08-02 | エーエスエム・アイピー・ホールディング・ベー・フェー | ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム |
TW202142733A (zh) | 2020-01-06 | 2021-11-16 | 荷蘭商Asm Ip私人控股有限公司 | 反應器系統、抬升銷、及處理方法 |
US11993847B2 (en) | 2020-01-08 | 2024-05-28 | Asm Ip Holding B.V. | Injector |
JP7189375B2 (ja) | 2020-01-15 | 2022-12-13 | ラム リサーチ コーポレーション | フォトレジスト接着および線量低減のための下層 |
KR102675856B1 (ko) | 2020-01-20 | 2024-06-17 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 및 박막 표면 개질 방법 |
TW202130846A (zh) | 2020-02-03 | 2021-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成包括釩或銦層的結構之方法 |
TW202146882A (zh) | 2020-02-04 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統 |
US11776846B2 (en) | 2020-02-07 | 2023-10-03 | Asm Ip Holding B.V. | Methods for depositing gap filling fluids and related systems and devices |
US11781243B2 (en) | 2020-02-17 | 2023-10-10 | Asm Ip Holding B.V. | Method for depositing low temperature phosphorous-doped silicon |
TW202203344A (zh) | 2020-02-28 | 2022-01-16 | 荷蘭商Asm Ip控股公司 | 專用於零件清潔的系統 |
KR20210116240A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 조절성 접합부를 갖는 기판 핸들링 장치 |
US11876356B2 (en) | 2020-03-11 | 2024-01-16 | Asm Ip Holding B.V. | Lockout tagout assembly and system and method of using same |
KR20210117157A (ko) | 2020-03-12 | 2021-09-28 | 에이에스엠 아이피 홀딩 비.브이. | 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법 |
KR20210124042A (ko) | 2020-04-02 | 2021-10-14 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 |
TW202146689A (zh) | 2020-04-03 | 2021-12-16 | 荷蘭商Asm Ip控股公司 | 阻障層形成方法及半導體裝置的製造方法 |
TW202145344A (zh) | 2020-04-08 | 2021-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於選擇性蝕刻氧化矽膜之設備及方法 |
US11821078B2 (en) | 2020-04-15 | 2023-11-21 | Asm Ip Holding B.V. | Method for forming precoat film and method for forming silicon-containing film |
KR20210128343A (ko) | 2020-04-15 | 2021-10-26 | 에이에스엠 아이피 홀딩 비.브이. | 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조 |
US11996289B2 (en) | 2020-04-16 | 2024-05-28 | Asm Ip Holding B.V. | Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods |
KR20210132600A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템 |
TW202146831A (zh) | 2020-04-24 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法 |
KR20210132576A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조 |
KR20210134226A (ko) | 2020-04-29 | 2021-11-09 | 에이에스엠 아이피 홀딩 비.브이. | 고체 소스 전구체 용기 |
KR20210134869A (ko) | 2020-05-01 | 2021-11-11 | 에이에스엠 아이피 홀딩 비.브이. | Foup 핸들러를 이용한 foup의 빠른 교환 |
TW202147543A (zh) | 2020-05-04 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 半導體處理系統 |
KR20210141379A (ko) | 2020-05-13 | 2021-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 반응기 시스템용 레이저 정렬 고정구 |
TW202146699A (zh) | 2020-05-15 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統 |
KR20210143653A (ko) | 2020-05-19 | 2021-11-29 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210145078A (ko) | 2020-05-21 | 2021-12-01 | 에이에스엠 아이피 홀딩 비.브이. | 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법 |
KR102702526B1 (ko) | 2020-05-22 | 2024-09-03 | 에이에스엠 아이피 홀딩 비.브이. | 과산화수소를 사용하여 박막을 증착하기 위한 장치 |
TW202201602A (zh) | 2020-05-29 | 2022-01-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202212620A (zh) | 2020-06-02 | 2022-04-01 | 荷蘭商Asm Ip私人控股有限公司 | 處理基板之設備、形成膜之方法、及控制用於處理基板之設備之方法 |
TW202218133A (zh) | 2020-06-24 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成含矽層之方法 |
TW202217953A (zh) | 2020-06-30 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202202649A (zh) | 2020-07-08 | 2022-01-16 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
KR20220010438A (ko) | 2020-07-17 | 2022-01-25 | 에이에스엠 아이피 홀딩 비.브이. | 포토리소그래피에 사용하기 위한 구조체 및 방법 |
TW202204662A (zh) | 2020-07-20 | 2022-02-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於沉積鉬層之方法及系統 |
US12040177B2 (en) | 2020-08-18 | 2024-07-16 | Asm Ip Holding B.V. | Methods for forming a laminate film by cyclical plasma-enhanced deposition processes |
US11264474B1 (en) * | 2020-08-18 | 2022-03-01 | Nanya Technology Corporation | Semiconductor device with boron nitride layer and method for fabricating the same |
KR20220027026A (ko) | 2020-08-26 | 2022-03-07 | 에이에스엠 아이피 홀딩 비.브이. | 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템 |
TW202229601A (zh) | 2020-08-27 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統 |
TW202217045A (zh) * | 2020-09-10 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 沉積間隙填充流體之方法及相關系統和裝置 |
USD990534S1 (en) | 2020-09-11 | 2023-06-27 | Asm Ip Holding B.V. | Weighted lift pin |
KR20220040074A (ko) * | 2020-09-23 | 2022-03-30 | 삼성전자주식회사 | 에어 갭을 포함하는 반도체 소자 제조 방법 |
USD1012873S1 (en) | 2020-09-24 | 2024-01-30 | Asm Ip Holding B.V. | Electrode for semiconductor processing apparatus |
WO2022066927A1 (en) * | 2020-09-25 | 2022-03-31 | Lam Research Corporation | Robust ashable hard mask |
US12009224B2 (en) | 2020-09-29 | 2024-06-11 | Asm Ip Holding B.V. | Apparatus and method for etching metal nitrides |
KR20220045900A (ko) | 2020-10-06 | 2022-04-13 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 함유 재료를 증착하기 위한 증착 방법 및 장치 |
CN114293174A (zh) | 2020-10-07 | 2022-04-08 | Asm Ip私人控股有限公司 | 气体供应单元和包括气体供应单元的衬底处理设备 |
TW202229613A (zh) | 2020-10-14 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 於階梯式結構上沉積材料的方法 |
TW202217037A (zh) | 2020-10-22 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 沉積釩金屬的方法、結構、裝置及沉積總成 |
TW202223136A (zh) | 2020-10-28 | 2022-06-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於在基板上形成層之方法、及半導體處理系統 |
TW202235649A (zh) | 2020-11-24 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | 填充間隙之方法與相關之系統及裝置 |
TW202235675A (zh) | 2020-11-30 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | 注入器、及基板處理設備 |
US11946137B2 (en) | 2020-12-16 | 2024-04-02 | Asm Ip Holding B.V. | Runout and wobble measurement fixtures |
TWI847071B (zh) * | 2020-12-18 | 2024-07-01 | 美商應用材料股份有限公司 | 沉積膜的方法 |
TW202231903A (zh) | 2020-12-22 | 2022-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成 |
USD1023959S1 (en) | 2021-05-11 | 2024-04-23 | Asm Ip Holding B.V. | Electrode for substrate processing apparatus |
USD980814S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas distributor for substrate processing apparatus |
USD981973S1 (en) | 2021-05-11 | 2023-03-28 | Asm Ip Holding B.V. | Reactor wall for substrate processing apparatus |
USD980813S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas flow control plate for substrate processing apparatus |
USD990441S1 (en) | 2021-09-07 | 2023-06-27 | Asm Ip Holding B.V. | Gas flow control plate |
Family Cites Families (12)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPH05206085A (ja) * | 1992-01-24 | 1993-08-13 | Fujitsu Ltd | 微細パターンの形成方法 |
JPH10189727A (ja) * | 1996-12-26 | 1998-07-21 | Sony Corp | 半導体装置の製造方法 |
US5893748A (en) * | 1997-02-10 | 1999-04-13 | Advanced Micro Devices, Inc. | Method for producing semiconductor devices with small contacts, vias, or damascene trenches |
JP2001156170A (ja) * | 1999-11-30 | 2001-06-08 | Sony Corp | 多層配線の製造方法 |
US20030064585A1 (en) * | 2001-09-28 | 2003-04-03 | Yider Wu | Manufacture of semiconductor device with spacing narrower than lithography limit |
FR2838866B1 (fr) * | 2002-04-23 | 2005-06-24 | St Microelectronics Sa | Procede de fabrication de composants electroniques et produit electronique incorporant un composant ainsi obtenu |
US7250371B2 (en) * | 2003-08-26 | 2007-07-31 | Lam Research Corporation | Reduction of feature critical dimensions |
US7314691B2 (en) * | 2004-04-08 | 2008-01-01 | Samsung Electronics Co., Ltd. | Mask pattern for semiconductor device fabrication, method of forming the same, method for preparing coating composition for fine pattern formation, and method of fabricating semiconductor device |
US7151040B2 (en) * | 2004-08-31 | 2006-12-19 | Micron Technology, Inc. | Methods for increasing photo alignment margins |
US7271107B2 (en) * | 2005-02-03 | 2007-09-18 | Lam Research Corporation | Reduction of feature critical dimensions using multiple masks |
US7361588B2 (en) * | 2005-04-04 | 2008-04-22 | Advanced Micro Devices, Inc. | Etch process for CD reduction of arc material |
US7828987B2 (en) * | 2006-03-20 | 2010-11-09 | Applied Materials, Inc. | Organic BARC etch process capable of use in the formation of low K dual damascene integrated circuits |
-
2008
- 2008-10-23 US US12/257,137 patent/US20090286402A1/en not_active Abandoned
-
2009
- 2009-05-04 KR KR1020107027525A patent/KR20110016916A/ko not_active Application Discontinuation
- 2009-05-04 WO PCT/US2009/042708 patent/WO2009140094A2/en active Application Filing
- 2009-05-04 CN CN2009801183331A patent/CN102027572A/zh active Pending
- 2009-05-04 JP JP2011509554A patent/JP2011521452A/ja not_active Withdrawn
- 2009-05-08 TW TW098115349A patent/TW201007832A/zh unknown
Cited By (33)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2011166060A (ja) * | 2010-02-15 | 2011-08-25 | Hitachi Kokusai Electric Inc | 基板処理装置及び半導体装置の製造方法 |
US8785333B2 (en) | 2012-08-23 | 2014-07-22 | Hitachi Kokusai Electric Inc. | Method of manufacturing semiconductor device, substrate processing apparatus, and recording medium |
US9431240B2 (en) | 2012-09-11 | 2016-08-30 | Hitachi Kokusai Electric Inc. | Method of manufacturing semiconductor device |
US9234277B2 (en) | 2013-03-12 | 2016-01-12 | Hitachi Kokusai Electric Inc. | Method of manufacturing semiconductor device, substrate processing apparatus, and recording medium |
JP2014175596A (ja) * | 2013-03-12 | 2014-09-22 | Hitachi Kokusai Electric Inc | 半導体装置の製造方法、基板処理装置およびプログラム |
JP2014183223A (ja) * | 2013-03-19 | 2014-09-29 | Hitachi Kokusai Electric Inc | 半導体装置の製造方法、基板処理装置およびプログラム |
JP2014220468A (ja) * | 2013-05-10 | 2014-11-20 | 株式会社日立国際電気 | 半導体装置の製造方法、基板処理装置およびプログラム |
JP2014090188A (ja) * | 2013-12-11 | 2014-05-15 | Hitachi Kokusai Electric Inc | 半導体装置の製造方法、基板処理装置およびプログラム |
JP2015126177A (ja) * | 2013-12-27 | 2015-07-06 | 株式会社日立国際電気 | 半導体装置の製造方法、基板処理装置およびプログラム |
JP2015198184A (ja) * | 2014-04-02 | 2015-11-09 | 株式会社日立国際電気 | 半導体装置の製造方法、基板処理装置およびプログラム |
JP2016134623A (ja) * | 2015-01-16 | 2016-07-25 | エーエスエム アイピー ホールディング ビー.ブイ. | プラスマエンハンスト原子層エッチングの方法 |
US11996284B2 (en) | 2015-11-12 | 2024-05-28 | Asm Ip Holding B.V. | Formation of SiOCN thin films |
JP2019503082A (ja) * | 2016-01-20 | 2019-01-31 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | 横方向ハードマスク凹部縮小のためのハイブリッドカーボンハードマスク |
JP2017163032A (ja) * | 2016-03-10 | 2017-09-14 | 東芝メモリ株式会社 | 半導体装置の製造方法 |
US10490415B2 (en) | 2016-03-10 | 2019-11-26 | Toshiba Memory Corporation | Method of manufacturing 3-dimensional memories including high aspect ratio memory hole patterns |
JP2017208469A (ja) * | 2016-05-19 | 2017-11-24 | 東京エレクトロン株式会社 | 酸化膜除去方法および除去装置、ならびにコンタクト形成方法およびコンタクト形成システム |
JP2020519007A (ja) * | 2017-05-05 | 2020-06-25 | エーエスエム アイピー ホールディング ビー.ブイ. | 酸素含有薄膜の制御された形成のためのプラズマ増強堆積プロセス |
US11776807B2 (en) | 2017-05-05 | 2023-10-03 | ASM IP Holding, B.V. | Plasma enhanced deposition processes for controlled formation of oxygen containing thin films |
JP7249952B2 (ja) | 2017-05-05 | 2023-03-31 | エーエスエム アイピー ホールディング ビー.ブイ. | 酸素含有薄膜の制御された形成のためのプラズマ増強堆積プロセス |
US11728164B2 (en) | 2017-05-16 | 2023-08-15 | Asm Ip Holding B.V. | Selective PEALD of oxide on dielectric |
JP7183187B2 (ja) | 2017-05-16 | 2022-12-05 | エーエスエム アイピー ホールディング ビー.ブイ. | 誘電体上の酸化物の選択的peald |
JP7470173B2 (ja) | 2017-05-16 | 2024-04-17 | エーエスエム・アイピー・ホールディング・ベー・フェー | 誘電体上の酸化物の選択的peald |
JP2023018059A (ja) * | 2017-05-16 | 2023-02-07 | エーエスエム アイピー ホールディング ビー.ブイ. | 誘電体上の酸化物の選択的peald |
JP2020520126A (ja) * | 2017-05-16 | 2020-07-02 | エーエスエム アイピー ホールディング ビー.ブイ. | 誘電体上の酸化物の選択的peald |
JP2020123646A (ja) * | 2019-01-30 | 2020-08-13 | 東京エレクトロン株式会社 | エッチング方法、プラズマ処理装置、及び処理システム |
JP7178918B2 (ja) | 2019-01-30 | 2022-11-28 | 東京エレクトロン株式会社 | エッチング方法、プラズマ処理装置、及び処理システム |
JP7202489B2 (ja) | 2019-06-26 | 2023-01-11 | 株式会社日立ハイテク | プラズマ処理方法 |
JP2022044696A (ja) * | 2019-06-26 | 2022-03-17 | 株式会社日立ハイテク | プラズマ処理方法 |
JPWO2020100339A1 (ja) * | 2019-06-26 | 2021-02-15 | 株式会社日立ハイテク | プラズマ処理方法 |
US11658040B2 (en) | 2019-06-26 | 2023-05-23 | Hitachi High-Tech Corporation | Plasma processing method |
KR20230058178A (ko) * | 2019-06-26 | 2023-05-02 | 주식회사 히타치하이테크 | 플라스마 처리 방법 |
KR102660694B1 (ko) | 2019-06-26 | 2024-04-26 | 주식회사 히타치하이테크 | 플라스마 처리 방법 |
WO2020100339A1 (ja) * | 2019-06-26 | 2020-05-22 | 株式会社日立ハイテク | プラズマ処理方法 |
Also Published As
Publication number | Publication date |
---|---|
CN102027572A (zh) | 2011-04-20 |
US20090286402A1 (en) | 2009-11-19 |
WO2009140094A3 (en) | 2010-01-28 |
KR20110016916A (ko) | 2011-02-18 |
WO2009140094A2 (en) | 2009-11-19 |
TW201007832A (en) | 2010-02-16 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JP2011521452A (ja) | コンフォーマルpecvd膜を使用するクリティカルディメンジョンシュリンクのための方法 | |
TWI781889B (zh) | 形成氮碳氧化矽薄膜的方法 | |
CN100365777C (zh) | 具有改进型抗蚀剂及/或蚀刻轮廓特征的介电膜用蚀刻方法 | |
KR101274960B1 (ko) | 붕소 질화물 및 붕소-질화물 유도 물질들 증착 방법 | |
KR101556574B1 (ko) | 이중 패터닝 식각 프로세스 | |
JP5933694B2 (ja) | ホウ素炭素膜をドライストリッピングする方法 | |
US8084105B2 (en) | Method of depositing boron nitride and boron nitride-derived materials | |
CN111032667A (zh) | 有机金属化合物和沉积高纯度氧化锡方法 | |
US8481422B2 (en) | Prevention and reduction of solvent and solution penetration into porous dielectrics using a thin barrier layer | |
US8927400B2 (en) | Safe handling of low energy, high dose arsenic, phosphorus, and boron implanted wafers | |
KR20140009169A (ko) | 포토레지스트 재료들 및 하드마스크 전구체들의 원자층 증착 | |
JP2019507956A (ja) | ケイ素含有膜の堆積のための組成物及びそれを使用した方法 | |
WO2002099864A1 (en) | Method for removing polysilane from a semiconductor without stripping | |
CN116670802A (zh) | 用于半导体装置形成的底层膜 | |
US20050009356A1 (en) | Method of manufacturing semiconductor device and method of cleaning plasma etching apparatus used therefor | |
CN118486589A (zh) | 形成气隙的系统及方法 | |
CN114174553A (zh) | 组合物和使用该组合物沉积含硅膜的方法 | |
TW202435268A (zh) | 用於介電蝕刻的經由表面改質之側壁無機鈍化 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A300 | Application deemed to be withdrawn because no request for examination was validly filed |
Free format text: JAPANESE INTERMEDIATE CODE: A300 Effective date: 20120807 |