CN111032667A - 有机金属化合物和沉积高纯度氧化锡方法 - Google Patents

有机金属化合物和沉积高纯度氧化锡方法 Download PDF

Info

Publication number
CN111032667A
CN111032667A CN201880050021.0A CN201880050021A CN111032667A CN 111032667 A CN111032667 A CN 111032667A CN 201880050021 A CN201880050021 A CN 201880050021A CN 111032667 A CN111032667 A CN 111032667A
Authority
CN
China
Prior art keywords
group
organometallic compound
netme
nme
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201880050021.0A
Other languages
English (en)
Other versions
CN111032667B (zh
Inventor
R·奥德拉
董存海
D·法布里亚克
W·格拉夫
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Seastar Chemicals ULC
Original Assignee
Seastar Chemicals ULC
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Seastar Chemicals ULC filed Critical Seastar Chemicals ULC
Publication of CN111032667A publication Critical patent/CN111032667A/zh
Application granted granted Critical
Publication of CN111032667B publication Critical patent/CN111032667B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • C07F7/22Tin compounds
    • C07F7/2284Compounds with one or more Sn-N linkages
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/407Oxides of zinc, germanium, cadmium, indium, tin, thallium or bismuth
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/161Coating processes; Apparatus therefor using a previously coated surface, e.g. by stamping or by transfer lamination
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/167Coating processes; Apparatus therefor from the gas phase, by plasma deposition

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • General Physics & Mathematics (AREA)
  • Electromagnetism (AREA)
  • Inorganic Chemistry (AREA)
  • Structural Engineering (AREA)
  • Architecture (AREA)
  • Chemical Vapour Deposition (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

本文公开了可用于沉积高纯度氧化锡的化合物。还公开了使用此类化合物沉积氧化锡膜的方法。这样的膜表现出高的保形性、高的蚀刻选择性并且是光学透明的。此类化合物为下式的那些化合物:Rx‑Sn‑A4‑x其中,A选自下组:(Ya R’z)和3至7元含氮杂环基;每个R基团独立地选自下组:具有1至10个碳原子的烷基或芳基;每个R’基团独立地选自下组:具有1至10个碳原子的烷基、酰基或芳基;x是0至4的整数;a是0至1的整数;Y选自下组:N、O、S和P;且当Y为O、S或Y不存在时,z为1;当Y为N或P时,z为2。

Description

有机金属化合物和沉积高纯度氧化锡方法
技术领域
本公开涉及可用于沉积高纯度氧化锡的有机金属化合物以及此类有机金属化合物的纯化。还公开了使用这种化合物沉积高纯度氧化锡膜的方法。
背景
半导体工业正在生产越来越多的具有越来越小的特征尺寸的部件。这种半导体器件的生产揭示了新的设计和制造挑战,必须解决这些挑战才能维持或改善半导体器件的性能(例如,导体线宽和半导体器件内的间距减小)。具有高密度、高产量、良好的信号完整性以及合适的功率输出的半导体布线堆叠的生产也提出了挑战。
光刻是一种关键的图案转移技术,广泛用于制造各种包含微观结构的电子器件,例如半导体器件和液晶器件。随着器件结构的小型化,必须优化光刻工艺中使用的掩模图案,以将图案准确地转移到下层。
为了增强半导体器件的特征密度,多重图案化光刻是为光刻技术开发的一类技术。双重图案化是多重图案化的子集,采用多个掩模和光刻步骤来创建特定级别的半导体器件。利用更紧密的间距和更窄的导线等优点,双重图案化可改变与半导体器件导线和导线质量有关的变量之间的关系,以维持性能。
最近,已经报道了一种液浸光刻法,旨在解决行业面临的一些问题。在该方法中,抗蚀剂膜通过具有预定厚度的例如纯水或碳氟惰性液体的液体折射率介质(折射率液体,浸没液)曝光,液体折射率介质至少位于透镜之间的抗蚀剂膜上以及衬底上的抗蚀剂膜上。在这种方法中,通常用空气或氮气等惰性气体填充的曝光光的路径空间被折射率(n)较大的液体(例如纯水)代替,结果是,即使采用具有用于传统曝光波长的光源,也可以在不降低聚焦深度的情况下实现高分辨率,就像使用波长较短的光源或NA(数值孔径)较高的透镜一样。
通过使用液浸光刻法,可以使用安装在现有曝光系统上的透镜(即,无需购买新的曝光系统)以低成本形成具有更高的分辨率和优异的景深的抗蚀剂图案,如此液浸光刻法引起了极大的关注。
由于转向了浸没式光刻和多重图案化,因此需要在光致抗蚀剂、BARC和其他传统掩膜层上沉积的一类新型的保形沉积材料。这个新的保形沉积层可以行使2个主要功能:
1)它可以充当透明保护层(或“掩模”),以防止浸没式光刻液引起的化学腐蚀。在这种情况下,保形层需要是透明的,并且能够与光刻工艺集成在一起,而不会产生不利的图案化和曝光问题。
2)它可以具有比现有技术和传统膜(例如非晶碳(随厚度增加而变得更不透明))更高的蚀刻选择性。例如,多重图案化工艺可能需要较厚的(>10,000A),因此需要更不透明的非晶碳层,以实现必要的蚀刻保护。为了获得类似的抗蚀刻性,金属氧化物保形膜可以保持透明,同时在等离子体蚀刻过程中保持所需的蚀刻选择性。
为了确保、平滑、蚀刻和沉积特性、100%台阶覆盖/保形性要求,以及已知在制造过程中或整个电子器件使用寿命中损坏电子器件的低辐射发射,需要在这些过程中使用具有高纯度的反应气体。
由于在蚀刻期间或在光刻浸没处理期间将膜用作抗蚀剂保护层,因此还要求所产生的膜的纯度高。膜中的杂质会在化学或光学方面产生不良反应,这些不良反应会影响图案质量,并可能影响器件特征的关键尺寸,从而导致集成器件性能下降。
由于多种原因,常规抗蚀剂组合物不能总是用于液浸光刻工艺中。例如,在液浸光刻工艺中,抗蚀剂膜在曝光期间直接与折射率液体(浸没液)接触,因此抗蚀剂膜容易受到液体的侵蚀。适用于液浸光刻工艺的抗蚀剂组合物还必须对曝光光透明。此外,尽管常规抗蚀剂组合物可用于通过空气层曝光的光刻中,但由于液体使得其性质的改变,常规抗蚀剂组合物可能无法在液浸光刻中获得令人满意的图案分辨率。
因此,仍然需要能够满足工业上提高要求的改进的透明抗蚀剂保护层。此外,如上所述,需要更高选择性的ALD膜用于多重图案化。
概述
本文公开了可用于沉积高纯度氧化锡的化合物。还公开了使用这种化合物沉积氧化锡膜的方法。这样的膜表现出高的保形性、高的蚀刻选择性、高的硬度和模量,并且是光学透明的。
化合物包括以下式I的那些:
Rx-Sn-A4-x 式I
其中,
A选自下组:(Ya R’z)和3至7元含氮杂环基;
每个R基团独立地选自下组:具有1至10个碳原子的烷基或芳基;
每个R’基团独立地选自下组:具有1至10个碳原子的烷基、酰基或芳基;
x是0至4的整数;
a是0至1的整数;
Y选自下组:N、O、S和P;且
当Y为O、S或Y不存在时,z为1;当Y为N或P时,z为2。
还公开了使用此类化合物沉积氧化锡。在本文公开的方法中使用式I化合物允许在低温下进行氧化锡的化学气相沉积(CVD)和原子层沉积(ALD),并产生由具有低金属杂质、低ɑ发射特性、高硬度和高模量,以及超过器件特征和形貌的>99%台阶覆盖率(即高保形性)的高纯度氧化锡组成的膜。
还公开了通过多级蒸馏纯化式I化合物。与通过常规方法纯化的化合物相比,这种纯化得到金属杂质含量低得多的所谓“超纯”化合物。与本领域已知的那些相比,在本文公开的方法中使用这种超纯化合物导致膜的性质改善。例如,所述膜可的气密性能改善,金属杂质低以及由此类金属杂质导致的相关的产率损失和长期可靠性故障的改善。多级蒸馏可以采用填充塔、采用塔板的级蒸馏塔、多级蒸馏塔或其他类型的多级蒸馏的形式进行。
与多层膜集成技术中使用的传统掩膜和保形层相比,如此生产的氧化锡膜还具有较高的蚀刻选择性,与传统膜(例如无定形碳、掺硼碳等)相比,导致更薄的膜要求。
在一个实施方式中,在式I的有机金属化合物中,A选自下组:(NR’2)基和3至7元含N杂环基。在一个实施方式中,A是(NR’2)基团。在一个实施方式中,A为3至7元含N的杂环基。在一个实施方式中,A为吡咯烷基。在一个实施方式中,A4-x是(NMe2)2或(NEtMe)2
在其他实施方式中,R和R’基团是独立选择的具有1至10个碳原子的烷基。预期每个R和R’基团可以是独立选择的具有1至6个碳原子的烷基。在实施方式中,每个R和R’基团是独立选择的具有1-4个碳原子的烷基。在实施方式中,R和R′独立地选自下组:甲基、乙基、丙基、异丙基、叔丁基、异丁基和正丁基。在实施方式中,R和R’代表不同的烷基。
在一个实施方式中,式I化合物选自下组:Me2Sn(NMe2)2、Me2Sn(NEtMe)2、t-BuSn(NEtMe)3、i-PrSn(NEtMe)3、n-Pr(NEtMe)3、EtSN(NEtMe)3、i-BuSn(NEtMe)3、Et2Sn(NEtMe)2、Me2Sn(NEtMe)2、Sn(NEtMe)4、Bu2Sn(NEtMe)2、Et2Sn(NMe2)2、Me2Sn(NEt2)2、Sn(吡咯烷基)4和Bu2Sn(吡咯烷基)2
在实施方式中,式I化合物选自下组:Me2Sn(NMe2)2、Me2Sn(NEtMe)2、Et2Sn(NMe2)2、Me2Sn(NEt2)2、Sn(吡咯烷基)4和Bu2Sn(吡咯烷基)2
在实施方式中,式I化合物选自下组:Me2Sn(NEtMe)2和Me2Sn(NMe2)2
在实施方式中,式I化合物为Me2Sn(NMe2)2
在实施方式中,提供了一种组合物,其包含任何公开的化合物的有机金属化合物和另一种含Sn的有机金属化合物。另一种有机金属化合物可以是式I化合物。
在各种实施方式中,另一种有机金属化合物选自下组:MeSn(NMe2)3和Sn(NMe2)4
公开了一种用于通过气相沉积过程在基材上沉积氧化锡层的方法。该方法包括以下步骤:
(a)提供至少一个具有官能O-H基团覆盖表面的基材;
(b)将所述权利要求1-6、8-10或12-15中任一项所述的有机金属化合物在气相中输送至所述基材;
(c)将气相中的氧气源输送到所述基材,形成氧化锡层;和
(d)重复步骤a至c以生成所需厚度的氧化锡层,
(e)其中步骤b和c在激活条件下进行。
在某些实施方式中,激活条件是等离子体生成。
还公开了一种用于间隔体(spacer)界定的双重图案沉积的方法。该方法包括以下步骤:
(a)在具有官能O-H基团覆盖表面的基材上沉积(光致)抗蚀剂层,通过电子束(e-束)光刻在抗蚀剂中形成图案,并对光致抗蚀剂进行显影以得到图案;
(b)在权利要求1-6、8-10或12-15中任一项所述的有机金属化合物存在下,使用能量增强的ALD将间隔体层沉积在所述抗蚀剂上;
(c)使用反应性离子蚀刻(RIE)或离子铣削(IM)进行各向异性蚀刻以去除特征的顶部;
(d)通过湿蚀刻或等离子蚀刻去除所述光致抗蚀剂;
(e)各向异性地蚀刻至目标层中;和
(f)去除间隔体,留下图案化的基材。
在一个实施方式中,公开了一种使用多级蒸馏来纯化有机金属化合物的方法。在一个实施方式中,需要2至20级以将金属污染降低至<1ppm。在一个实施方式中,需要2至20级以将金属污染降低至<100ppb。在一个实施方式中,需要2至20级以将金属污染降低至<10ppb。在一个实施方式中,需要2至20级以将金属污染降低至1ppb或更小。
根据以下对优选实施例的详细描述,如附图所示,本发明的前述和其他特征以及本发明的优点将变得更加明显。将会认识到,在不脱离本发明的情况下,本发明能够在各个方面进行修改。因此,附图和说明书本质上应被认为是说明性的,而不是限制性的。
附图简要说明
图1示出了可用于本文公开的方法的处理室的一个实施方式的截面图。
图2示意性地示出了在集成电路制造顺序的不同阶段的基材的横截面图。
图3是描述沉积氧化锡膜的方法的工艺流程图。
图4是间隔体界定的双重图案化技术的示意图。
图5显示了Me3SnNMe2的NMR谱图。
图6显示了Sn(NMe2)4的NMR谱图。
图7显示了Me2Sn(NEtMe)2的NMR谱图。
图8显示了Bu2Sn(NMe2)2的NMR谱图。
图9显示了Me2SnEt2的NMR谱图。
图10显示了Me4Sn的NMR谱图。
图11显示了Bu2Sn(OMe)2的NMR谱图。
图12显示了Bu2Sn(OAc)2的NMR谱图。
图13显示了Et2Sn(NMe2)2的NMR谱图。
图14显示了Me2Sn(NEt2)2的NMR谱图。
图15显示了Sn(吡咯烷基)4的NMR谱图。
图16显示了Bu2Sn(吡咯烷基)2的NMR谱图。
图17显示了Et2Sn(吡咯烷基)2的NMR谱图。
图18显示了Me2Sn(NMe2)2的NMR谱图。
图19显示了tBuSn(NMe2)3的NMR谱图
图20显示了(NMe2)4Sn与乙醇的反应的NMR。
图21显示了Me3SnNMe2与水反应的NMR。
图22显示了Bu2Sn(OAc)2与甲醇反应的NMR。
图23显示了Bu2Sn(OMe)2与乙酸反应的NMR。
图24显示了Bu2Sn(NMe2)2与甲醇反应的NMR。
图25显示了在200℃加热前后Me4Sn的NMR。
图26显示了在200℃加热之前和之后,Et2Sn(NMe2)2的NMR。
图27显示了在150℃加热之前和之后Me2Sn(NMe2)2的NMR。
图28显示了式I的示例性化合物的分解温度。
图29示出了多级蒸馏设备的示意图。
具体实施方式的详细描述
公开了以下式I的有机金属化合物:
Rx-Sn-A4-x 式I
其中,
A选自下组:(Ya R’z)和3至7元含N杂环基;
每个R基团独立地选自下组:具有1至10个碳原子的烷基或芳基;
每个R’基团独立地选自下组:具有1至10个碳原子的烷基、酰基或芳基;
x是0至4的整数;
a是0至1的整数;
Y选自下组:N、O、S和P;且
当Y为O、S或Y不存在时,z为1;当Y为N或P时,z为2。
式I化合物包括其中R选自下组的那些:具有1至10个碳原子的烷基和芳基。特别的化合物是其中R选自下组的那些:具有1至6个碳原子的烷基和芳基。更特别地是其中R选自下组的那些:具有1至4个碳原子的烷基和芳基。这样的化合物的实例包括其中R是甲基、乙基或丁基的那些。
式I化合物包括其中R’选自下组的那些:具有1至10个碳原子的烷基、酰基和芳基。特别的化合物是其中R′选自下组的那些:具有1-6个碳原子的烷基、酰基和芳基。更特别地是其中R′选自下组的那些:具有1-4个碳原子的烷基、酰基和芳基。这种化合物的实例包括其中R′是甲基、乙基或乙酰基的那些。
式I化合物包括其中Y选自下组的那些:N、O、S和P。特别的化合物是其中Y选自下组的那些:N和O。
式I化合物包括其中x是0至4的整数的那些。在特定的实施方式中,x是1至3的整数。更优选地,x为2。
式I化合物包括其中A为3至7元含氮杂环基的那些,例如叠氮基、吡咯烷基和哌啶基。特别的化合物是其中A是吡咯烷基或哌啶基的那些。
式I化合物包括其中R为烷基且A为NR’2基团,且其中R’为烷基的化合物。特别的化合物是其中R和R’代表不同烷基的化合物。
式I化合物是热稳定的,同时表现出良好的反应性。因此,将化合物输送到沉积室而不会发生分解。(分解会导致沉积膜不均匀)。如本发明的化合物所证明的,良好的稳定性和反应性特征还意味着需要更少的材料被输送至生长室(更少的材料更经济),并且循环将更快(因为在过程结束时还留在腔室中将要抽走的材料更少),这意味着可以在更短的时间内沉积较厚的薄膜,从而提高了产量。此外,与本领域方法相比,使用式I化合物可以在低得多的温度(或使用更宽的温度范围)下进行ALD。热稳定性还意味着合成后可以更轻松地纯化材料,并且处理也变得更加容易。
此类化合物可用于封装和保护在液浸光刻中使用的抗蚀剂层(即,充当“掩模”)。因此,本文公开的化合物可用于制造具有适合于在光致抗蚀剂或其他有机掩模层上沉积的性质的透明氧化锡膜,以允许在液体浸没式光刻期间保护下面的层,并且允许制造工业上所需的半导体器件性能改善的器件,例如低缺陷密度、改进的器件可靠性、高器件密度、高成品率、良好的信号完整性和合适的功率输出。
此外,在本文公开的方法中使用式I化合物允许在低温下进行氧化锡的化学气相沉积(CVD)和原子层沉积(ALD),并产生由金属杂质低,α发射特性低以及在器件特征和形貌上>99%台阶覆盖率(即高保形性)的高纯度氧化锡组成的膜。
图1示出了适合于CVD(化学气相沉积)、ALD(原子层沉积)、蚀刻或将掺杂剂掺杂到基材中的处理室800的一个实施例的截面图。可以适于与本文公开的教导一起使用的合适的处理室包括通常在集成电路制造中使用的那些处理室,可以预期,许多类型的处理室可以适于受益于本文公开的一个或多个发明特征。如本文所述的处理室800可以用作等离子体沉积设备。然而,处理室800还可包括但不限于沉积、蚀刻和掺杂系统。该处理可以使用热或等离子体沉积或蚀刻机制。此外,沉积设备可以在基材上沉积或蚀刻许多不同的材料。一种这样的过程包括在基材(例如半导体基材)上沉积保形氧化锡,其具有对各种波长的光具有膜透明性、沉积保形性、低金属杂质的氧化锡、低膜粗糙度和对下层的高蚀刻选择性等所需物理特性。
处理室800可包括限定内部处理区域809的室主体801。基材支撑件834设置在处理室800中。其上形成有特征844的基材838可以在定向等离子体工艺期间设置在基材支撑件834上。基材838可以包括但不限于半导体晶片、平板、太阳能面板和聚合物基材。根据需要,半导体晶片可以为具有直径为200毫米(mm)、300毫米(mm)或450毫米(mm),或其他所需尺寸的盘状。
RF等离子体源806耦合到腔室主体801,并被配置为在处理室800中产生等离子体840。
气体源888耦合到处理室800以将气体供应到内部处理区域809。气体的实例包括但不限于氧化剂,例如O2、O3、NO、NO2、CO2、H2O2和H2O。等离子体源806可以通过激发和电离提供给处理室800的气体来产生等离子体840。可以通过不同的机制将等离子体840中的离子吸引穿过等离子体鞘层842。偏置源890耦合到基材支撑件834,偏置源890被配置为偏置基材838以从等离子体840吸引离子802穿过等离子体鞘层842。偏置源890可以是提供DC电压偏置信号的DC电源,或者是提供RF偏置信号的RF电源。
在操作中,可以在步骤1中使包含式I化合物的进料气体流动,以饱和特征844的表面,然后在随后的步骤2中,将如上所述的氧化性气体在等离子体中电离并在表面844上反应,形成0.1到2.0A的SnO2保形层或其他层(层847)。然后重复步骤1和2,直到达到所需的保形膜厚度。在蚀刻反应的情况下,将设计工艺步骤和气流以在步骤1中修改层844的化学组成,然后是步骤2中的气体以蚀刻修改后的844表面的薄层。再一次,将重复步骤1和2,以实现所需的层844的蚀刻目标去除。
在另外的实施方式中,层844可以由例如对浸没化学物质敏感的光致抗蚀剂的有机材料组成,并且因此需要沉积保护层847以防止如前所述的化学侵蚀或改性。层844可能受到250℃、200℃、150℃或极端情况下100℃的高温暴露的不利影响,因此必须将基材834保持在低温下,以防止损坏层844。在该实施方式中,层847在低温下沉积以防止损坏特征和层844。在这种情况下,必须选择源气体,使得化学反应可以以足够的沉积速率发生,以维持经济上的可行性和较短的处理时间。式I化合物是具有足够高的反应速率以提供约0.2至2.0埃/循环的高沉积速率的分子的实例。
如上所述,本文公开的方法在激活条件下进行,例如使用等离子体源。处理室还可以依赖于热、化学或其他合适的激活过程的使用,而无需等离子体反应。可替代地,可以使用等离子体和非等离子体激活步骤的迭代顺序来沉积或蚀刻材料的薄层。
沉积氧化锡膜的制造方法的一个实施例
图2示意性地示出了在用于制造氧化锡膜的集成电路制造顺序的不同阶段的基材834的截面图。如图2A所示,基材834可具有基本平坦的表面。可替代地,基材可以具有图案化的结构,在其中形成具有沟槽、孔或通孔的表面。基材834还可具有基本平坦的表面,该表面具有在其上或其中以期望的高度形成的结构。尽管基材834被示为单个主体,但是应当理解,基材834可以包含一个或多个用于形成半导体器件的材料层,例如金属触点、沟槽隔离、栅极、位线或任何其他互连特征。基材结构850与形成在基材834上的其他材料层一起表示基材834。
基材834可以包括一个或多个金属层、一种或多种介电材料、半导体材料及其组合,以用于制造半导体器件。例如,根据应用,基材834可以包括氧化物材料、氮化物材料、多晶硅材料等。在需要存储器应用的一个实施方式中,基材834可以包括硅基材材料、氧化物材料和氮化物材料,并且在它们之间夹有或没有多晶硅。
在另一个实施方式中,基材834可以包括沉积在基材的表面上的多种交替的氧化物和氮化物材料(即,氧化物-氮化物-氧化物(ONO))(未示出)。在各种实施方式中,基材834可以包括多种交替的氧化物和氮化物材料、一种或多种氧化物或氮化物材料、多晶硅或非晶硅材料、氧化物与非晶硅交替、氧化物与多晶硅交替、掺杂硅与未掺杂硅交替、未掺杂的多晶硅与掺杂的多晶硅交替,或者未掺杂的非晶硅与掺杂的非晶硅交替。基材834可以是在其上执行膜处理的任何基材或材料表面。例如,基材834可以是例如晶体硅、氧化硅、氮氧化硅、氮化硅、应变硅、硅锗、钨、氮化钛、掺杂或未掺杂的多晶硅、掺杂或未掺杂的硅晶片以及图案化或未图案化的晶片、绝缘体上的硅(SOI)、碳掺杂的氧化硅、氮化硅、掺杂的硅、锗、砷化镓、玻璃、蓝宝石、低k电介质,及其组合。
图2A示出了具有预先形成在其上的材料层844的基材结构850的截面图。材料层844可以是电介质材料,例如氧化物层,如低k含碳电介质层、多孔碳氧硅低k或超低k电介质层。
图2B描绘了沉积在图2A的基材结构850上的氧化锡层847。氧化锡层847可用作随后的蚀刻工艺的图案转移层或硬掩模。氧化锡层847通过任何合适的沉积工艺形成在基材结构850上,例如经由PEALD(等离子体增强的原子层沉积),这将在下面更详细地讨论。根据在制造顺序中使用的能量敏感抗蚀剂材料808的蚀刻化学,可以在形成能量敏感抗蚀剂材料808之前在氧化锡层847上形成可选的覆盖层(未示出)。当图案转移到其中时,可选的覆盖层充当氧化锡层847的掩模,并保护非晶碳层847免受能量敏感型抗蚀剂材料808的影响。
如图2B所示,在氧化锡层847上形成能量敏感抗蚀剂材料808。能量敏感抗蚀剂材料层808可以旋涂在基材上至期望的厚度。大多数的能量敏感抗蚀剂材料对波长小于约450nm的紫外线(UV)辐射敏感,对于某些应用,其波长为245nm或193nm。能量敏感抗蚀剂材料808可以是聚合物材料或碳基聚合物。
通过将能量敏感抗蚀剂材料808通过例如掩模的图案化器件暴露于UV辐射,然后在适当的显影剂中显影能量敏感抗蚀剂材料808,将图案引入到能量敏感抗蚀剂材料808的层中。在能量敏感抗蚀剂材料808已经显影之后,在能量敏感抗蚀剂材料808中存在限定图案的通孔840,如图2C所示。
此后,参考图2D,使用能量敏感抗蚀剂材料808作为掩模,将能量敏感抗蚀剂材料808中限定的图案通过氧化锡层847转移。使用适当的化学蚀刻剂,以在能量敏感抗蚀剂材料808和材料层844上选择性地蚀刻氧化锡层847,从而将开口840延伸到材料层844的表面。适当的化学蚀刻剂可包括还原或卤化的化学物质,包括但不限于氢、氨和各种含氯分子。
参考图2E,然后使用氧化锡层847作为硬掩模通过材料层844将图案转移。在该工艺步骤中,使用蚀刻剂来选择性地去除氧化锡层847上方的材料层844。在对材料层844进行图案化之后,可以可选地从基材834上剥离氧化锡层847。
沉积过程的实施例
图3是描绘根据一种实施方式的用于沉积氧化锡膜的方法的工艺流程图。图2是示出处于集成电路制造顺序的不同阶段的基材的截面图的示意图。
应当注意的是,由于在不脱离本发明的基本范围的情况下可以添加、删除和/或重新排列一个或多个步骤,因此所示步骤的顺序并不旨在限制本文所述的式I的范围。
方法100在框110处通过提供其上沉积有材料层的基材开始。如图2A和2B所示,基材和材料层可以是基材834和材料层844。
在框120处,式I化合物从金属前体源流入处理空间。允许含金属的前体有足够的停留时间以粘附到基材表面834,然后将氧化剂流入处理空间。合适的氧化剂包括但不限于,例如气相的H2O、气相的H2O2、O2、O3、NO、NO2、CO和CO2等化合物。
在框130处,在内部处理空间中产生等离子体,从而使式I化合物与离子化的氧化气体反应以在材料层上形成氧化锡层。
可以通过任何合适的沉积工艺形成氧化锡层,例如等离子体增强化学气相沉积(PECVD)工艺或等离子体增强原子层沉积(PEALD)工艺。可替代地,可以不使用如上所述的等离子体增强的热分解或反应过程。相反,将基材在处理体积中暴露于含碳前体、本发明实施方式的化合物和还原剂的气体混合物中,将其保持在适合于气体混合物热分解的高温下。其他沉积工艺,例如金属有机CVD(MOCVD)工艺和原子层沉积(ALD)工艺,也可以用于形成沉积的金属氧化物。
可以重复图3的框120至130中描述的某些或全部过程,直到达到期望的厚度。氧化锡层847的厚度是可变的,取决于处理阶段。在一实施方式中,氧化锡层847的厚度可为约
Figure BDA0002379167320000111
至约
Figure BDA0002379167320000112
例如约
Figure BDA0002379167320000113
至约
Figure BDA0002379167320000114
使得氧化锡层可在主蚀刻工艺期间以优异的硬掩模性能(例如,良好的CD控制和特征轮廓)被消耗。所得的氧化锡硬掩模可用于各种应用中,例如深氧化物接触蚀刻、DRAM电容器模具蚀刻以及线和/或空间蚀刻。在线和空间蚀刻应用的情况下,例如浅沟槽隔离蚀刻硬掩模、栅极蚀刻硬掩模和位线蚀刻硬掩模,氧化锡层可以具有约
Figure BDA0002379167320000115
至约
Figure BDA0002379167320000116
埃。取决于密集和隔离区域的蚀刻选择性,可以相应地微调层的厚度。
一旦将具有期望厚度的氧化锡层847沉积在材料层844上,就可以对基材进行附加工艺,例如在氧化锡层847上形成能量敏感抗蚀剂材料808的沉积工艺,和/或如上所述的图案化过程。可以使用标准的光致抗蚀剂图案化技术来图案化氧化锡层847。可以使用包含过氧化氢和硫酸的溶液去除金属氧化锡层847。包含过氧化氢和硫酸的一种溶液被称为食人鱼(Piranha)溶液或食人鱼蚀刻。还可以使用包含氢、氘、氧和卤素(例如,氟或氯)的蚀刻化学物质去除氧化锡层847,例如,Cl2/O2、CF4/O2、Cl2/O2/CF4。可以在上述过程之间执行使用合适的吹扫气体(例如氩气、氮气、氦气,或其组合)的吹扫过程,以防止气体或副产物在腔室壁或其他组件上发生不必要的冷凝。可以在不施加RF功率的情况下执行净化过程。
通常,沉积工艺参数的以下示例可用于在300mm的基材上形成氧化锡层。工艺参数的范围可以是约25℃至约700℃的晶片温度,例如约200℃至约500℃,取决于硬掩模膜的应用。腔室压力可以在约1托至约20托的腔室压力范围内,例如在约2托至约10托之间。含氧化锡的前体的流速可以为约100sccm至约5,000sccm,例如,在约400sccm至约2,000sccm之间。如果使用液体源,则前体流量可以在约50mg/min至约1000mg/min之间。如果使用气态源,则前体流可以在约200sccm至约5000sccm之间,例如约200sccm至约600sccm。稀释气体的流速可分别在约0sccm至约20,000sccm的范围内,例如约2,000sccm至约10,000sccm。等离子体引发气体的流速可分别在约0sccm至约20,000sccm的范围内,例如约200sccm至约2,000sccm。含金属的前体的流速可以为约1,000sccm至约15,000sccm,例如,在约5,000sccm至约13,000sccm之间。还原剂的流速可以为约200sccm至约15,000sccm,例如,在约1,000sccm至约3,000sccm之间。
可通过以约0.001W/cm 2至约5W/cm 2,例如约0.01W/cm 2至约1W/cm 2,例如约0.04W/cm2至约0.07W/cm2的功率密度将RF功率施加到基材表面区域来产生等离子体。对于300mm的基材,功率施加可以为约1W至约2,000W,例如约10W至约100W。RF功率可以是单频或双频。相信双频RF功率应用可提供对通量和离子能量的独立控制,因为撞击薄膜表面的离子能量会影响薄膜密度。所施加的RF功率和一个或多个频率的使用可以基于基材尺寸和所使用的装置而变化。如果使用单频功率,则频率功率可以在约10KHz与约30MHz之间,例如约13.56MHz或更大,例如27MHz或60MHz。如果使用双频RF功率生成等离子体,则可以使用混合RF功率。混合RF功率可以提供约10MHz至约60MHz范围内的高频功率,例如约13.56MHz、27MHz或60MHz,以及约10KHz至约1MHz范围内的低频功率,例如约350KHz。电极间距,即基材与喷头之间的距离,可以为约200密耳至约1000密耳,例如为约280密耳至约300密耳。
本文所讨论的工艺范围为氧化锡层提供了典型的沉积速率,范围为约
Figure BDA0002379167320000131
周期至约
Figure BDA0002379167320000132
周期,并且可以在大多数来自市售的CVD和ALD处理室的沉积室中在300mm基材上实施。可以将金属掺杂的氧化物层沉积到厚度为约
Figure BDA0002379167320000133
至约
Figure BDA0002379167320000134
之间,例如约
Figure BDA0002379167320000135
至约
Figure BDA0002379167320000136
之间。
式I化合物也可用于间隔体界定的双重图案化技术中,如图4所示。此过程的步骤如下:
(a)在硅基材上沉积一层(光致)抗蚀剂,然后用极紫外(EUV)、深紫外(DUV)或电子束(e-束),或者其他光刻技术,在抗蚀剂中形成图案。然后显影光致抗蚀剂以给出图案。
(b)使用能量增强的ALD将间隔体层沉积到抗蚀剂上。
(c)使用反应离子刻蚀(RIE)或离子铣削(IM)进行各向异性刻蚀,以去除特征的顶部,理想情况下,在间隔体上留下一个正方形(非圆形)角落。
(d)通过湿蚀刻或等离子蚀刻去除光致抗蚀剂。
(e)各向异性蚀刻到目标层(例如硅)中。
(f)去除间隔体,留下图案化的基材。
式I化合物可以通过本领域已知的方法制备。以下示例说明了此类过程,但并不旨在进行限制。
实施例1:Me3Sn(NMe2)的合成
在250mL烧瓶中装入20mL的2.5M丁基锂的己烷溶液和50mL的无水己烷。向溶液中通入Me2NH气体直至完全反应,并将反应混合物搅拌2h。然后加入10g Me3SnCl在100mL无水己烷中的溶液,并将混合物搅拌12h。进行过滤以除去固体。减压除去溶剂。通过减压蒸馏将液体产物纯化。NMR确认产物为Me3SnNMe2,如图5所示。
实施例2:Sn(NMe2)4的合成
在250mL烧瓶中加入80mL的2.5M丁基锂的己烷溶液和50mL的无水己烷。向溶液中通入Me2NH气体直至完全反应,并将反应混合物搅拌2h。然后加入13g SnCl4在100mL无水苯中的溶液,并将混合物回流4h。冷却后,进行过滤以除去固体。减压除去溶剂。通过减压蒸馏将液体产物纯化。NMR确认产物为Sn(NMe2)4,如图6所示。
实施例3:Me2Sn(NEtMe)2的合成
在惰性气氛下,向1L圆底烧瓶中加入25.00mL的2.5M丁基锂的己烷溶液和200mL的无水己烷,然后缓慢加入100mL无水己烷配制的5.40mL的HNEtMe。然后将反应混合物在室温搅拌1h。然后将6.70g Me2SnCl2在200mL无水苯中的溶液添加到烧瓶中(在冰浴中冷却的同时),并将反应混合物在室温搅拌过夜。在减压下从滤液中除去溶剂。通过在减压下(80℃,9.8x 10-2托)下蒸馏分离液体产物。如图7所示,通过NMR光谱确认该产物为Me2Sn(NEtMe)2
1)nBuLi+HNEtMe→LiNEtMe+丁烷 式II
2)Me2SnCl2+2LiNEtMe→Me2Sn(NEtMe)2+2LiCl 式III
实施例4:Bu2Sn(NMe2)2的合成
在250mL烧瓶中加入24mL的2.5M丁基锂的己烷溶液和100mL的无水己烷。向溶液中通入Me2NH气体直至完全反应,并将反应混合物搅拌2h。然后加入9.11g Bu2SnCl2在100mL无水苯中的溶液,并将混合物搅拌4h。进行过滤以除去固体。减压除去溶剂。通过减压蒸馏将液体产物纯化。NMR确认产物为Bu2Sn(NMe2)2,如图8所示。
实施例5:Me2SnEt2的合成
将6.59g Me2SnCl2溶于100mL无水乙醚中,然后在N2下添加30mL 3M EtMgBr。搅拌4h后,将混合物用0.1MHCl溶液处理,并收集有机层。然后将收集的有机层用饱和NaHCO3溶液处理,并收集有机层。在N2下进行蒸馏以除去乙醚。通过减压蒸馏进行纯化。如图9所示,NMR确认产物为Me2SnEt2
实施例6:Me4Sn的合成
在N2下,向23.5g SnCl4的乙醚溶液中加入150mL 3M MeMgI。搅拌4h后,将混合物用0.1M HCl溶液处理,并收集有机层。然后将收集的有机层用饱和NaHCO3溶液处理,并收集有机层。进行分馏以除去乙醚。通过减压蒸馏进行纯化。如图10所示,NMR确认产物为Me4Sn。
实施例7:Bu2Sn(OMe)2的合成
向250mL烧瓶中加入20g Bu2SnCl2和20mL无水甲醇,然后加入在30mL无水甲醇中的7g甲醇钠。将所得混合物回流12h。进行过滤以除去固体。减压除去溶剂。通过减压蒸馏将液体产物纯化。如图11所示,NMR确认产品为Bu2Sn(OMe)2
实施例8:Bu2Sn(OAc)2的合成
首先通过将6g乙酸添加到5.4g甲醇钠在30mL无水甲醇中的溶液中来制备乙酸钠。然后将其加入到30g Bu2SnCl2在30mL无水甲醇中的混合物中。将所得混合物回流4h。进行过滤以除去固体。减压除去溶剂。通过减压蒸馏将液体产物纯化。如图12所示,NMR确认产物为Bu2Sn(OAc)2
实施例9:Et2Sn(NMe2)2的合成
向1L烧瓶中加入22mL的2.5M丁基锂的己烷溶液和400mL无水己烷。使Me2NH 3气体通过溶液,并将反应混合物搅拌1h。然后加入6.71g Et2SnCl2在100mL无水苯中的溶液,并将混合物搅拌4h。进行过滤以除去任何固体产物。在减压下从滤液中除去溶剂。通过减压蒸馏将液体产物纯化。如图13所示,NMR确认产物为Et2Sn(NMe2)2
实施例10:Me2Sn(NEt2)2的合成
在250mL烧瓶中加入24mL的2.5M丁基锂的己烷溶液和50mL的无水己烷,然后添加4.39g的Et2NH。将反应混合物搅拌2h。然后加入6.59g Me2SnCl2在100mL无水乙醚中的溶液,并将混合物搅拌4h。进行过滤以除去固体。减压除去溶剂。通过减压蒸馏将液体产物纯化。如图14所示,NMR确认产物为Me2Sn(NEt2)2
实施例11:Sn(吡咯烷基)4的合成
在惰性气氛下,向100mL圆底烧瓶中加入0.5mL Sn(NMe2)4和25mL无水己烷,然后逐滴加入1.1mL吡咯烷。在室温下搅拌反应混合物2h后,通过减压蒸馏除去溶剂。通过NMR光谱确认残留在反应烧瓶中的残余物为Sn(吡咯烷基)4,如图15所示。
实施例12:Bu2Sn(吡咯烷基)2的合成
在惰性气氛下,向1L圆底烧瓶中加入25mL 2.5M丁基锂的己烷溶液和200mL无水己烷,然后缓慢添加在25mL无水己烷中的5.3mL吡咯烷。然后将反应混合物在室温搅拌1h,然后放入冰浴中。然后将9.46g Bu2SnCl2在50mL无水己烷中的溶液添加到烧瓶中,并将反应混合物在室温搅拌2h。进行过滤以除去任何固体产物。在减压下从滤液中除去溶剂。如图16所示,通过NMR光谱确认该产物为Bu2Sn(吡咯烷基)2
实施例13:Et 2 Sn(吡咯烷基)2的合成
在惰性气氛下,向1L圆底烧瓶中装入5.3mL吡咯烷和200mL无水戊烷。将反应烧瓶置于冰浴中后,在剧烈搅拌的同时将25mL的2.5M丁基锂的己烷溶液缓慢加入到反应烧瓶中。然后将反应混合物在室温搅拌1h,然后放回冰浴中。然后将7.7g Et2SnCl2在100mL无水戊烷和20mL无水苯中的溶液加入到烧瓶中,并将反应混合物在室温搅拌过夜。进行过滤以除去任何固体产物。在减压下从滤液中除去溶剂。最终产物通过真空蒸馏纯化。如图17所示,通过NMR光谱确认该产物为Et2Sn(吡咯烷基)2
实施例14:Me2Sn(NMe2)2的合成
在惰性气氛下,向1L烧瓶中加入25mL的2.5M丁基锂己烷溶液和400mL无水己烷。将反应烧瓶置于冰浴中,使Me2NH气体通过溶液,直到获得白色泥状溶液(约15分钟)。之后,将反应混合物在室温搅拌1h。将反应烧瓶再次置于冰浴中,并缓慢加入6.7g Me2SnCl2在100mL无水苯中的溶液,并将混合物在室温搅拌过夜。进行过滤以除去任何固体产物。在减压下从滤液中除去溶剂。通过减压蒸馏将液体产物纯化。如图18所示,通过NMR光谱确认产物为Me2Sn(NMe2)2
实施例15:tBuSn(NMe2)3的合成
Sn(NMe2)4+tBuLi→tBuSn(NMe2)3+LiNMe2 式IV
在惰性气氛下,向5L的圆底烧瓶中装入100mL Sn(NMe2)4和约3L无水己烷。使用机械搅拌器搅拌混合物,并将其置于-15℃的乙二醇浴中。在手套箱中,向1L烧瓶中装入200mL1.7M叔丁基锂的无水己烷溶液,和约200mL无水己烷。然后将tBuLi溶液缓慢加入反应烧瓶中。将反应混合物在室温搅拌3h。然后停止搅拌,并且将盐从反应混合物中沉淀出来,过夜。将液体注入到另一个5L圆底烧瓶中。通过蒸馏除去溶剂,并通过减压蒸馏(120℃,6.2×10-2托)分离出62g最终产物。如图19所示,通过NMR光谱确认产物为tBuSn(NMe2)3。90%tBuSn(NMe2)3和10%tBu2Sn(NMe2)2
类似地,可以通过上述步骤通过使Sn(NEtMe)4与RLi反应来合成RSn(NEtMe)3类型的络合物,其中R=Et、i Pr、i Bu、n Pr
Sn(NEtMe)4+RLi→RSn(NEtMe)3+LiNEtMe 式V
其中R=Et、iPr、iBu、nPr
实施例16:Sn(NEtMe)4+EtLi→EtSn(NEtMe)3+LiNEtMe
在惰性气氛下,向5L的圆底烧瓶中装入100g的Sn(NEtMe)4和约2.5L无水己烷。使用机械搅拌器搅拌混合物,并将其置于-15℃的乙二醇浴中。在手套箱中,向1L烧瓶中装入655mL的0.5M乙基锂的无水苯溶液,和约200mL无水苯。然后将EtLi溶液缓慢加入到反应烧瓶中。将反应混合物在室温搅拌3h。然后停止搅拌,并且将盐从反应混合物中沉淀出来,过夜。将液体注入到另一个5L圆底烧瓶中。通过蒸馏除去溶剂,并通过减压蒸馏分离出最终产物。
实施例17:Sn(NEtMe)4+iPrLi→iPrSn(NEtMe)3+LiNEtMe
在惰性气氛下,向5L的圆底烧瓶中装入100g的Sn(NEtMe)4和约2.5L无水己烷。使用机械搅拌器搅拌混合物,并将其置于-15℃的乙二醇浴中。在手套箱中,向1L烧瓶中装入468mL 0.7M异丙基锂的无水戊烷溶液,和约200mL无水己烷。然后将iPrLi溶液缓慢加入反应烧瓶中。将反应混合物在室温搅拌3h。然后停止搅拌,并且将盐从反应混合物中沉淀出来,过夜。将液体注入到另一个5L圆底烧瓶中。通过蒸馏除去溶剂,并通过减压蒸馏分离出最终产物。
实施例18:Sn(NEtMe)4+iBuLi→iBuSn(NEtMe)3+LiNEtMe
在惰性气氛下,向5L的圆底烧瓶中装入100g的Sn(NEtMe)4和约3L无水己烷。使用机械搅拌器搅拌混合物,并将其置于-15℃的乙二醇浴中。在手套箱中,向1L烧瓶中装入193mL 1.7M异丁基锂的无水庚烷溶液,和约200mL无水己烷。然后将iBuLi溶液缓慢加入反应烧瓶中。将反应混合物在室温搅拌3h。然后停止搅拌,并且将盐从反应混合物中沉淀出来,过夜。将液体注入到另一个5L圆底烧瓶中。通过蒸馏除去溶剂,并通过减压蒸馏分离出最终产物。
实施例19:Sn(NEtMe)4+nPrLi→nPrSn(NEtMe)3+LiNEtMe
在惰性气氛下,向5L的圆底烧瓶中装入100g的Sn(NEtMe)4和约3L无水己烷。使用机械搅拌器搅拌混合物,并将其置于-15℃的乙二醇浴中。在手套箱中,向1L烧瓶中装入193mL 1.7M正丙基锂的无水庚烷溶液,和约200mL无水己烷。然后将nPrLi溶液缓慢加入反应烧瓶中。将反应混合物在室温搅拌3h。然后停止搅拌,并且将盐留过夜从反应混合物中沉淀出来。将液体注入到另一个5L圆底烧瓶中。通过蒸馏除去溶剂,并通过减压蒸馏分离出最终产物。
实施例20:比较反应性测试
a)
·向Sn(NMe2)4添加水。反应自发地发生。透明的Sn(NMe2)4变浑浊,形成白色固体。
·向Sn(NMe2)4中加入无水乙醇。混合物升温,NMR证实–NMe2基团被–OEt基团完全取代。加入更多的乙醇,并进行NMR以进一步确认反应的完成(图20)。
b)
·向Me3SnNMe2添加水。NMR表明没有反应发生。将混合物在50℃加热1h。NMR表明发生了反应(图21)。
·向Me3SnNMe2添加无水甲醇。NMR表明没有反应发生。将混合物在50℃加热1h。透明溶液变浑浊。NMR确认反应已经发生。
c)
·向Bu2Sn(OAc)2添加水。反应自发地发生。透明Bu2Sn(OAc)2变浑浊,形成白色固体。
·向Bu2Sn(OAc)2添加无水甲醇。NMR表明没有反应发生(图22)。
d)
·向Bu2Sn(OMe)2添加水。反应自发地发生。透明Bu2Sn(OMe)2变浑浊,形成白色固体。
·向Bu2Sn(OMe)2添加乙酸。NMR显示一些–OMe基团已被–OAc基团取代(图23)。
e)
·向Bu2Sn(NMe2)2添加水。反应自发地发生。透明Bu2Sn(NMe2)2变浑浊,形成白色固体。
·向Bu2Sn(NMe2)2添加甲醇。NMR显示一些–NMe2基团已被–OMe基团取代(图24)。
实施例21:热稳定性测试
在密封的玻璃安瓿瓶中进行式I化合物的热稳定性测试,将其在设定温度下加热1h。进行NMR以查看是否存在任何热分解。还使用肉眼检查,以寻找热处理后的固体形成。图25显示了在200℃加热之前和之后Me4Sn的NMR。基于NMR和目测,在200℃加热1h后,没有显著变化。
图26显示了在200℃加热之前和之后Et2Sn(NMe2)2的NMR。基于NMR和目测,在200℃加热1h后,没有显著变化。
图27显示了在150℃加热之前和之后Me2Sn(NMe2)2的NMR。基于NMR和目测,在150℃加热24h后,没有显著变化。
图28显示了式I的代表性化合物的分解温度。
下表1总结了式I的示例性化合物的沉积和反应性数据。
表1:
Figure BDA0002379167320000191
Figure BDA0002379167320000201
这些结果证明了式I化合物是热稳定的,表明将化合物输送至沉积室将发生没有观察到的分解发生。
实施例22:使用Me2Sn(NMe2)2沉积SnO2
使用Me2Sn(NMe2)2和氧化等离子体在40至180℃之间进行SnO2的沉积,每个循环的沉积速率分别在40和180℃下达到1.4至
Figure BDA0002379167320000202
(埃)。较低温度的沉积用于减少下面的光致抗蚀剂、非晶硅或非晶碳层的损坏。
还发现对称分子(例如Me4Sn)具有较低的反应性和吸收特性,使其可以用作有效的ALD前体,仅产生0.1A/循环的沉积率(deprate)。在特定的实施方式中,具有提高的效力和效率的分子的实例是具有较高反应性和吸收和表面反应性质的不对称分子,其导致更高沉积率的膜,可与常规SiO2 ALD前体相似的,每个ALD循环1A的基准相媲美。不对称分子的具体实例包括Me2Sn(NMe2)2和Me2Sn(NEtMe)2,其中最终沉积率为0.8至1.4A/循环,具体取决于工艺条件。转移到反应性更高的分子上的最终成本降低约为成本降低5-10倍。
还发现在高于10℃的温度下很难保持Me2Sn(NMe2)2的单分子稳定。为了提高稳定性并防止分解,可以将其他基于Sn的化合物(例如MeSn(NMe2)3或Sn(NMe2)4)与Me2Sn(NMe2)2混合添加。
多级蒸馏
多种形式的多级蒸馏在化学制造工业中是已知的,但是尚未用于纯化包括四甲基锡或其他式I化合物在内的有机金属材料。
如图29的示意图所示,多效或多级蒸馏(MED)是常用于海水淡化的蒸馏工艺。它由多个阶段或“效应”组成。(在图29的示意图中,第一阶段在顶部。粉色区域是蒸气,浅蓝色区域是液体进料。绿松石色代表冷凝水。未示出进料如何进入除第一阶段以外的其他阶段,但是应该容易理解。F-进料进、S-加热蒸气进、C-加热蒸气出、W-净化后的物料(冷凝水)出、R-废料出、O-冷却液进、P-冷却液出、VC是最后阶段的冷却器。)在每个阶段,进料都通过管中的蒸汽加热。一些进料蒸发,该蒸汽流入下一阶段的管中,加热并蒸发更多的馏出液。每个阶段基本上都重复使用前一阶段的能量。
可以将所述设备看作是一系列由管壁隔开的封闭空间,一端有热源,另一端有散热器。每个空间由两个连通子空间组成,即阶段n的管的外部和阶段n+1的管的内部。每个空间的温度和压力都比之前的空间低,并且管壁的温度是每一侧的流体温度之间的中间温度。一个空间中的压力不能与两个子空间的壁的温度保持平衡。它具有中间压力。结果,第一子空间中的压力太低或温度太高,并且进料蒸发。在第二子空间中,压力太高或温度太低,并且蒸汽冷凝。这将蒸发能量从较热的第一子空间携带到较冷的第二子空间。在第二子空间处,能量流通过传导经管壁传向较冷的下一个空间。
如下表2所示,与通过常规方法纯化的化合物相比,通过多级蒸馏纯化SnMe4得到的化合物具有明显更低的杂质含量。
Figure BDA0002379167320000211
Figure BDA0002379167320000221
在描述本发明的上下文中(特别是在以下权利要求的上下文中)术语“一”,“一个”和“该”以及类似指代的使用应被解释为涵盖单数和复数,除非另有说明或前后矛盾。除非另有说明,否则术语“包含”,“具有”,“包含”和“包括”应解释为开放式术语(即,意思是“包括但不限于”)。术语“连接”应被解释为部分或全部包含在、附接或结合在一起,即使存在某些介入。
除非在此另外指出,否则本文中数值范围的列举仅旨在用作分别指代落入该范围内的每个单独值的简写方法,并且每个单独值都被并入说明书中,如同其在本文中被单独列举一样。
除非本文另外指出或与上下文明显矛盾,否则本文描述的所有方法可以以任何合适的顺序执行。除非另外要求,否则本文提供的任何和所有示例或示例性语言(例如“如”)的使用仅旨在更好地阐明本发明的实施例,并且不对本发明的范围施加限制。各种实施例和元件可以根据需要以任何合适的方式互换或组合。
说明书中的任何语言都不应解释为指示任何未要求保护的要素对于实施本发明必不可少。
对于本领域技术人员将显而易见的是,在不脱离本发明的精神和范围的情况下,可以对本发明进行各种修改和变型。无意将本发明限制为所公开的一种或多种特定形式,而是相反,其意图是涵盖落入如所附权利要求书所限定的本发明的精神和范围内的所有修改、替代构造和等同物。因此,本发明意图涵盖本发明的修改和变型,只要它们落入所附权利要求及其等同物的范围内。

Claims (26)

1.式1的有机金属化合物:
Rx-Sn-A4-x 式I
其中,
A选自下组:(Ya R’z)和3至7元含氮杂环基;
每个R基团独立地选自下组:具有1至10个碳原子的烷基或芳基;
每个R’基团独立地选自下组:具有1至10个碳原子的烷基、酰基或芳基;
x是0至4的整数;
a是0至1的整数;
Y选自下组:N、O、S和P;且
当Y为O、S或Y不存在时,z为1;当Y为N或P时,z为2。
2.根据权利要求1所述的有机金属化合物,其特征在于,A选自下组:(NR’2)基和3至7元含N杂环基。
3.根据权利要求2所述的有机金属化合物,其特征在于,A是(NR’2)基团。
4.根据权利要求2所述的有机金属化合物,其特征在于,A为3至7元含N杂环基。
5.根据权利要求8所述的有机金属化合物,其特征在于,A为吡咯烷基。
6.根据权利要求1所述的有机金属化合物,其特征在于,A4-x为(NMe2)2或(NEtMe)2
7.根据前述权利要求中任一项所述的有机金属化合物,其特征在于,每个R和R’基团是独立选择的具有1至10个碳原子的烷基。
8.根据权利要求4所述的有机金属化合物,其特征在于,每个R和R’基团是独立选择的具有1至6个碳原子的烷基。
9.根据权利要求5所述的有机金属化合物,其特征在于,每个R和R’基团是独立选择的具有1-4个碳原子的烷基。
10.根据权利要求6所述的有机金属化合物,其特征在于,每个R和R′独立地选自下组:甲基、乙基、丙基、异丙基、叔丁基、异丁基和正丁基。
11.根据权利要求1-6或8-12中任一项所述的有机金属化合物,其特征在于,R和R’表示不同的烷基。
12.根据权利要求1的所述有机金属化合物,其特征在于,式I化合物选自下组:Me2Sn(NMe2)2、Me2Sn(NEtMe)2、t-BuSn(NEtMe)3、i-PrSn(NEtMe)3、n-Pr(NEtMe)3、EtSN(NEtMe)3、i-BuSn(NEtMe)3、Et2Sn(NEtMe)2、Me2Sn(NEtMe)2、Sn(NEtMe)4、Bu2Sn(NEtMe)2、Et2Sn(NMe2)2、Me2Sn(NEt2)2、Sn(吡咯烷基)4和Bu2Sn(吡咯烷基)2
13.根据权利要求12所述的有机金属化合物,其特征在于,式I化合物选自下组:Me2Sn(NMe2)2、Me2Sn(NEtMe)2、Et2Sn(NMe2)2、Me2Sn(NEt2)2、Sn(吡咯烷基)4和Bu2Sn(吡咯烷基)2
14.根据权利要求13所述的有机金属化合物,其特征在于,所述式I化合物选自下组:Me2Sn(NEtMe)2和Me2Sn(NMe2)2
15.根据权利要求14所述的有机金属化合物,其特征在于,所述式I化合物为Me2Sn(NMe2)2
16.一种组合物,其包含权利要求1-6、8-10或12-15中任一项所述的有机金属化合物和另一含Sn的有机金属化合物。
17.根据权利要求16所述的组合物,其特征在于,所述另一种有机金属化合物是式I化合物。
18.根据权利要求16所述的组合物,其特征在于,所述另一种有机金属化合物选自下组:MeSn(NMe2)3和Sn(NMe2)4
19.一种通过气相沉积过程在基材上沉积氧化锡层的方法,所述方法包括以下步骤:
a.提供至少一个具有官能O-H基团覆盖表面的基材;
b.将所述权利要求1-6、8-10或12-15中任一项所述的有机金属化合物在气相中输送至所述基材;
将气相的氧气源输送到所述基材,形成氧化锡层;和
c.d.重复步骤a至c以生成所需厚度的氧化锡层,
其中步骤b和c在激活条件下进行。
20.根据权利要求19所述的方法,其特征在于,所述激活条件是等离子体生成。
21.一种用于间隔体界定的双重图案化沉积的方法,所述方法包括以下步骤:
(a)在具有官能O-H基团覆盖表面的基材上沉积(光致)抗蚀剂层,通过电子束(e-束)光刻在抗蚀剂中形成图案,并对光致抗蚀剂进行显影以得到图案;
(b)在权利要求1-6、8-10或12-15中任一项所述的有机金属化合物存在下,使用能量增强的ALD将间隔体层沉积在所述抗蚀剂上;
(c)使用反应性离子蚀刻(RIE)或离子铣削(IM)进行各向异性蚀刻以去除特征的顶部;
(d)通过湿蚀刻或等离子蚀刻去除所述光致抗蚀剂;
(e)各向异性地蚀刻至目标层中;和
(f)去除间隔体,留下图案化的基材。
22.一种使用多级蒸馏来纯化权利要求1-6、8-10或12-15中任一项所述的有机金属化合物的方法。
23.根据权利要求21所述的方法,其特征在于,需要2至20级以将金属污染降低至<1ppm。
24.根据权利要求21所述的方法,其特征在于,需要2至20级以将金属污染降低至<100ppb。
25.根据权利要求21所述的方法,其特征在于,需要2至20级以将金属污染降低至<10ppb。
26.根据权利要求21所述的方法,其特征在于,需要2至20级以将金属污染降低至1ppb或更少。
CN201880050021.0A 2017-08-02 2018-07-31 有机金属化合物和所述有机金属化合物的纯化 Active CN111032667B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
CA2975104 2017-08-02
CA2975104A CA2975104A1 (en) 2017-08-02 2017-08-02 Organometallic compounds and methods for the deposition of high purity tin oxide
PCT/CA2018/050933 WO2019023797A1 (en) 2017-08-02 2018-07-31 ORGANOMETALLIC COMPOUNDS AND METHODS OF DEPOSITION OF HIGH PURITY TIN OXIDE

Publications (2)

Publication Number Publication Date
CN111032667A true CN111032667A (zh) 2020-04-17
CN111032667B CN111032667B (zh) 2024-05-17

Family

ID=65229074

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201880050021.0A Active CN111032667B (zh) 2017-08-02 2018-07-31 有机金属化合物和所述有机金属化合物的纯化

Country Status (8)

Country Link
US (3) US20190337969A1 (zh)
JP (2) JP2020530199A (zh)
KR (1) KR20200033946A (zh)
CN (1) CN111032667B (zh)
CA (1) CA2975104A1 (zh)
SG (1) SG11202000884RA (zh)
TW (2) TW201920214A (zh)
WO (1) WO2019023797A1 (zh)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113568271A (zh) * 2020-07-02 2021-10-29 台湾积体电路制造股份有限公司 制造半导体器件的方法和图案形成方法
CN113956283A (zh) * 2021-11-24 2022-01-21 云南锡业锡化工材料有限责任公司 一种两步法合成低电导率二丁基氧化锡的方法
TWI795899B (zh) * 2020-09-14 2023-03-11 南韓商三星Sdi股份有限公司 半導體光阻組成物以及使用所述組成物形成圖案的方法
CN116410222B (zh) * 2023-06-09 2023-08-08 研峰科技(北京)有限公司 一种叔丁基三(二甲氨基)锡烷的合成方法

Families Citing this family (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CA2975104A1 (en) * 2017-08-02 2019-02-02 Seastar Chemicals Inc. Organometallic compounds and methods for the deposition of high purity tin oxide
US10787466B2 (en) 2018-04-11 2020-09-29 Inpria Corporation Monoalkyl tin compounds with low polyalkyl contamination, their compositions and methods
US11673903B2 (en) 2018-04-11 2023-06-13 Inpria Corporation Monoalkyl tin compounds with low polyalkyl contamination, their compositions and methods
TWI822595B (zh) 2018-06-21 2023-11-11 美商英培雅股份有限公司 包含溶劑與單烷基錫三烷氧化物之混合物的溶液、及使用其的方法
JP2022507368A (ja) 2018-11-14 2022-01-18 ラム リサーチ コーポレーション 次世代リソグラフィにおいて有用なハードマスクを作製する方法
US11966158B2 (en) 2019-01-30 2024-04-23 Inpria Corporation Monoalkyl tin trialkoxides and/or monoalkyl tin triamides with low metal contamination and/or particulate contamination, and corresponding methods
US11498934B2 (en) 2019-01-30 2022-11-15 Inpria Corporation Monoalkyl tin trialkoxides and/or monoalkyl tin triamides with particulate contamination and corresponding methods
US11609494B2 (en) 2019-04-30 2023-03-21 Samsung Sdi Co., Ltd. Semiconductor photoresist composition and method of forming patterns using the composition
KR102606844B1 (ko) * 2019-04-30 2023-11-27 삼성에스디아이 주식회사 반도체 포토 레지스트용 조성물 및 이를 이용한 패턴 형성 방법
GB2603073B (en) * 2019-08-29 2023-10-11 Seastar Chemicals Ulc Process for removing tin oxide deposits
WO2021146138A1 (en) 2020-01-15 2021-07-22 Lam Research Corporation Underlayer for photoresist adhesion and dose reduction
KR20230031923A (ko) * 2020-07-03 2023-03-07 엔테그리스, 아이엔씨. 유기주석 화합물의 제조 방법
WO2022046736A1 (en) * 2020-08-25 2022-03-03 Inpria Corporation Methods to produce organotin compositions with convenient ligand providing reactants
US20220100087A1 (en) * 2020-09-30 2022-03-31 Taiwan Semiconductor Manufacturing Company, Ltd. Photoresist for semiconductor fabrication
KR102598259B1 (ko) 2020-12-18 2023-11-02 삼성에스디아이 주식회사 반도체 포토레지스트용 조성물 및 이를 이용한 패턴 형성 방법
KR20220088011A (ko) * 2020-12-18 2022-06-27 삼성에스디아이 주식회사 반도체 포토레지스트용 조성물, 이의 제조 방법 및 이를 이용한 패턴 형성 방법
TW202402770A (zh) 2021-01-28 2024-01-16 美商恩特葛瑞斯股份有限公司 製備有機錫化合物的方法
US11697660B2 (en) * 2021-01-29 2023-07-11 Entegris, Inc. Process for preparing organotin compounds
JP2024507190A (ja) * 2021-02-23 2024-02-16 ラム リサーチ コーポレーション ハロゲンおよび脂肪族含有有機スズフォトレジストおよびその方法
EP4355752A1 (en) * 2021-06-18 2024-04-24 Entegris, Inc. Process for preparing organotin compounds
US20220411446A1 (en) * 2021-06-28 2022-12-29 Inpria Corporation Deuterated organotin compounds, methods of synthesis and radiation patterning
KR20240039029A (ko) * 2021-07-30 2024-03-26 메르크 파텐트 게엠베하 디유기주석 디할라이드의 제조
WO2023038651A1 (en) 2021-09-13 2023-03-16 Gelest, Inc. Method and precursors for producing oxostannate rich films
WO2023096894A1 (en) * 2021-11-24 2023-06-01 Entegris, Inc. Organotin precursor compounds
US11827659B2 (en) * 2022-03-31 2023-11-28 Feng Lu Organometallic tin compounds as EUV photoresist
US20230374338A1 (en) * 2022-05-18 2023-11-23 Inpria Corporation Radiation sensitive organotin compositions having oxygen heteroatoms in hydrocarbyl ligand
US20230391804A1 (en) 2022-06-02 2023-12-07 Gelest, Inc. High purity alkyl tin compounds and manufacturing methods thereof
WO2024049919A1 (en) * 2022-09-02 2024-03-07 Entegris, Inc. Compositions for extreme ultraviolet lithography and related methods
US20240174699A1 (en) * 2022-11-15 2024-05-30 Entegris, Inc. Functionalized organotin precursors and related methods

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20170102612A1 (en) * 2015-10-13 2017-04-13 Inpria Corporation Organotin oxide hydroxide patterning compositions, precursors, and patterning

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE1121050B (de) * 1960-12-09 1962-01-04 Dr Dr H C Egon Wiberg Verfahren zur Herstellung von N-substituierten Zinn- und Organozinnamiden
SE7602501L (sv) * 1975-06-14 1976-12-15 Schering Ag Medel med baktericid och fungicid verkan
GB8724049D0 (en) * 1987-10-14 1987-11-18 Kodak Ltd Organotin compounds as anionic ionophores
DE19730880A1 (de) * 1997-07-18 1999-01-21 Basf Ag Verfahren zur selektiven Herstellung von racemischen ansa-Metallocenkomplexen
US6984591B1 (en) * 2000-04-20 2006-01-10 International Business Machines Corporation Precursor source mixtures
JP2006159090A (ja) * 2004-12-07 2006-06-22 Asahi Kasei Chemicals Corp 有機スズアルコキシドの製造方法
KR100700450B1 (ko) * 2005-03-08 2007-03-28 주식회사 메카로닉스 원자층증착법에 의한 ito박막 제조방법 및 인듐 박막제조방법
JP4798538B2 (ja) * 2005-09-06 2011-10-19 株式会社豊田中央研究所 膜電極接合体
GB2432364B (en) * 2005-11-18 2009-11-11 Rohm & Haas Elect Mat Organometallic compound purification
US8043976B2 (en) * 2008-03-24 2011-10-25 Air Products And Chemicals, Inc. Adhesion to copper and copper electromigration resistance
KR101489327B1 (ko) * 2008-05-15 2015-02-03 삼성전자주식회사 물질막의 형성 방법 및 메모리 장치의 제조 방법
FR2940294B1 (fr) * 2008-12-23 2011-02-18 Michelin Soc Tech Nouveau systeme d'amorcage pour polymerisation anionique de dienes conjugues, procede de preparation d'elastomeres dieniques.
JP6108704B2 (ja) * 2011-07-13 2017-04-05 ダウ グローバル テクノロジーズ エルエルシー 有機金属化合物精製
KR101310058B1 (ko) * 2011-10-06 2013-09-24 전남대학교산학협력단 역구조 유기 태양전지 및 그 제조방법
KR20230156842A (ko) * 2014-10-23 2023-11-14 인프리아 코포레이션 유기 금속 용액 기반의 고해상도 패터닝 조성물 및 상응하는 방법
US9996004B2 (en) * 2015-11-20 2018-06-12 Lam Research Corporation EUV photopatterning of vapor-deposited metal oxide-containing hardmasks
CA2920646A1 (en) * 2016-02-12 2017-08-12 Seastar Chemicals Inc. Organometallic compound and method
KR20180063754A (ko) 2016-12-02 2018-06-12 삼성전자주식회사 주석 화합물, 그의 합성 방법, ald용 주석 전구체 화합물 및 함주석 물질막의 형성 방법
CA2975104A1 (en) * 2017-08-02 2019-02-02 Seastar Chemicals Inc. Organometallic compounds and methods for the deposition of high purity tin oxide

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20170102612A1 (en) * 2015-10-13 2017-04-13 Inpria Corporation Organotin oxide hydroxide patterning compositions, precursors, and patterning

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113568271A (zh) * 2020-07-02 2021-10-29 台湾积体电路制造股份有限公司 制造半导体器件的方法和图案形成方法
TWI795899B (zh) * 2020-09-14 2023-03-11 南韓商三星Sdi股份有限公司 半導體光阻組成物以及使用所述組成物形成圖案的方法
CN113956283A (zh) * 2021-11-24 2022-01-21 云南锡业锡化工材料有限责任公司 一种两步法合成低电导率二丁基氧化锡的方法
CN113956283B (zh) * 2021-11-24 2023-12-19 云南锡业锡化工材料有限责任公司 一种两步法合成低电导率二丁基氧化锡的方法
CN116410222B (zh) * 2023-06-09 2023-08-08 研峰科技(北京)有限公司 一种叔丁基三(二甲氨基)锡烷的合成方法

Also Published As

Publication number Publication date
TW202237624A (zh) 2022-10-01
US20210214379A1 (en) 2021-07-15
US20190337969A1 (en) 2019-11-07
US20200223877A1 (en) 2020-07-16
JP2020530199A (ja) 2020-10-15
WO2019023797A1 (en) 2019-02-07
TW201920214A (zh) 2019-06-01
CN111032667B (zh) 2024-05-17
CA2975104A1 (en) 2019-02-02
US11643422B2 (en) 2023-05-09
SG11202000884RA (en) 2020-02-27
JP2023036627A (ja) 2023-03-14
KR20200033946A (ko) 2020-03-30

Similar Documents

Publication Publication Date Title
CN111032667A (zh) 有机金属化合物和沉积高纯度氧化锡方法
KR102513424B1 (ko) 스페이서 및 하드마스크 애플리케이션을 위한 실란 및 알킬실란 종으로부터의 보란 매개 탈수소화 프로세스
US10745282B2 (en) Diamond-like carbon film
US8465903B2 (en) Radiation patternable CVD film
KR101160102B1 (ko) 가스 화학물 및 탄화 수소 첨가의 주기적 조절을 이용하는 플라즈마 스트리핑 방법
US20090286402A1 (en) Method for critical dimension shrink using conformal pecvd films
TW201407685A (zh) 圖案化低k介電膜的方法
US20130115778A1 (en) Dry Etch Processes
JP2007016315A (ja) Cvdプロセス・チャンバのリモート・プラズマ・クリーニング方法
KR102571633B1 (ko) 등각 탄소 막 증착
JP7164789B2 (ja) 550℃以上の温度でALDを使用してSi含有膜を堆積させるための前駆体及びプロセス
JP7357794B2 (ja) 高品質Si含有膜を形成するための超低温ALD
US20240085793A1 (en) Method of forming a moisture barrier on photosensitive organometallic oxides
US20220238330A1 (en) High throughput deposition process
JP7463563B2 (ja) 蒸着前駆体化合物及び使用のプロセス
US20230395391A1 (en) Ruthenium carbide for dram capacitor mold patterning
JP2024031537A (ja) パターン基板の製造方法、パターン基板、およびパターン基板中間体
JP2024055016A (ja) パターン基板の製造方法、パターン基板、およびパターン基板中間体

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant