KR20200033946A - 고순도 산화주석의 증착을 위한 유기금속 화합물 및 방법 - Google Patents

고순도 산화주석의 증착을 위한 유기금속 화합물 및 방법 Download PDF

Info

Publication number
KR20200033946A
KR20200033946A KR1020207006021A KR20207006021A KR20200033946A KR 20200033946 A KR20200033946 A KR 20200033946A KR 1020207006021 A KR1020207006021 A KR 1020207006021A KR 20207006021 A KR20207006021 A KR 20207006021A KR 20200033946 A KR20200033946 A KR 20200033946A
Authority
KR
South Korea
Prior art keywords
group
netme
organometallic compound
nme
substrate
Prior art date
Application number
KR1020207006021A
Other languages
English (en)
Inventor
라제시 오데드라
쿤하이 동
다이애나 패불야크
웨슬리 그래프
Original Assignee
시스타 케미칼즈 유엘씨
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 시스타 케미칼즈 유엘씨 filed Critical 시스타 케미칼즈 유엘씨
Publication of KR20200033946A publication Critical patent/KR20200033946A/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • C07F7/22Tin compounds
    • C07F7/2284Compounds with one or more Sn-N linkages
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/407Oxides of zinc, germanium, cadmium, indium, tin, thallium or bismuth
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/161Coating processes; Apparatus therefor using a previously coated surface, e.g. by stamping or by transfer lamination
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/167Coating processes; Apparatus therefor from the gas phase, by plasma deposition

Landscapes

  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Metallurgy (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Plasma & Fusion (AREA)
  • General Physics & Mathematics (AREA)
  • Electromagnetism (AREA)
  • Inorganic Chemistry (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)

Abstract

고순도 산화주석의 증착을 위해 유용한 화합물이 본원에 개시되어 있다. 또한 이러한 화합물을 사용한 산화물 필름의 증착을 위한 방법이 개시되어 있다. 이러한 필름은 높은 컨포멀리티, 높은 에칭 선택도를 나타내고, 광학적으로 투명하다. 이러한 화합물은 Rx-Sn-A4-x에 따른 화학식의 것이며, 여기서 A는 (YaR'z) 및 3- 내지 7-원 N-함유 헤테로사이클릭기로 이루어진 군으로부터 선택되고; 각각의 R 기는 독립적으로 1 내지 10개의 탄소 원자를 갖는 알킬 또는 아릴 기로 이루어진 군으로부터 선택되고; 각각의 R' 기는 독립적으로 1 내지 10개의 탄소 원자를 갖는 알킬, 아실 또는 아릴 기로 이루어진 군으로부터 선택되고; x는 0 내지 4의 정수이고; a는 0 내지 1의 정수이고; Y는 N, O, S, 및 P로 이루어진 군으로부터 선택되고; 그리고 z는 Y가 O, S이거나 또는 Y가 부재인 경우에 1이고, z는 Y가 N 또는 P인 경우에 2이다.

Description

고순도 산화주석의 증착을 위한 유기금속 화합물 및 방법
본 개시내용은 고순도 산화주석의 증착을 위해 유용한 유기금속 화합물 및 유기금속 화합물의 정제에 관한 것이다. 또한, 이러한 화합물을 사용한 고순도 산화주석 필름의 증착을 위한 방법이 개시되어 있다.
반도체 산업은 작고 더 작은 피처 크기를 갖는 더 많고 많은 성분을 제조하고 있다. 이러한 반도체 소자의 제조는 반도체 소자 성능을 유지하거나 또는 개선하기 위해 다루어져야 하는 신규한 설계 및 제조 극복과제를 나타낸다 (예를 들어, 반도체 소자 내의 도체 선폭 및 이격(spacing)을 감소시킨다). 고밀도, 고수율, 양호한 신호 무결성뿐만 아니라 적합한 전력 공급을 갖는 반도체 와이어링 스택(semiconductor wiring stack)의 제조는 또한 극복과제를 나타낸다.
리소그래피는 마이크로구조를 함유하는 다양한 전자 소자, 예컨대 반도체 소자 및 액정 소자의 제조에서 널리 사용되는 중요한 패턴 전사 기술이다. 소자 구조가 소형화됨에 따라, 리소그래피 공정에서 사용되는 마스킹 패턴은 기저층으로 정확하게 패턴을 전사하도록 최적화되어야 한다.
멀티-패턴 리소그래피는 반도체 소자의 피처 밀도를 향상시키기 위한 포토리소그래피를 위해 개발된 일정 부류의 기술을 나타낸다. 멀티-패터닝의 하위부류인 더블-패터닝은 특정 수준의 반도체 소자를 생성하기 위해 포토리소그래피 단계 및 복수의 마스크를 이용한다. 보다 조밀한 피치 및 더 좁은 와이어와 같은 장점을 갖는 더블-패터닝은 성능을 유지하기 위해 반도체 소자 와이어링 및 와이어 품질과 관련된 변수들 사이의 관계를 변경한다.
최근, 액침 리소그래피 방법이 보고된 바 있고, 이는 상기 산업이 직면한 일부 문제점을 다루는 것을 것으로 언급된다. 이러한 방법은 레지스트 필름은 액체 굴절률 매질(굴절액, 액침 액체) 예컨대 예정된 두께를 갖는 순수 또는 플루오로탄소 불활성 액체를 통해 노출되고, 액체 굴절률 매질은 적어도 렌즈 사이의 레지스트 필름 및 기판 상의 레지스트 필름 상에 놓인다. 이러한 방법에서, 종래에 불활성 가스, 예컨대 공기 또는 질소 가스로 채워진 노출광의 경로의 공간은 더 큰 굴절률 (n)을 갖는 액체, 예를 들어, 순수로 대체되고, 그 결과, 심지어 종래에 사용되는 노출을 위한 파장을 갖는 광원이 이용되지만, 더 짧은 파장을 갖는 광원 또는 더 높은 NA (개구수)를 갖는 렌즈가 사용되는 경우와 같이 고해상도는 초점 심도를 낮추지 않고 달성될 수 있다.
액침 리소그래피를 이용하는 것에 의해, 더 높은 해상도 및 우수한 초점 심도를 갖는 레지스트 패턴이 기존 노출 시스템 상에 설치된 렌즈를 사용하여 저비용으로 형성될 수 있고 (즉, 새로운 노출 시스템의 구입이 필요하지 않고), 이로써 액침 리소그래피는 상당한 관심을 받고 있다.
액침 리소그래피 및 멀티-패터닝으로의 이전의 결과로서, 포토 레지스트, BARC, 및 다른 종래의 마스킹 층의 상면에 증착되는 새로운 부류의 컨포멀 증착되는 물질에 대한 필요성이 존재한다. 이러한 새로운 컨포멀 증착층은 2개의 주요 역할을 할 수 있다:
1) 이는 액침 리소그래피 유체에 의한 화학적 공격을 방지하기 위한 투명한 보호층(또는 "마스크")로서 작용할 수 있다. 이러한 경우, 컨포멀층은 투명할 필요가 있고, 부적절한 패터닝 및 노출 문제점이 없이 리소그래피 공정과 통합될 수 있다.
2) 이는 선행 기술의 종래의 필름 예컨대 비결정성 탄소 (두께가 증가함에 따라 보다 불투명하게 됨)보다 더 높은 에칭 선택도를 가질 수 있다. 예를 들어, 멀티-패터닝 공정은 필요한 에칭 보호를 달성하기 위해 더 두꺼운 (>10,000A), 이에 따라 보다 불투명한, 비결정성 탄소층을 필요로 할 수 있다. 유사한 에칭 저항성을 달성하기 위해, 금속 산화물 컨포멀 필름은 플라즈마 에칭 공정 과정에서 요구되는 에칭 선택도를 유지하면서도 투명하게 유지될 수 있다.
평활성, 에칭 및 증착 특성, 100% 단차 피복성(step coverage)/컨포멀리티(conformality) 요건, 및 제조 과정에서 또는 전자 소자의 수명 전반에 걸쳐 전자 소자에 손상을 주는 것으로 알려진 낮은 방사선 방출에 대해 일정한 화학적 구성을 보장하기 위해 이러한 공정에서 사용되는 고순도의 반응성 가스가 요구된다.
리소 액침 공정 과정에서 또는 에칭 과정에서 레지스트 보호층으로서 필름을 사용하는 것으로 인하여 제조되는 필름의 순도는 또한 높을 것이 요구된다. 필름에서의 불순물은 화학적으로 또는 광학적으로 패턴 품질을 방해하고, 소자 피처에 대한 임계 치수에 영향을 줄 수 있는 부적절한 반응을 가질 수 있고, 이는 집적 소자 성능의 열화를 야기한다.
종래의 레지스트 조성물은 다양한 이유로 액침 리소그래피 공정에서 항상 사용될 수 없다. 예를 들어, 액침 리소그래피 공정에서, 레지스트 필름은 노출 과정에서 굴절액 (액침 액체)과 직접 접촉되고, 이에 따라 레지스트 필름은 액체에 의한 공격에 취약하다. 액침 리소그래피 공정에서 사용하기에 적합한 레지스트 조성물은 노출 광에 대해 투과성이어야 한다. 게다가, 종래의 레지스트 조성물은 공기의 층을 통과한 노출을 이용하는 리소그래피에서의 그것의 유용성에도 불구하고, 액체에 의한 그것의 특성의 변화로 인하여 액침 리소그래피에서 패턴의 만족스러운 해상도를 달성할 수 없을 수 있다.
따라서, 산업의 증가된 요건을 충족시킬 수 있는 개선된 투명한 레지스트 보호층에 대한 필요성이 존재한다. 게다가, 더 높은 선택도 ALD 필름은 상기 개략된 바와 같이 멀티-패터닝에 대해 필요로 된다.
요약
고순도 산화주석의 증착을 위해 유용한 화합물이 본원에 개시되어 있다. 또한, 이러한 화합물을 사용하는 산화주석 필름의 증착을 위한 방법이 또한 개시되어 있다. 이러한 필름은 높은 컨포멀리티, 높은 에칭 선택도, 높은 경도 및 모듈러스를 나타내고, 광학적으로 투명하다.
상기 화합물은 하기 화학식 I의 것을 포함한다:
Figure pct00001
식 중,
A는 (YaR'z) 및 3- 내지 7-원 N-함유 헤테로사이클릭기로 이루어진 군으로부터 선택되고;
각각의 R 기는 독립적으로 1 내지 10개의 탄소 원자를 갖는 알킬 또는 아릴 기로 이루어진 군으로부터 선택되고;
각각의 R' 기는 독립적으로 1 내지 10개의 탄소 원자를 갖는 알킬, 아실 또는 아릴 기로 이루어진 군으로부터 선택되고;
x는 0 내지 4의 정수이고;
a는 0 내지 1의 정수이고;
Y는 N, O, S, 및 P로 이루어진 군으로부터 선택되고; 그리고
z는 Y가 O, S이거나 또는 Y가 부재인 경우에 1이고, z는 Y가 N 또는 P인 경우에 2이다.
또한, 이러한 화합물을 사용하는 산화주석의 증착을 개시하고 있다. 본원에 개시된 방법에서 화학식 I의 화합물의 사용은 저온에서의 산화주석의 화학 기상 증착 (CVD) 및 원자층 증착 (ALD)을 가능하게 하고, 낮은 금속 불순물, 낮은 알파 방출 특성, 높은 경도 및 모듈러스, 및 소자 피처 및 토포그래피(topography)에 대한 >99% 단차 피복성 (즉, 높은 컨포멀리티)를 갖는 고순도 산화주석으로 이루어진 필름을 생성한다.
또한, 다단계 증류에 의해 화학식 I의 화합물의 정제가 개시되어 있다. 이러한 정제는 종래의 수단에 의해 정제된 화합물과 비교하여 금속 불순물의 훨씬 더 낮은 수준을 갖는 소위 "초순수" 화합물을 산출한다. 본원에 개시된 공정에서의 이러한 초순수 화합물의 사용은 본 기술분야에 알려진 것과 비교하여 개선된 특성을 갖는 필름을 야기한다. 예를 들어, 필름은 개선된 밀폐 특성, 낮은 금속 불순물 및 이러한 금속 불순물로부터 야기되는 관련된 수율 손실 및 장기 신뢰성 불량에서의 개선을 가질 수 있다. 다단계 증류는 팩킹된 컬럼, 트레이를 이용하는 단계 증류 컬럼, 복수의 증류 컬럼, 또는 다른 형태의 다단계 증류의 형태로 실시될 수 있다.
이와 같이 제조된 산화주석 필름은 또한 다층 패터닝 통합 기술에서 사용되는 종래의 마스킹 및 컨포멀 층에 비해 높은 에칭 선택도를 나타낼 수 있고, 이는 종래의 필름 예컨대 비결정성 탄소, 붕소 도핑된 탄소 등과 비교하여 더 얇은 필름 요건을 야기한다.
일 구현예에서, 화학식 I의 유기금속 화합물에서, A는 (NR'2) 기 및 3- 내지 7-원 N-함유 헤테로사이클릭기로 이루어진 군으로부터 선택된다. 일 구현예에서, A는 (NR'2) 기이다. 일 구현예에서, A는 3- 내지 7-원 N-함유 헤테로사이클릭기이다. 일 구현예에서, A는 피롤리디닐기이다. 일 구현예에서, A4-x는 (NMe2)2 또는 (NEtMe)2이다.
다른 구현예에서, R 및 R' 기는 1 내지 10개의 탄소 원자를 갖는 독립적으로 선택된 알킬기이다. 각각의 R 및 R' 기는 1 내지 6개의 탄소 원자를 갖는 독립적으로 선택된 알킬기일 수 있는 것으로 고려된다. 일 구현예에서, 각각의 R 및 R' 기는 1 내지 4개의 탄소 원자를 갖는 독립적으로 선택된 알킬기이다. 구현예에서, R 및 R'는 독립적으로 메틸, 에틸, 프로필, 이소-프로필, tert-부틸, 이소-부틸 및 n-부틸로 이루어진 군으로부터 선택된다. 구현예에서, R 및 R'는 상이한 알킬기를 나타낸다.
일 구현예에서, 화합물 I의 화합물은 Me2Sn(NMe2)2 , Me2Sn(NEtMe)2 , t-BuSn(NEtMe)3, i-PrSn(NEtMe)3, n-Pr(NEtMe)3, EtSN(NEtMe)3, i-BuSn(NEtMe)3, Et2Sn(NEtMe)2, Me2Sn(NEtMe)2, Sn(NEtMe)4, Bu2Sn(NEtMe)2, Et2Sn(NMe2)2, Me2Sn(NEt2)2, Sn(피롤리디닐)4 Bu2Sn(피롤리디닐)2로 이루어진 군으로부터 선택된다.
일 구현예에서, 화합물 I의 화합물은 Me2Sn(NMe2)2, Me2Sn(NEtMe)2, Et2Sn(NMe2)2, Me2Sn(NEt2)2, Sn(피롤리디닐)4; Bu2Sn(피롤리디닐)2로 이루어진 군으로부터 선택된다.
구현예에서, 화합물 I의 화합물은 Me2Sn(NEtMe)2 Me2Sn(NMe2)2로 이루어진 군으로부터 선택된다.
구현예에서, 화합물 I의 화합물은 Me2Sn(NMe2)2이다.
구현예에서, 개시된 화합물 중 임의의 것의 유기금속 화합물 및 Sn을 함유하는 다른 유기금속 화합물을 포함하는 조성물이 제공된다. 다른 유기금속 화합물은 화합물 I의 화합물일 수 있다.
다양한 구현예에서, 다른 유기금속 화합물은 MeSn(NMe2)3 Sn(NMe2)4로 이루어진 군으로부터 선택된다.
증기 증착 공정에 의해 기판 상에 산화주석층의 증착을 위한 방법이 개시되어 있다. 상기 방법은 하기 단계를 포함한다:
(a) 표면을 덮고 있는 작용성 O-H 기를 갖는 하나 이상의 기판을 제공하는 단계;
(b) 상기 기판에 기체상으로 청구항 1-6, 8-10, 또는 12-15 중 임의의 것의 유기금속 화합물을 전달하는 단계;
(c) 상기 기판에 기체상으로 산소 공급원을 전달하여, 산화주석층을 형성하는 단계; 및
(d) 단계 a 내지 c를 반복하여 원하는 두께의 산화주석층을 생성하는 단계,
(e) 여기서 단계 b 및 c는 활성화 조건 하에 실시된다.
특정 구현예에서, 활성화 조건은 플라즈마 생성이다.
또한, 스페이서-한정 더블 패터닝 증착을 위한 방법이 개시되어 있다. 상기 방법은 하기 단계를 포함한다:
(a) 표면을 덮고 있는 작용성 O-H 기를 갖는 기판 상에 (포토)레지스트의 층을 증착시키고, 전자-빔(e-빔) 리소그래피로 레지스트에 패턴을 형성하고, 그리고 포토레지스트를 현상시켜 패턴을 생성하는 단계;
(b) 청구항 1-6, 8-10, 또는 12-15 중 임의의 하나의 유기금속 화합물의 존재 하에 에너지-강화 ALD를 사용하여 레지스트 상에 스페이서층을 증착시키는 단계;
(c) 반응 이온 에칭(RIE) 또는 이온 밀링(IM)을 사용하여 피처의 상부를 제거하기 위해 이방성 에칭을 수행하는 단계;
(d) 습식 또는 플라즈마 에칭에 의해 포토레지스트를 제거하는 단계;
(e) 표적층에 이방성으로 에칭하는 단계; 및
(f) 스페이서를 제거하여, 패턴화된 기판이 남겨지는 단계.
일 구현예에서, 다단계 증류를 사용하여 유기금속 화합물을 정제하는 방법이 개시되어 있다. 일 구현예에서, <1ppm로 금속 오염을 감소시키기 위해 2 내지 20개의 단계가 요구된다. 일 구현예에서, <100ppb로 금속 오염을 감소시키기 위해 2 내지 20개의 단계가 요구된다. 일 구현예에서, <10ppb로 금속 오염을 감소시키기 위해 2 내지 20개의 단계가 요구된다. 일 구현예에서, 1ppb 이하로 금속 오염을 감소시키기 위해 2 내지 20개의 단계가 요구된다.
본 발명의 상기 그리고 다른 특징 및 본 발명의 장점은 수반된 도면에 예시된 바와 같이 바람직한 구현예의 하기 상세한 설명의 관점에서 보다 분명해질 것이다. 구현될 것인 바와 같이, 본 발명은 본 발명을 완전히 벗어남 없이 다양한 측면에서 수정이 이루어질 수 있다. 따라서, 도면 및 설명은 제한하는 것이 아닌 특성을 예시하는 것으로 여겨져야 한다.
도 1은 본원에 개시된 공정에 대해 유용한 공정 챔버의 일 구현예의 단면도를 나타낸다.
도 2는 집적 회로 제조 순서의 상이한 단계에서의 기판의 개략적 단면도를 나타낸다.
도 3은 산화주석 필름을 증착시키기 위한 방법을 도시하는 공정 흐름도이다.
도 4는 스페이서-한정 더블-패터닝 기술의 개략도이다.
도 5는 Me3SnNMe2의 NMR 스펙트럼을 나타낸다.
도 6은 Sn(NMe2)4의 NMR 스펙트럼을 나타낸다.
도 7은 Me2Sn(NEtMe)2의 NMR 스펙트럼을 나타낸다.
도 8은 Bu2Sn(NMe2)2의 NMR 스펙트럼을 나타낸다.
도 9는 Me2SnEt2의 NMR 스펙트럼을 나타낸다.
도 10은 Me4Sn의 NMR 스펙트럼을 나타낸다.
도 11은 Bu2Sn(OMe)2의 NMR 스펙트럼을 나타낸다.
도 12는 Bu2Sn(OAc)2의 NMR 스펙트럼을 나타낸다.
도 13은 Et2Sn(NMe2)2의 NMR 스펙트럼을 나타낸다.
도 14는 Me2Sn(NEt2)2의 NMR 스펙트럼을 나타낸다.
도 15는 Sn(피롤로디닐)4의 NMR 스펙트럼을 나타낸다.
도 16은 Bu2Sn(피롤로디닐)2의 NMR 스펙트럼을 나타낸다.
도 17은 Et2Sn(피롤로디닐)2의 NMR 스펙트럼을 나타낸다.
도 18은 Me2Sn(NMe2)2의 NMR 스펙트럼을 나타낸다.
도 19는 tBuSn(NMe2)3의 NMR 스펙트럼을 나타낸다.
도 20은 (NMe2)4Sn과 에탄올의 반응의 NMR을 나타낸다.
도 21은 Me3SnNMe2와 물의 반응의 NMR을 나타낸다.
도 22는 Bu2Sn(OAc)2와 메탄올의 반응의 NMR을 나타낸다.
도 23은 Bu2Sn(OMe)2와 아세트산의 반응의 NMR을 나타낸다.
도 24는 Bu2Sn(NMe2)2와 메탄올의 반응의 NMR을 나타낸다.
도 25는 200℃에서의 가열 이전 및 이후의 Me4Sn의 NMR을 나타낸다.
도 26은 200℃에서의 가열 이전 및 이후의 Et2Sn(NMe2)2의 NMR을 나타낸다.
도 27은 150℃에서의 가열 이전 및 이후의 Me2Sn(NMe2)2의 NMR을 나타낸다.
도 28은 화학식 I의 예시적인 화합물의 분해 온도를 나타낸다.
도 29는 다단계 증류 장치의 개략도를 나타낸다.
화학식 I의 유기금속 화합물이 하기에 개시되어 있다:
Figure pct00002
식 중,
A는 (YaR'z) 및 3- 내지 7-원 N-함유 헤테로사이클릭기로 이루어진 군으로부터 선택되고;
각각의 R 기는 독립적으로 1 내지 10개의 탄소 원자를 갖는 알킬 또는 아릴 기로 이루어진 군으로부터 선택되고;
각각의 R' 기는 독립적으로 1 내지 10개의 탄소 원자를 갖는 알킬, 아실 또는 아릴 기로 이루어진 군으로부터 선택되고;
x는 0 내지 4의 정수이고;
a는 0 내지 1의 정수이고;
Y는 N, O, S, 및 P로 이루어진 군으로부터 선택되고; 그리고
z는 Y가 O, S이거나 또는 Y가 부재인 경우에 1이고, z는 Y가 N 또는 P인 경우에 2이다.
화학식 I의 화합물은 R이 1 내지 10개의 탄소 원자를 갖는 알킬 및 아릴 기로 이루어진 군으로부터 선택되는 것을 포함한다. 특정 화합물은 R이 1 내지 6개의 탄소 원자를 갖는 알킬 및 아릴 기로 이루어진 군으로부터 선택되는 것이다. R이 1 내지 4개의 탄소 원자를 갖는 알킬 및 아릴 기로 이루어진 군으로부터 선택되는 것이 보다 특별하다. 이러한 화합물의 예는 R이 메틸, 에틸 또는 부틸 기인 것을 포함한다.
화학식 I의 화합물은 R'가 1 내지 10개의 탄소 원자를 갖는 알킬, 아실 및 아릴 기로 이루어진 군으로부터 선택되는 것을 포함한다. 특정 화합물은 R'가 1 내지 6개의 탄소 원자를 갖는 알킬, 아실 및 아릴 기로 이루어진 군으로부터 선택되는 것이다. R'가 1 내지 4개의 탄소 원자를 갖는 알킬, 아실 및 아릴 기로 이루어진 군으로부터 선택되는 것이 보다 특별하다. 이러한 화합물의 예는 R'가 메틸기, 에틸기 또는 아세틸기인 것을 포함한다.
화학식 I의 화합물은 Y가 N, O, S, 및 P로 이루어진 군으로부터 선택되는 것을 포함한다. 특정 화합물은 Y가 N 및 O로 이루어진 군으로부터 선택되는 것이다.
화학식 I의 화합물은 x가 0 내지 4의 정수인 것을 포함한다. 특정 구현예에서, x는 1 내지 3의 정수이다. 보다 바람직하게는, x는 2이다.
화학식 I의 화합물은 A가 3- 내지 7-원 N-함유 헤테로사이클릭기 예컨대 아지리디닐, 피롤리디닐, 및 피페리디닐인 것을 포함한다. 특정 화합물은 A가 피롤리디닐 또는 피페리디닐 기인 것이다.
화학식 I의 화합물은 R이 알킬기이고, A가 NR'2 기이고, R'가 알킬기인 것을 포함한다. 특정 화합물은 R 및 R'가 상이한 알킬기를 나타내는 것이다.
화학식 I의 화합물은 양호한 반응성을 나타내면서도 열적으로 안정하다. 따라서, 증착 챔버로의 화합물의 전달은 분해가 발생되지 않고 일어날 것이다. (분해는 균일하지 않을 것이 증착된 필름을 야기한다). 본 발명의 화합물에 의해 증명되는 바와 같은 양호한 안정성 및 반응성 프로파일은 또한 성장 챔버로 전달하는 데 더 적은 물질이 요구되는 것을 의미한다 (더 적은 물질이 보다 경제적이고), (펌핑되어 제거되는 공정의 종료시의 챔버에 남겨진 더 적은 물질이 존재할 것이기 때문에) 순환은 더 빠를 것이고, 이는 더 두꺼운 필름이 더 단기간에 증착될 수 있고, 이에 따라 처리량이 증가되는 것을 의미한다. 또한, ALD는 본 기술분야의 공정들보다 더 낮은 온도에서 (또는 더 넓은 온도 윈도우를 사용하여) 화학식 I의 화합물을 사용하여 실시될 수 있다. 열안정성은 또한 물질이 합성 이후 보다 더 용이하게 정제될 수 있고, 취급은 보다 용이해지는 것을 의미한다.
이러한 화합물은 액침 리소그래피에서 사용되는 레지스트층을 캡슐화하고 보호하는데 (즉, "마스크"로서 작용하는 데) 유용하다. 따라서, 본원에 개시된 화합물은 액침 리소그래피 과정에서 기저층을 보호할 수 있도록 포토레지스트, 또는 다른 유기 마스킹층 상에 증착에 적합한 특성을 갖는 투명한 산화주석 필름의 제조를 위해 사용될 수 있고, 이는 산업에서 요구되는 바와 같이 개선된 반도체 소자 성능 예컨대 낮은 결함 밀도, 개선된 소자 신뢰성, 높은 소자 밀도, 높은 수율, 양호한 신호 무결성 및 적합한 전력 공급을 갖는 소자의 제조를 가능하게 한다.
또한, 본원에 개시된 방법에서 화학식 I의 화합물의 사용은 저온에서의 산화주석의 화학 기상 증착(CVD) 및 원자층 증착(ALD)을 가능하게 하고, 낮은 금속 불순물, 낮은 알파 방출 특성, 및 소자 피처 및 토포그래피에 대한 >99% 단차 피복성 (즉, 높은 컨포멀리티)을 갖는 고순도 산화주석으로 이루어진 필름을 생성한다.
도 1은 CVD(화학 기상 증착), ALD(원자층 증착), 에칭, 또는 기판으로의 도펀트의 도핑에 적합한 공정 챔버(800)의 일 구현예의 단면을 나타낸다. 본원에 개시된 교시와 함께 사용하기 위해 적용될 수 있는 적합한 공정 챔버는 집적 회로 제조에서 일반적으로 사용되는 것을 포함하고, 여러 유형의 공정 챔버가 본원에 개시된 하나 이상의 본 발명의 특징으로부터의 장점에 적용될 수 있는 것으로 고려된다. 본원에 기재된 공정 챔버(800)는 플라즈마 증착 장치로서 이용될 수 있다. 그러나, 공정 챔버(800)는 또한 비제한적으로 증착, 에칭 및 도핑 시스템을 포함할 수 있다. 공정은 열 또는 플라즈마 증착 또는 에칭 메커니즘이 사용될 수 있다. 또한, 증착 장치는 기판 상에 다수의 상이한 물질을 증착하거나 또는 에칭할 수 있다. 하나의 이러한 공정은 광의 다양한 파장에 대한 필름 투과성, 증착 컨포멀리티, 낮은 금속 불순물의 산화주석, 낮은 필름 거칠기, 및 기저층에의 높은 에칭 선택도의 원하는 물리적 특성을 갖는 반도체 기판과 같은 기판 상에의 컨포멀 산화주석의 증착을 포함한다.
공정 챔버(800)는 내부 공정 영역(809)을 획정하는 챔버 본체(801)를 포함할 수 있다. 기판 지치체(834)는 공정 챔버(800) 내에 배치된다. 그 위에 형성된 피처(844)를 갖는 기판(838)은 방향성 플라즈마 공정 과정에서 기판 지치체(834) 상에 배치될 수 있다. 기판(838)은 비제한적으로 반도체 웨이퍼, 평면 패널, 태양광 패널, 및 중합체 기판을 포함할 수 있다. 반도체 웨이퍼는 필요에 따라 200 밀리미터(mm), 300 밀리미터(mm) 또는 450 밀리미터(mm) 또는 다른 크기를 갖는 디스크 형상을 가질 수 있다.
RF 플라즈마 공급원(806)은 챔버 본체(801)에 결합되어, 공정 챔버(800)에서 플라즈마(840)를 생성하도록 구성된다.
가스 공급원(888)은 가스를 내부 공정 영역(809)으로 공급하기 위해 공정 챔버(800)에 결합된다. 가스의 예는 비제한적으로 산화제 예컨대 O2, O3, NO, NO2, CO2, H2O2, 및 H2O를 포함한다. 플라즈마 공급원(806)은 공정 챔버(800)에 공급되는 가스를 여기시키고 이온화시킴으로써 플라즈마(840)를 생성할 수 있다. 플라즈마(840)에서의 이온은 상이한 메커니즘에 의해 플라즈마 시스(842)를 가로질러 끌어 당겨질 수 있다. 바이어스 공급원(890)은 플라즈마 시스(842)를 가로질러 플라즈마(840)로부터의 이온(802)을 끌어 당기기 위해 기판(838)을 편향시키도록 구성된 기판 지치체(834)에 결합된다. 바이어스 공급원(890)은 DC 전압 바이어스 신호를 공급하기 위한 DC 파워 서플라이 또는 RF 바이어스 신호를 제공하기 위한 RF 파워 서플라이일 수 있다.
작동시, 화학식 I의 화합물을 포함하는 공급물 가스는 피처(844)의 표면을 포화시키기 위해 단계 1에서 유동할 수 있고, 이후 후속 단계 2에서 상기 기재된 산화 가스는 플라즈마에서 이온화되고, 표면(844) 상에서 반응하여 SnO2의 0.1 내지 2.0 A 컨포멀층 또는 다른 층(층(847))을 형성한다. 이후, 단계 1 및 2는 원하는 컨포멀 필름의 두께가 달성될 때까지 반복된다. 에칭 반응의 경우, 공정 단계 및 가스 흐름은 단계 1에서 층(844)의 화학적 구성을 개질하도록 설계되고, 이후 개질된 표면(844)의 박층을 에칭하기 위한 단계 2에서 가스가 후속될 것이다. 다시 한번, 단계 1 및 2는 층(844)의 원하는 에칭 표적 제거를 달성하도록 반복될 것이다.
추가의 구현예에서, 층(844)은 유기 물질 예컨대 액침 화학물질에 대해 감수성이고, 이에 따라 상기 언급한 화학 공격 또는 개질을 방지하기 위해 증착되는 보호층(847)을 필요로 하는 포토 레지스트를 포함할 수 있다. 층(844)은 250℃, 200℃, 150℃ 초과, 또는 과도한 경우 100℃ 초과의 고온 노출에 의해 부정적인 영향을 받을 수 있고, 이에 따라 기판(834)은 층(844)에 대한 손상을 방지하기 위해 저온에서 유지되어야 한다. 이러한 구현예에서, 층(847)은 피처 및 층(844)에 대한 손상을 방지하기 위해 저온에서 증착된다. 이러한 경우, 공급원 가스는 화학 반응이 경제적으로 실현가능하고 그리고 짧은 공정 시간을 유지하기 위해 충분한 증착 속도에서 일어날 수 있도록 선택되어야 한다. 화학식 I의 화합물은 0.2 내지 2.0 옹스트롬/사이클 정도의 높은 증착 속도로 제공되도록 충분하게 높은 반응 속도를 갖는 분자의 예이다.
본원에 개시된 공정은 상기 기재된 바와 같이 플라즈마 공급원을 사용하는 것과 같은 활성화 조건 하에 실시된다. 공정 챔버는 또한 플라즈마 반응에 대한 필요성 없이 열적, 화학적 또는 다른 적합한 활성화 공정을 사용하는 것을 필요로 할 수 있다. 대안적으로, 물질의 박층을 증착시키거나 또는 에칭하기 위한 플라즈마 및 비-플라즈마 활성화 단계의 반복적인 순서가 사용될 수 있다.
산화주석 필름의 증착을 위한 제조 공정의 실시예
도 2는 산화주석 필름을 제조하기 위한 집적 회로 제조 순서의 상이한 단계에서의 기판(834)의 개략적 단면도를 예시하고 있다. 도 2A에 나타낸 기판(834)은 실질적으로 평면 표면을 가질 수 있다. 대안적으로, 기판은 패턴화된 구조를 가질 수 있고, 표면은 그 안에 형성된 트렌치, 홀, 또는 비아를 갖는다. 기판(834)은 또한 원하는 융기(desired elevation)로의 그 위에 또는 그 안에 형성되는 구조를 갖는 실질적 평면 표면을 가질 수 있다. 기판(834)이 단일 본체로서 예시되는 때에, 기판(834)은 금속 컨택트, 트렌치 격리, 게이트, 비트-라인, 또는 임의의 다른 인터커넥트 피처와 같은 반도체 소자를 형성하는 데 사용되는 하나 이상의 물질층을 함유할 수 있다. 기판 구조체(850)는 기판(834) 상에 형성되는 다른 물질층을 함께 갖는 기판(834)을 의미하다.
기판(834)은 반도체 소자를 제조하는 데 이용되는 하나 이상의 층, 하나 이상의 유전체 물질, 반도체 물질, 및 이들의 조합을 포함할 수 있다. 예를 들어, 기판(834)은 응용분야에 따라 산화물 물질, 질화물 물질, 폴리실리콘 물질 등을 포함할 수 있다. 메모리 응용분야가 바람직한 일 구현예에서, 기판(834)은 그 사이에 개재된 폴리실리콘을 갖거나 갖지 않는 실리콘 기판 물질, 산화물 물질, 및 질화물 물질을 포함할 수 있다.
다른 구현예에서, 기판(834)은 기판(미도시됨)의 표면 상에 증착되는 복수의 교대되는 산화물 및 질화물 물질(즉, 산화물-질화물-산화물 (ONO))을 포함할 수 있다. 다양한 구현예에서, 기판(834)은 복수의 교대되는 산화물 및 질화물 물질, 하나 이상의 산화물 또는 질화물 물질, 폴리실리콘 또는 비결정성 실리콘 물질, 비결정성 실리콘과 교대되는 산화물, 폴리실리콘과 교대되는 산화물, 도핑된 실리콘과 교대되는 미도핑된 실리콘, 도핑된 폴리실리콘과 교대되는 미도핑된 폴리실리콘, 또는 도핑된 비결정성 실리콘과 교대되는 미도핑된 비결정성 실리콘을 포함할 수 있다. 기판(834)은 필름 공정이 수행되는 임의의 기판 또는 물질 표면일 수 있다. 예를 들어, 기판(834)은 결정성 실리콘, 실리콘 산화물, 실리콘 옥시니트릴, 실리콘 질화물, 변형된 실리콘, 실리콘 게르마늄, 텅스텐, 질화 티탄, 도핑된 또는 미도핑된 폴리실리콘, 도핑된 또는 미도핑된 실리콘 웨이퍼 및 패턴화된 또는 비-패턴화된 웨이퍼, 실리콘 온 인슐레이터 (SOI), 탄소 도핑된 실리콘 산화물, 실리콘 질화물, 도핑된 실리콘, 게르마늄, 갈륨 비소, 유리, 사파이어, 저 k 유전체, 및 이들의 조합과 같은 물질일 수 있다.
도 2A는 그 위에 미리 형성된 물질층(844)을 갖는 기판 구조체(850)의 단면도를 예시하고 있다. 물질층(844)은 유전체 물질 예를 들어 산화물층, 예컨대 저-k 탄소 함유 유전체층, 다공성 실리콘 옥시카바이드 저-k 또는 울트라 저-k 유전체층일 수 있다.
도 2B는 도 2A의 기판 구조체(850) 상에 증착된 산화주석층(847)을 도시하고 있다. 산화주석층(847)은 후속 에칭 공정에 대한 패턴 전사층, 또는 하드 마스크로서 유용할 수 있다. 산화주석층(847)은 적합한 증착 공정에 의해, 예컨대 PEALD (플라즈마-강화 원자층 증착)을 통해 기판 구조체(850) 상에 형성되고, 이는 하기에 보다 상세하게 논의될 것이다. 제조 순서에서 사용되는 에너지 감수성 레지스트 물질(808)의 에칭 화학물질에 따라, 선택적인 갭핑층(미도시됨)은 에너지 감수성 레지스트 물질(808)의 형성 이전에 산화주석층(847) 상에 형성될 수 있다. 선택적인 갭핑층은 패턴이 그 안으로 전사되고, 에너지 감수성 레지스트 물질(808)로부터 비결정성 탄소층(847)을 보호하는 경우에 산화주석층(847)에 대한 마스크로서 작용한다.
도 2B에 도시된 바와 같이, 에너지 감수성 레지스트 물질(808)은 산화주석층(847) 상에 형성된다. 에너지 감수성 레지스트 물질(808)의 층은 원하는 두께로 기판 상에 스핀 코팅될 수 있다. 대부분의 에너지 감수성 레지스트 물질은 약 450 nm 미만의 파장을 갖는, 일부 응용분야의 경우 245 nm 또는 193 nm의 파장을 갖는 자외선 (UV) 방사선에 대해 민감성이다. 에너지 감수성 레지스트 물질(808)은 중합체 물질 또는 탄소게 중합체일 수 있다.
패턴화 장치, 예컨대 마스크를 통해 에너지 감수성 레지스트 물질(808)이 UV 방사선에 노출되고, 이후 적절한 현상액에서 에너지 감수성 레지스트 물질(808)을 현상시킴으로써 패턴은 에너지 감수성 레지스트 물질(808)의 층에 포함된다. 에너지 감수성 레지스트 물질(808)이 현상된 이후에, 관통 개구(840)의 정의된 패턴은 도 2C에 나타난 바와 같이 에너지 감수성 레지스트 물질(808)에 존재한다.
이후, 도 2D를 참조하면, 에너지 감수성 레지스트 물질(808)에 획정된 패턴은 마스크로서 에너지 감수성 레지스트 물질(808)를 사용하여 산화주석층(847)을 통해 전사된다. 에너지 감수성 레지스트 물질(808) 및 물질층(844)에 비해 산화주석층(847)을 선택적으로 에칭하는 적절한 화학 에칭제가 사용되며, 이는 물질층(844)의 표면까지의 개구(840)를 연장한다. 적절한 화학 에칭제는 비제한적으로 수소, 암모니아, 및 다양한 염소 함유 분자를 포함하는 환원성 또는 할로겐화 화학물질을 포함할 수 있다.
도 2E를 참조하면, 패턴은 이후 하드마스크로서 산화주석층(847)을 사용하는 물질층(844)을 통해 전사된다. 이러한 공정 단계에서, 산화주석층(847)에 비해 물질층(844)을 선택적으로 제거하는 에칭제가 사용된다. 물질층(844)이 패턴화된 이후, 산화주석층(847)은 선택적으로 기판(834)으로부터 스트리핑될 수 있다.
증착 공정의 실시예
도 3은 일 구현예에 따른 산화주석 필름을 증착하기 위한 방법을 도시하는 공정 흐름도이다. 도 2는 집적 회로 제조 순서의 상이한 단계에서의 기판의 단면도를 나타내는 개략도이다.
하나 이상의 단계가 본 발명의 기본 범위로부터 벗어남 없이 추가되거나, 생략되거나 및/또는 순서가 바뀔 수 있기 때문에 예시되는 단계의 순서는 본원에 기재된 화학식 I의 범위를 제한하는 것으로 의도되지 않음을 주지하여야 한다.
방법(100)은 그 위에 증착된 물질층을 갖는 기판을 제공함으로써 블록(110)에서 시작된다. 기판 및 물질층은 도 2A 및 2B에 나타난 바와 같은 기판(834) 및 물질층(844)일 수 있다.
블록(120)에서, 화학식 I의 화합물은 금속 전구체 공급원으로부터 공정 볼륨으로 유동된다. 금속 함유 전구체는 기판 표면(834)에 부착되기에 충분한 체류 시간이 허용되며, 이후 산화제는 공정 볼륨으로 유동된다. 적합한 산화제는 비제한적으로 기체상으로의 H2O, 기체상으로의 H2O2, O2, O3, NO, NO2, CO, 및 CO2와 같은 화합물을 포함한다.
블록(130)에서, 플라즈마는 내부 공정 볼륨에서 생성되고, 이는 화학식 I의 화합물이 이온화된 산화 가스와 반응되게 하여 물질층 상에 산화주석층을 형성할 수 있게 한다.
산화주석층은 임의의 적합한 증착 공정, 예컨대 플라즈마-강화 화학 기상 증착 (PECVD) 공정 또는 플라즈마-강화 원자층 증착 (PEALD) 공정에 의해 형성될 수 있다. 대안적으로, 상기 논의된 바와 같은 플라즈마-강화 열분해 또는 반응성 공정이 사용되지 않을 수 있다. 대신, 기판은 탄소-함유 전구체, 본 발명의 구현예의 화합물, 및 공정 볼륨에서의 환원제의 가스 혼합물에 노출되고, 이는 가스 혼합물의 열분해를 위해 적합한 고온에서 유지된다. 다른 증착 공정, 예컨대 금속-유기 CVD (MOCVD) 공정 및 원자층 증착 (ALD) 공정은 또한 증착된 금속-산화물을 형성하기 위해 사용될 수 있다.
도 3의 블록(120 내지 130)에 기재된 특정의 또는 모든 공정은 원하는 두께에 도달될 때까지 반복될 수 있다. 공정의 단계에 따라 산화주석층(847)의 두께는 변화가능하다. 일 구현예에서, 산화주석층(847)은 산화주석층이 우수한 하드마스크 성능(예를 들어, 양호한 CD 제어 및 피처 프로파일)을 갖는 주요 에칭 공정 과정에서 소모될 수 있도록 약 50Å 내지 약 500Å, 예컨대 약 100Å 내지 약 200Å의 두께를 가질 수 있다. 생성된 산화주석 하드마스크는 다양한 응용분야 예컨대 깊은 산화물 컨택트 에칭(deep oxide contact etches), DRAM 캐퍼시터 몰드 에칭(DRAM capacitor mold etches), 및 라인 및/또는 스페이스 에칭(line and/or space etches)에서 사용될 수 있다. 얕은 트렌치 격리 에칭 하드마스크, 게이트 에칭 하드마스크(gate etch hardmask) 및 비트-라인 에칭 하드마스크(bit-line etch hardmask)와 같은 라인 및 스페이스 에칭 응용분야의 경우, 산화주석층은 약 100Å 내지 약 200Å을 가질 수 있다. 조밀하고 격리된 영역의 에칭 선택도에 따라, 층의 두께는 이에 따라 조정될 수 있다.
원하는 두께를 갖는 산화주석층(847)이 물질층(844) 상에 증착되는 경우, 기판은 상기 논의된 바와 같은 추가적인 공정, 예컨대 산화주석층(847) 상에 에너지 감수성 레지스트 물질(808)을 형성하는 증착 공정, 및/또는 패턴화 공정에 가해질 수 있다. 산화주석층(847)은 표준 포토레지스 패턴화 기술을 사용하여 패턴화될 수 있다. 금속 산화주석층(847)은 과산화수소 및 황산을 포함하는 용액을 사용하여 제거될 수 있다. 과산화수소 및 황산을 포함하는 하나의 용액은 피라냐 용액 또는 피라냐 에칭액으로 알려져 있다. 산화주석층(847)은 또한 수소, 중수소, 산소, 및 할로겐(예를 들어, 불소 또는 염소), 예를 들어 Cl2/O2, CF4/O2, Cl2/O2/CF4를 함유하는 에칭 화학물질을 사용하여 제거될 수 있다. 적합한 퍼징 가스, 예컨대 아르곤, 질소, 헬륨 또는 이들의 조합을 사용하는 퍼징 공정은 상기 기재된 공정들 사이에서 수행되어 챔버 벽면 상에서의 가스 또는 부산물 또는 다른 성분 성분들의 원하지 않는 응축을 방지할 수 있다. 퍼징 공정은 RF 전력의 인가 없이 수행될 수 있다.
일반적으로, 증착 공정 파라미터의 하기 실시예는 300 mm 기판 상에 산화주석층을 형성하기 위해 사용될 수 있다. 공정 파라미터는 하드마스크 필름의 적용에 따라 약 25℃ 내지 약 700℃, 예를 들어, 약 200℃ 내지 약 500℃의 웨이퍼 온도의 범위일 수 있다. 챔버 압력은 약 1 Torr 내지 약 20 Torr, 예를 들어, 약 2 Torr 내지 약 10 Torr의 챔버 압력의 범위일 수 있다. 산화주석-함유 전구체의 유량은 약 100 sccm 내지 약 5,000 sccm, 예를 들어, 약 400 sccm 내지 약 2,000 sccm일 수 있다. 액체 공급원이 사용되는 경우, 전구체 흐름은 약 50 mg/min 내지 약 1000 mg/min일 수 있다. 기체 공급원이 사용되는 경우, 전구체 흐름은 약 200 sccm 내지 약 5000 sccm, 예를 들어 약 200 sccm 내지 약 600 sccm일 수 있다. 희석 가스의 유량은 개별적으로 약 0 sccm 내지 약 20,000 sccm, 예를 들어 약 2,000 sccm 내지 약 10,000 sccm의 범위일 수 있다. 플라즈마-개시 가스의 유량은 개별적으로 약 0 sccm 내지 약 20,000 sccm, 예를 들어 약 200 sccm 내지 약 2,000 sccm일 수 있다. 금속-함유 전구체의 유량은 약 1,000 sccm 내지 약 15,000 sccm, 예를 들어, 약 5,000 sccm 내지 약 13,000 sccm일 수 있다. 환원제의 유량은 약 200 sccm 내지 약 15,000 sccm, 예를 들어, 약 1,000 sccm 내지 약 3,000 sccm일 수 있다.
플라즈마는 약 0.001 W/cm2 내지 약 5 W/cm2, 예컨대 약 0.01 W/cm2 내지 약 1 W/cm2, 예를 들어 약 0.04 W/cm2 내지 약 0.07 W/cm2의 기판 표면적에의 전력 밀도로 RF 전력을 인가함으로써 생성될 수 있다. 전력 인가는 300 mm 기판에 대해 약 1 W 내지 약 2,000 W, 예컨대 약 10 W 내지 약 100 W일 수 있다. RF 전력은 단일 주파수 또는 이중 주파수일 수 있다. 이중 주파수 RF 전력 인가는 플럭스 및 이온 에너지의 독립적인 제어를 제공하는 것으로 여겨지며, 이는 필름 표면을 충격하는 이온의 에너지가 필름 밀도에 영향을 미치기 때문이다. 하나 이상의 주파수의 사용 및 인가된 RF 전력은 사용되는 장비 및 기판 크기에 기초하여 변화될 수 있다. 단일 주파수 전력이 사용되는 경우, 주파수 전력은 약 10 KHz 내지 약 30 MHz, 예를 들어 약 13.56 MHz 이상, 예컨대 27 MHz 또는 60 MHz일 수 있다. 이중-주파수 RF 전력이 플라즈마를 생성하기 위해 사용되는 경우, 혼합형 RF 전력이 사용될 수 있다. 혼합형 RF 전력은 약 10 MHz 내지 약 60 MHz의 범위, 예를 들어, 약 13.56 MHz, 27 MHz 또는 60 MHz의 고주파수 전력뿐만 아니라 약 10 KHz 내지 약 1MHz의 범위, 예를 들어, 약 350 KHz의 저주파수 전력을 제공할 수 있다. 전극 이격, 즉, 기판과 샤워헤드 사이의 거리는 약 200 mils 내지 약 1000 mils, 예를 들어, 약 280 mils 내지 약 300 mils 이격일 수 있다.
본원에 논의된 공정 범위는 약 0.1Å/사이클 내지 약 2Å/사이클의 범위의 산화주석층의 전형적인 증착 속도를 제공하며, 대부분 상업적으로 이용가능한 CVD 및 ALD 공정 챔버로부터의 증착 챔버에서 300 mm 기판 상에서 실시될 수 있다. 금속-도핑된 산화물층은 약 50Å 내지 약 500Å, 예컨대 약 100Å 내지 약 200Å의 두께로 증착될 수 있다.
화학식 I의 화합물은 또한 도 4에 예시된 바와 같이 스페이서-한정 더블 패터닝 기술에서 사용될 수 있다. 이러한 공정에 대한 단계는 하기와 같다:
(a) 실리콘 기판 상에의 (포토)레지스트의 층을 증착하고, 이후 극자외선 (EUV), 심자외선 (DUV), 또는 전자-빔 (e-빔), 또는 다른 리소그래피로 레지스트에 패턴을 형성하는 단계. 포토레지스트는 이후 현상되어 패턴을 형성한다.
(b) 에너지-강화 ALD를 사용하여 레지스트 상에 스페이서층을 증착하는 단계.
(c) 반응성 이온 에칭 (RIE) 또는 이온 밀링 (IM)을 사용하여 피처의 상부를 제거하기 위해 이방성 에칭을 수행하고, 이상적으로 스페이서에 정사각형의 (둥글지 않은) 모서리가 남겨지는 단계.
(d) 습식 또는 플라즈마 에칭에 의해 포토레지스트를 제거하는 단계.
(e) 표적층(예를 들어, 실리콘)에 이방성으로 에칭하는 단계.
(f) 스페이서를 제거하여, 그것의 패턴화된 기판이 남겨지는 단계.
화학식 I의 화합물은 본 기술분야에 알려진 공정에 의해 제조될 수 있다. 하기 실시예는 이러한 공정을 예시하지만, 제한하는 것으로 의도되지 않는다.
실시예 1: Me 3 Sn(NMe 2 )의 합성
250 mL 플라스크에 헥산 중의 20 mL의 2.5M 부틸리튬 용액 및 50 mL의 무수 헥산을 충전하였다. 완전하게 반응될 때까지 용액에 Me2NH 가스를 통과시켰고, 반응 혼합물을 2시간 동안 교반하였다. 100 mL의 무수 헥산 중의 10 g의 Me3SnCl의 용액을 이후 첨가하였고, 혼합물을 12시간 동안 교반하였다. 여과를 실시하여 고형물을 제거하였다. 용매를 감압 하에 제거하였다. 액체 생성물을 감압 하에 증류에 의해 정제하였다. 도 5에 나타난 바와 같이 NMR로 생성물이 Me3SnNMe2인 것을 확인하였다.
실시예 2: Sn(NMe 2 ) 4 의 합성
250 mL 플라스크에 헥산 중의 80 mL의 2.5M 부틸리튬 용액 및 50 mL의 무수 헥산을 충전하였다. 완전하게 반응될 때까지 용액에 Me2NH 가스를 통과시켰고, 반응 혼합물을 2시간 동안 교반하였다. 100 mL의 무수 벤젠 중의 13 g의 SnCl4의 용액을 이후 첨가하였고, 혼합물을 4시간 동안 환류시켰다. 냉각시 여과를 실시하여 고형물을 제거하였다. 용매를 감압 하에 제거하였다. 액체 생성물을 감압 하에 증류에 의해 정제하였다. 도 6에 나타난 바와 같이 NMR로 생성물이 Sn(NMe2)4인 것을 확인하였다.
실시예 3: Me 2 Sn(NEtMe) 2 의 합성
불활성 분위기 하에, 1 L 둥근 바닥 플라스크에 헥산 중의 25.00 mL의 2.5M 부틸리튬 용액 및 200 mL의 무수 헥산을 충전하였고, 이후 100 mL의 무수 헥산 중의 5.40 mL의 HNEtMe를 서서히 첨가하였다. 반응 혼합물을 이후 실온에서 1시간 동안 교반하였다. 200 mL의 무수 벤젠 중의 6.70 g의 Me2SnCl2의 용액을 이후 (얼음 배스에서 냉각시키면서) 플라스크에 첨가하였고, 반응 혼합물을 실온에서 밤새 교반되도록 두었다. 용매를 여과물로부터 감압 하에 제거하였다. 액체 생성물을 감압 (9.8 x 10-2 Torr에서 80℃) 하에 증류에 의해 분리하였다. 도 7에 나타난 바와 같이, NMR 분광법에 의해 생성물이 Me2Sn(NEtMe)2인 것을 확인하였다.
1) nBuLi + HNEtMe → LiNEtMe + 부탄 식 II
2) Me2SnCl2 + 2 LiNEtMe → Me2Sn(NEtMe)2 + 2LiCl 식 III
실시예 4: Bu 2 Sn(NMe 2 ) 2 의 합성
250 mL 플라스크에 헥산 중의 24 mL의 2.5M 부틸리튬 용액 및 100 mL의 무수 헥산을 충전하였다. 완전하게 반응될 때까지 용액에 Me2NH 가스를 통과시켰고, 반응 혼합물을 2시간 동안 교반하였다. 100 mL의 무수 벤젠 중의 9.11 g의 Bu2SnCl2의 용액을 이후 첨가하였고, 혼합물을 4시간 동안 교반하였다. 여과를 실시하여 고형물을 제거하였다. 용매를 감압 하에 제거하였다. 액체 생성물을 감압 하에 증류에 의해 정제하였다. 도 8에 나타난 바와 같이 NMR로 생성물이 Bu2Sn(NMe2)2인 것을 확인하였다.
실시예 5: Me 2 SnEt 2 의 합성
6.59 g의 Me2SnCl2를 100 mL의 무수 에테르에 용해시켰고, 이후 N2 하에 30 mL의 3M EtMgBr를 첨가하였다. 4시간 동안의 교반 이후, 혼합물을 0.1MHCl 용액으로 처리하였고, 유기층을 수집하였다. 수집된 유기층을 이후 NaHCO3 포화 용액으로 처리하였고, 유기층을 수집하였다. N2 하의 증류를 실시하여 에테르를 제거하였다. 감압 하의 증류에 의해 정제를 실시하였다. 도 9에 나타난 바와 같이, NMR로 생성물이 Me2SnEt2인 것을 확인하였다.
실시예 6: Me 4 Sn의 합성
에테르 중의 23.5 g의 SnCl4의 용액에 N2 하에서 150 mL의 3M MeMgI를 첨가하였다. 4시간 동안의 교반 이후, 혼합물을 0.1 M HCl 용액으로 처리하였고, 유기층을 수집하였다. 수집된 유기층을 이후 NaHCO3 포화 용액으로 처리하였고, 유기층을 수집하였다. 분별 증류를 실시하여 에테르를 제거하였다. 감압 하의 증류에 의해 정제를 실시하였다. 도 10에 나타난 바와 같이, NMR로 생성물이 Me4Sn인 것을 확인하였다.
실시예 7: Bu 2 Sn(OMe) 2 의 합성
250 mL 플라스크에 20 g의 Bu2SnCl2 및 20 mL의 무수 메탄올을 충전하였고, 이후 30 mL의 무수 메탄올 중의 7 g의 나트륨 메톡사이드를 첨가하였다. 생성된 혼합물을 12시간 동안 환류시켰다. 여과를 실시하여 고형물을 제거하였다. 용매를 감압 하에 제거하였다. 액체 생성물을 감압 하의 증류에 의해 정제하였다. 도 11에 나타난 바와 같이, NMR로 생성물이 Bu2Sn(OMe)2인 것을 확인하였다.
실시예 8: Bu 2 Sn(OAc) 2 의 합성
6 g의 아세트산을 30mL의 무수 메탄올 중의 5.4 g의 나트륨 메톡사이드의 용액에 첨가하여 아세트산나트륨을 우선 제조하였다. 이를 이후 30 mL의 무수 메탄올 중의 30 g의 Bu2SnCl2의 혼합물에 첨가하였다. 생성된 혼합물을 4시간 동안 환류시켰다. 여과를 실시하여 고형물을 제거하였다. 용매를 감압 하에 제거하였다. 액체 생성물을 감압 하의 증류에 의해 정제하였다. 도 12에 나타난 바와 같이, NMR로 생성물이 Bu2Sn(OAc)2인 것을 확인하였다.
실시예 9: Et 2 Sn(NMe 2 ) 2 의 합성
1 L 플라스크에 헥산 중의 22 mL의 2.5M 부틸리튬 용액 및 400 mL의 무수 헥산을 충전하였다. Me2NH 가스를 용액에 통과시켰고, 반응 혼합물을 1시간 동안 교반하였다. 100 mL의 무수 벤젠 중의 6.71 g의 Et2SnCl2의 용액을 이후 첨가하였고, 혼합물을 4시간 동안 교반하였다. 여과를 실시하여 임의의 고체 생성물을 제거하였다. 용매를 여과물로부터 감압 하에 제거하였다. 액체 생성물을 감압 하의 증류에 의해 정제하였다. 도 13에 나타난 바와 같이, NMR로 생성물이 Et2Sn(NMe2)2인 것을 확인하였다.
실시예 10: Me 2 Sn(NEt 2 ) 2 의 합성
250 mL 플라스크에 헥산 중의 24 mL의 2.5M 부틸리튬 용액 및 50 mL의 무수 헥산을 충전하였고, 이후 4.39 g의 Et2NH를 첨가하였다. 반응 혼합물을 2시간 동안 교반하였다. 100 mL의 무수 에테르 중의 6.59 g의 Me2SnCl2의 용액을 이후 첨가하였고, 혼합물을 4시간 동안 교반하였다. 여과를 실시하여 고형물을 제거하였다. 용매를 감압 하에 제거하였다. 액체 생성물을 감압 하의 증류에 의해 정제하였다. 도 14에 나타난 바와 같이, NMR로 생성물이 Me2Sn(NEt2)2인 것을 확인하였다.
실시예 11: Sn(피롤리디닐) 4 의 합성
불활성 분위기 하에서, 100 mL 둥근 바닥 플라스크에 0.5 mL의 Sn(NMe2)4 25 mL의 무수 헥산을 충전하였고, 이후 1.1 mL의 피롤리덴을 적가하였다. 실온에서 2시간 동안 반응 혼합물을 교반한 이후, 용매를 감압 하의 증류를 통해 제거하였다. 도 15에 나타난 바와 같이 NMR 분광법에 의해 반응 플라스크에 남은 잔류물이 Sn(피롤로디닐)4인 것으로 확인하였다.
실시예 12: Bu2Sn(피롤로디닐) 2 의 합성
불활성 분위기 하에서, 1 L 둥근 바닥 플라스크에 헥산 중의 25 mL의 2.5M 부틸리튬 용액 및 200 mL의 무수 헥산을 충전하였고, 이후 25 mL의 무수 헥산 중의 5.3 mL의 피롤리덴을 서서히 첨가하였다. 반응 혼합물을 이후 실온에서 1시간 동안 교반하였고, 이후 얼음 배스에 배치시켰다. 50 mL의 무수 헥산 중의 9.46 g의 Bu2SnCl2의 용액을 이후 플라스크에 첨가하였고, 반응 혼합물을 실온에서 2시간 동안 교반되도록 두었다. 여과를 실시하여 임의의 고체 생성물을 제거하였다. 용매를 여과물로부터 감압 하에 제거하였다. 도 16에 나타난 바와 같이 NMR 분광법에 의해 생성물이 Bu2Sn(피롤로디닐)2인 것으로 확인하였다.
실시예 13: Et 2 Sn(피롤로디닐) 2 의 합성
불활성 분위기 하에서, 1 L 둥근 바닥 플라스크에 5.3 mL의 피롤리덴 및 200 mL의 무수 펜탄을 충전하였다. 반응 플라스크가 얼음 배스에 배치된 때에, 헥산 중의 25 mL의 2.5M 부틸리튬 용액을 강하게 교반하면서 반응 플라스크에 서서히 첨가하였다. 반응 혼합물을 실온에서 1시간 동안 교반하였고, 이후 얼음 배스에 다시 배치하였다. 100 mL의 무수 펜탄 중의 7.7 g의 Et2SnCl2의 용액 및 20 mL의 무수 벤젠을 이후 플라스크에 첨가하였고, 반응 혼합물을 실온에서 밤새 교반되도록 두었다. 여과를 실시하여 임의의 고체 생성물을 제거하였다. 용매를 여과물로부터 감압 하에 제거하였다. 최종 생성물을 진공 증류를 통해 정제하였다. 도 17에 나타난 바와 같이 NMR 분광법에 의해 생성물이 Et2Sn(피롤로디닐)2인 것으로 확인하였다.
실시예 14: Me 2 Sn(NMe 2 ) 2 의 합성
불활성 분위기 하에서, 1 L 플라스크에 헥산 중의 25 mL의 2.5M 부틸리튬 용액 및 400 mL의 무수 헥산을 충전하였다. 반응 플라스크를 얼음 배스에 배치하였고, 백색 슬러시 용액이 얻어질 때까지(약 15분) Me2NH 가스를 용액에 통과시켰다. 이후 반응 혼합물을 실온에서 1시간 동안 교반하였다. 반응 플라스크를 얼음 배스에 다시 배치시키고, 100 mL의 무수 벤젠 중의 6.7 g의 Me2SnCl2의 용액을 서서히 첨가하였고, 혼합물을 실온에서 밤새 교반하였다. 여과를 실시하여 임의의 고체 생성물을 제거하였다. 용매를 여과물로부터 감압 하에 제거하였다. 액체 생성물을 감압 하의 증류에 의해 정제하였다. 도 18에 나타난 바와 같이 NMR 분광법에 의해 생성물이 Me2Sn(NMe2)2인 것으로 확인하였다.
실시예 15: tBuSn(NMe 2 ) 3 의 합성
Sn(NMe2)4 + tBuLi → tBuSn(NMe2)3 + LiNMe2 식 IV
불활성 분위기 하에서, 5 L 둥근 바닥 플라스크에 100 mL의 Sn(NMe2)4 및 약 3 L의 무수 헥산을 충전하였다. 혼합물을 기계적 교반기를 사용하여 교반하였고, -15℃에서 에틸렌-글리콜 배스에 배치하였다. 글로스박스에서, 1 L 플라스크에 무수 헥산 중의 200 mL의 1.7M tert-부틸리튬 용액 및 약 200 mL의 무수 헥산을 장입하였다. tBuLi 용액을 이후 반응 플라스크에 서서히 첨가하였다. 반응 혼합물을 실온에서 3시간 동안 교반하였다. 교반을 이후 중지하였고, 밤새 반응 혼합물로부터 석출되어 염이 남겨졌다. 액체를 다른 5 L 둥근 바닥 플라스크로 캐뉼레이션하였다. 용매를 증류를 통해 제거하였고, 62 g의 최종 생성물을 감압 (120℃, 6.2 x 10-2 Torr) 하의 증류에 의해 분리하였다. 도 19에 나타난 바와 같이 NMR 분광법에 의해 생성물이 tBuSn(NMe2)3인 것을 확인하였다. 90% tBuSn(NMe2)3 10% tBu2Sn(NMe2)2.
유사하게는, Sn(NEtMe)4를- RLi와 반응시킴으로써 유형 RSn(NEtMe)3의 복합체가 상기 과정에 따라 합성될 수 있고, 여기서 R=Et, iPr, iBu, nPr이다.
Sn(NEtMe)4 + RLi → RSn(NEtMe)3 + LiNEtMe 식 V
여기서 R = Et, iPr, iBu, nPr
실시예 16: Sn(NEtMe) 4 + EtLi EtSn(NEtMe) 3 + LiNEtMe
불활성 분위기 하에서, 5 L 둥근 바닥 플라스크에 100 g의 Sn(NEtMe)4 및 약 2.5 L의 무수 헥산을 충전하였다. 혼합물을 기계적 교반기를 사용하여 교반하였고, -15℃에서 에틸렌-글리콜 배스에 배치하였다. 글로스박스에서, 1 L 플라스크에 무수 벤젠 중의 655 mL의 0.5 M 에틸리튬 용액 및 약 200 mL의 무수 벤젠을 장입하였다. EtLi 용액을 이후 반응 플라스크에 서서히 첨가하였다. 반응 혼합물을 실온에서 3시간 동안 교반하였다. 교반을 이후 중지하였고, 밤새 반응 혼합물로부터 석출되어 염이 남겨졌다. 액체를 다른 5 L 둥근 바닥 플라스크로 캐뉼레이션하였다. 용매를 증류를 통해 제거하였고, 최종 생성물을 감압 하의 증류를 통해 분리하였다.
실시예 17: Sn(NEtMe) 4 + iPrLi iPrSn(NEtMe) 3 + LiNEtMe
불활성 분위기 하에서, 5 L 둥근 바닥 플라스크에 100 g의 Sn(NEtMe)4 및 약 2.5 L의 무수 헥산을 충전하였다. 혼합물을 기계적 교반기를 사용하여 교반하였고, -15℃에서 에틸렌-글리콜 배스에 배치하였다. 글로스박스에서, 1 L 플라스크에 무수 펜탄 중의 468 mL의 0.7 M 이소프로필리튬 용액, 및 약 200 mL의 무수 헥산을 장입하였다. iPrLi 용액을 이후 반응 플라스크에 서서히 첨가하였다. 반응 혼합물을 실온에서 3시간 동안 교반하였다. 교반을 이후 중지하였고, 밤새 반응 혼합물로부터 석출되어 염이 남겨졌다. 액체를 다른 5 L 둥근 바닥 플라스크로 캐뉼레이션하였다. 용매를 증류를 통해 제거하였고, 최종 생성물을 감압 하의 증류를 통해 분리하였다.
실시예 18: Sn(NEtMe) 4 + iBuLi iBuSn(NEtMe) 3 + LiNEtMe
불활성 분위기 하에서, 5 L 둥근 바닥 플라스크에 100 g의 Sn(NEtMe)4 및 약 3 L의 무수 헥산을 충전하였다. 혼합물을 기계적 교반기를 사용하여 교반하였고, -15℃에서 에틸렌-글리콜 배스에 배치하였다. 글로스박스에서, 1 L 플라스크에 무수 헵탄 중의 193 mL의 1.7 M 이소부틸리튬 용액, 및 약 200 mL의 무수 헥산을 장입하였다. iBuLi 용액을 반응 플라스크에 서서히 첨가하였다. 반응 혼합물을 실온에서 3시간 동안 교반하였다. 교반을 이후 중지하였고, 밤새 반응 혼합물로부터 석출되어 염이 남겨졌다. 액체를 다른 5 L 둥근 바닥 플라스크로 캐뉼레이션하였다. 용매를 증류를 통해 제거하였고, 최종 생성물을 감압 하의 증류를 통해 분리하였다.
실시예 19: Sn(NEtMe) 4 + nPrLi nPrSn(NEtMe) 3 + LiNEtMe
불활성 분위기 하에서, 5 L 둥근 바닥 플라스크에 100 g의 Sn(NEtMe)4 및 약 3 L의 무수 헥산을 충전하였다. 혼합물을 기계적 교반기를 사용하여 교반하였고, -15℃에서 에틸렌-글리콜 배스에 배치하였다. 글로스박스에서, 1 L 플라스크에 무수 헵탄 중의 193 mL의 1.7 M 프로필리튬 용액, 및 약 200 mL의 무수 헥산을 장입하였다. nPrLi 용액을 이후 반응 플라스크에 서서히 첨가하였다. 반응 혼합물을 실온에서 3시간 동안 교반하였다. 교반을 이후 중지하였고, 밤새 반응 혼합물로부터 석출되어 염이 남겨졌다. 액체를 다른 5 L 둥근 바닥 플라스크로 캐뉼레이션하였다. 용매를 증류를 통해 제거하였고, 최종 생성물을 감압 하의 증류를 통해 분리하였다.
실시예 20: 비교 반응성 시험
a)
Figure pct00003
Sn(NMe2)4에 물을 첨가하였다. 반응은 자발적으로 일어났다. 투명한 Sn(NMe2)4는 탁하게 변하였고, 백색 고형물이 형성되었다.
Figure pct00004
Sn(NMe2)4에 무수 에탄올을 첨가하였다. 혼합물을 가온시켰고, NMR로 -OEt 기로의 -NMe2 기의 완전한 대체를 확인하였다. 더 많은 에탄올을 첨가하였고, NMR을 실시하여 반응의 완료를 추가로 확인하였다(도 20).
b)
Figure pct00005
Me3SnNMe2에 물을 첨가하였다. NMR은 반응이 일어나지 않았음을 나타내었다. 혼합물을 1시간 동안 50℃로 가열하였다. NMR은 반응이 일어났음을 나타내었다 (도 21).
Figure pct00006
Me3SnNMe2에 무수 메탄올을 첨가하였다. NMR은 반응이 일어나지 않았음을 나타내었다. 혼합물을 1시간 동안 50℃로 가열하였다. 투명한 용액은 탁하게 변하였다. NMR로 반응이 일어났음을 확인하였다.
c)
Figure pct00007
Bu2Sn(OAc)2에 물을 첨가하였다. 반응은 자발적으로 일어났다. 투명한 Bu2Sn(OAc)2는 탁하게 변하였고, 백색 고형물이 형성되었다.
Figure pct00008
Bu2Sn(OAc)2에 무수 메탄올을 첨가하였다. NMR은 반응이 일어났음을 나타내었다 (도 22).
d)
Figure pct00009
Bu2Sn(OMe)2에 물을 첨가하였다. 반응은 자발적으로 일어났다. 투명한 Bu2Sn(OMe)2는 탁하게 변하였고, 백색 고형물이 형성되었다.
Figure pct00010
Bu2Sn(OMe)2에 아세트산을 첨가하였다. NMR은 일부 -OMe 기가 -OAc 기로 대체되었음을 나타낸다 (도 23).
e)
Figure pct00011
Bu2Sn(NMe2)2에 물을 첨가하였다. 반응은 자발적으로 일어났다. 투명한 Bu2Sn(NMe2)2는 탁하게 변하였고, 백색 고형물이 형성되었다.
Figure pct00012
Bu2Sn(NMe2)2에 메탄올을 첨가하였다. NMR은 일부 -NMe2 기가 -OMe 기로 대체되었음을 나타낸다 (도 24).
실시예 21: 열안정성 시험
화학식 I의 화합물의 열안정성 시험을 밀봉된 유리 앰플에서 실시하였고, 이를 1시간 동안 설정 온도로 가열하였다. NMR을 수행하여 임의의 열 분해가 존재하였는지 여부를 알 수 있었다. 시각적 확인을 또한 사용하였고, 열 처리 이후의 고형물 형성을 관찰하였다. 도 25는 200℃에서의 가열 이전 및 이후의 Me4Sn의 NMR을 나타낸다. NMR 및 시각적 확인 모두에 기초하여 1시간 동안 200℃에서의 가열 이후에 유의미한 변화는 없었다.
도 26은 200℃에서의 가열 이전 및 이후의 Et2Sn(NMe2)2의 NMR을 나타낸다. NMR 및 시각적 확인 모두에 기초하여 1시간 동안 200℃에서의 가열 이후에 유의미한 변화는 없었다.
도 27은 150℃에서의 가열 이전 및 이후의 Me2Sn(NMe2)2의 NMR을 나타낸다. NMR 및 시각적 확인 모두에 기초하여 24시간 동안 150℃에서의 가열 이후에 유의미한 변화는 없었다.
도 28은 대표적인 화학식 I의 화합물의 분해 온도를 나타낸다.
표 1은 예시적인 화학식 I의 화합물에 대한 분해 및 반응성 데이터를 하기에 요약하고 있다.
[표 1]
Figure pct00013
이러한 결과는 화학식 I의 화합물은 열안정성인 것을 입증하고, 이는 분해 챔버로의 화합물의 전달이 관측가능한 분해가 일어나지 않고 일어날 것임을 나타낸다.
실시예 22: Me 2 Sn(NMe 2 ) 2 를 사용한 SnO 2 증착
각각 40 및 180℃에서 달성되는 사이클당 1.4 내지 0.8 Å (옹스트롬)의 증착 속도로 40 내지 180℃의 산화 플라즈마 및 Me2Sn(NMe2)2를 사용하여 SnO2의 증착을 실시하였다. 저온 증착을 사용하여 기저 포토-레지스트, 비결정성 규소 또는 비결정성 탄소층의 손상을 감소시킨다.
또한, 대칭형 분자, 예컨대 Me4Sn는 효율적인 ALD 전구체로서 이것이 작용할 수 있게 하는 낮은 반응성 및 흡수 특성을 가지며, 이는 단지 0.1 A/사이클 디프레이트(cycle deprate)를 야기하는 것을 밝혀내었다. 특정 구현예에서, 개선된 유효성 및 효율을 갖는 분자의 예는 일반적인 SiO2 ALD 전구체에 대해 알려진 것과 같은 ALD 사이클당 1A의 기준점(benchmark)과 대비하여 더 높은 디프레이트 필름을 야기하는 더 높은 반응성 및 흡수도 및 표면 반응 특성을 갖는 비대칭형 분자이다. 비대칭형 분자의 특정 예는 Me2Sn(NMe2)2 Me2Sn(NEtMe)2를 포함하고, 여기서 최종 증착 속도는 공정 조건에 좌우되어 0.8 내지 1.4 A/사이클이다. 보다 반응성인 분자로의 전환하기 위한 초래된 비용 감소는 대략 5-10배 비용 감소이다.
또한, Me2Sn(NMe2)2의 단일 분자를 안정하게 유지하는 것은 10℃ 초과의 온도에서은 어려운 것으로 밝혀졌다. 안정성을 개선하고, 분해를 방지하기 위해, 다른 Sn 기반 화합물, 예를 들어 MeSn(NMe2)3 또는 Sn(NMe2)4는 Me2Sn(NMe2)2과의 혼합물에 첨가될 수 있다.
다단계 증류
다양한 형태의 다단계 증류는 화학물질 제조 산업에서 알려져 있으나, 테트라메티 주석(tetramethy tin) 또는 다른 화학식 I의 화합물을 포함하는 유기금속 물질의 정제를 위해 이용되지 않았다.
도 29에 나타난 개략도에 의해 예시되는 바와 같이, 다중-실시 또는 다단계 증류(MED)는 대개 해수 탈염을 위해 사용되는 증류 공정이다. 이는 다중 단계 또는 "실시"로 이루어진다. (도 29에서의 개략도에서, 제1 단계는 상부에 있다. 분홍색 부분은 증기이고, 더 옅은 청색의 부분은 액체 공급 물질이다. 청록색은 응축물을 나타낸다. 이는 공급 물질이 최초의 것 이외의 다른 단계로 유입되는 방식을 나타내지 않지만, 이는 용이하게 이해될 것이다. F - 유입 공급물. S - 유입 가열 증기. C - 유출 가열 증기. W - 유출된 정제된 물질 (응축물). R - 유출 폐기물. O - 유입 냉각수. P - 유출 냉각수. VC는 최종-단계 냉각기이다.) 각각의 단계에서, 공급 물질을 튜브 내의 증기에 의해 가열한다. 일부 공급 물질은 증발되고, 이러한 증기는 다음 단계의 튜브로 유동하고, 더 많은 유출물을 가열하고 증발시킨다. 각각의 단계는 근본적으로 이전 단계로부터의 에너지를 재사용한다.
플랜트는 일단부에서의 열 공급원 및 다른 단부에서의 히트 싱크(heat sink)를 갖는 튜브 벽면에 의해 분리된 밀폐된 공간의 순서로서 보여질 수 있다. 각각의 공간은 단계 n의 튜브의 외부 및 단계 n+1에서의 튜브의 내부인 2개의 연통되는 하위공간으로 이루어진다. 각각의 공간은 이전 공간보다 더 낮은 온도 및 압력을 갖고, 튜브 벽면은 각 측면 상의 유체의 온도들 사이의 중간 온도를 갖는다. 공간에서의 압력은 두 하위 공간의 벽면의 온도로 평형화될 수 없고; 이는 중간 압력을 가진다. 그 결과, 압력은 제1 하위공간에서 매우 낮거나 또는 온도는 매우 높고, 공급 물질이 증발된다. 제2 하위공간에서, 압력은 매우 높거나 또는 온도는 매우 낮고, 증기는 응축된다. 이는 더 따듯한 제1 하위공간으로부터의 증발 에너지를 더 차가운 제2 하위공간으로 이송한다. 제2 하위공간에서, 에너지는 전도에 의해 튜브 벽면을 통해 더 차가운 다음 공간으로 유동한다.
하기 표 2에 나타난 바와 같이, 다단계 증류에 의해 SnMe4의 정제는 종래의 수단에 의해 정제된 것과 비교하여 상당하게 더 낮은 수준의 불순물을 갖는 화합물을 야기한다.
Figure pct00014
본 발명을 기술하는 문맥에서의 (특히 하기 청구항의 문맥에서의) 단수 용어("a" 및 "an" 및 "the") 및 유사한 지시대상의 사용은 본원에서 달리 나타내지 않거나 또는 문맥에서 분명하게 모순되지 않는 한, 단수 및 복수 모두를 포함하는 것으로 해석되어야 한다. 용어 "포함하는(comprising)", "갖는", "포함하는(including)", 및 "함유하는"은 달리 언급하지 않는 한, 개방형 용어(즉, "비제한적으로 포함하는"을 의미함)로서 해석되어야 한다. 용어 "연결되는"은 일정 개입이 존재할 수 있더라도, 부분적으로 또는 전체적으로 그 안에 함유되거나, 부착되거나, 또는 함께 연결되는 것으로 해석되어야 한다.
본원의 값의 범위의 인용은 단지 본원에서 달리 나타내지 않는 한, 상기 범위 내에 포함되는 각각의 별개의 값을 개별적으로 언급하는 약칭 방법으로서 역할을 하는 것으로 의도되며, 각각의 별개의 값은 그것이 개별적으로 인용되는 것처럼 명세서에 포함된다.
본원에 기재된 모든 방법은 본원에서 달리 나타내거나 또는 문맥에서 달리 분명하게 모순되지 않는 한, 임의의 적합한 순서로 수행될 수 있다. 임의의 모든 예, 또는 예시적인 표현(예를 들어, "예컨대")의 사용은 단지 본 발명의 구현예를 더 잘 예시하기 위한 것으로 의도되며, 달리 청구되지 않는 한, 본 발명의 범위에 제한을 부여하지 않는다. 다양한 구현예 및 구성요소는 필요에 따라 임의의 적합한 방식으로 상호교환되거나 또는 조합될 수 있다.
명세서의 표현은 본 발명의 실시에 본질적인 임의의 비청구된 구성요소를 나타내는 것으로 해석되어서는 안된다.
다양한 수정 및 변형은 본 발명의 사상 및 범위를 벗어남 없이 본 발명에 대해 이루어질 수 있음은 당업자에게 자명할 것이다. 본 발명을 개시된 특정 형태 또는 형태들로 제한하기 위한 의도는 없지만, 반면 첨부된 청구항에 정의된 바와 같이 본 발명의 사상 및 범위 내에 포함되는 모든 수정예, 대안적인 구성, 및 균등물을 포괄하는 것으로 의도된다. 따라서, 첨부된 청구항 및 이의 균등물의 범위 내에 포함되는 경우에 본 발명은 본 발명의 수정 및 변형을 포함하는 것으로 의도된다.

Claims (26)

  1. 하기 화학식 I의 유기금속 화합물:
    Figure pct00015

    식 중,
    A는 (YaR'z) 및 3- 내지 7-원 N-함유 헤테로사이클릭기로 이루어진 군으로부터 선택되고;
    각각의 R 기는 독립적으로 1 내지 10개의 탄소 원자를 갖는 알킬 또는 아릴 기로 이루어진 군으로부터 선택되고;
    각각의 R' 기는 독립적으로 1 내지 10개의 탄소 원자를 갖는 알킬, 아실 또는 아릴 기로 이루어진 군으로부터 선택되고;
    x는 0 내지 4의 정수이고;
    a는 0 내지 1의 정수이고;
    Y는 N, O, S, 및 P로 이루어진 군으로부터 선택되고; 그리고
    z는 Y가 O, S이거나 또는 Y가 부재인 경우에 1이고, z는 Y가 N 또는 P인 경우에 2이다.
  2. 제1항에 있어서, 상기 A는 (NR'2) 기 및 3- 내지 7-원 N-함유 헤테로사이클릭기로 이루어진 군으로부터 선택되는 유기금속 화합물.
  3. 제2항에 있어서, 상기 A는 (NR'2) 기인 유기금속 화합물.
  4. 제2항에 있어서, 상기 A는 3- 내지 7-원 N-함유 헤테로사이클릭기인 유기금속 화합물.
  5. 제8항에 있어서, 상기 A는 피롤리디닐기인 유기금속 화합물.
  6. 제1항에 있어서, 상기 A4-x는 (NMe2)2 또는 (NEtMe)2인 유기금속 화합물.
  7. 제1항 내지 제6항 중 어느 한 항에 있어서, 상기 각각의 R 및 R' 기는 1 내지 10개의 탄소 원자를 갖는 독립적으로 선택된 알킬기인 유기금속 화합물.
  8. 제4항에 있어서, 상기 각각의 R 및 R' 기는 1 내지 6개의 탄소 원자를 갖는 독립적으로 선택된 알킬기인 유기금속 화합물.
  9. 제5항에 있어서, 상기 각각의 R 및 R' 기는 1 내지 4개의 탄소 원자를 갖는 독립적으로 선택된 알킬기인 유기금속 화합물.
  10. 제6항에 있어서, 상기 각각의 R 및 R' 기는 독립적으로 메틸, 에틸, 프로필, 이소-프로필, tert-부틸, 이소-부틸 및 n-부틸로 이루어진 군으로부터 선택되는 유기금속 화합물.
  11. 제1항 내지 제6항 또는 제8항 내지 제12항 중 어느 한 항에 있어서, 상기 R 및 R'은 상이한 알킬기를 나타내는 유기금속 화합물.
  12. 제1항에 있어서, 상기 화학식 I의 화합물은 Me2Sn(NMe2)2 , Me2Sn(NEtMe)2 , t-BuSn(NEtMe)3, i-PrSn(NEtMe)3, n-Pr(NEtMe)3, EtSN(NEtMe)3, i-BuSn(NEtMe)3, Et2Sn(NEtMe)2, Me2Sn(NEtMe)2, Sn(NEtMe)4, Bu2Sn(NEtMe)2, Et2Sn(NMe2)2, Me2Sn(NEt2)2, Sn(피롤리디닐)4 Bu2Sn(피롤리디닐)2로 이루어진 군으로부터 선택되는 유기금속 화합물.
  13. 제12항에 있어서, 상기 화학식 I의 화합물은 Me2Sn(NMe2)2, Me2Sn(NEtMe)2, Et2Sn(NMe2)2, Me2Sn(NEt2)2, Sn(피롤리디닐)4; Bu2Sn(피롤리디닐)2로 이루어진 군으로부터 선택되는 유기금속 화합물.
  14. 제13항에 있어서, 상기 화학식 I의 화합물은 Me2Sn(NEtMe)2 Me2Sn(NMe2)2로 이루어진 군으로부터 선택되는 유기금속 화합물.
  15. 제14항에 있어서, 상기 화학식 I의 화합물은 Me2Sn(NMe2)2인 유기금속 화합물.
  16. 제1항 내지 제6항, 제8항 내지 제10항, 또는 제12항 내지 제15항 중 어느 한 항의 유기금속 화합물 및 Sn을 함유하는 다른 유기금속 화합물을 포함하는 조성물.
  17. 제16항에 있어서, 상기 다른 유기금속 화합물은 화학식 I의 화합물인 조성물.
  18. 제16항에 있어서, 상기 다른 유기금속 화합물은 MeSn(NMe2)3 및 Sn(NMe2)4로 이루어진 군으로부터 선택되는 조성물.
  19. 기상 증착 공정에 의한 기판 상에의 산화주석층의 증착을 위한 방법으로서,
    a. 표면을 덮고 있는 작용성 O-H 기를 갖는 적어도 하나의 기판을 제공하는 단계;
    b. 제1항 내지 제6항, 제8항 내지 제10항, 또는 제12항 내지 제15항 중 어느 한 항의 유기금속 화합물을 상기 기판에 전달하는 단계;
    c. 상기 기판에 기체상으로 산소 공급원을 전달하여, 산화주석층을 형성하는 단계; 및
    d. 단계 a 내지 c를 반복하여 원하는 두께의 산화주석층을 생성하는 단계를 포함하고,
    여기서 단계 b 및 c는 활성화 조건 하에서 실시되는 방법.
  20. 제19항에 있어서, 활성화 조건은 플라즈마 생성인 방법.
  21. 스페이서-한정 더블 패터닝 증착을 위한 방법으로서,
    (a) 표면을 덮고 있는 작용성 O-H 기를 갖는 기판 상에 (포토)레지스트의 층을 증착시키고, 전자-빔(e-빔) 리소그래피로 레지스트에 패턴을 형성하고, 그리고 포토레지스트를 현상시켜 패턴을 생성하는 단계;
    (b) 제1항 내지 제6항, 제8항 내지 제10항, 또는 제12항 내지 제15항 중 어느 한 항의 유기금속 화합물의 존재 하에 에너지-강화 ALD를 사용하여 레지스트 상에 스페이서층을 증착시키는 단계;
    (c) 반응 이온 에칭(RIE) 또는 이온 밀링(IM)을 사용하여 피처의 상부를 제거하기 위해 이방성 에칭을 수행하는 단계;
    (d) 습식 또는 플라즈마 에칭에 의해 포토레지스트를 제거하는 단계;
    (e) 표적층에 이방성으로 에칭하는 단계; 및
    (f) 스페이서를 제거하여, 패턴화된 기판이 남겨지는 단계
    를 포함하는 방법.
  22. 제1항 내지 제6항, 제8항 내지 제10항, 또는 제12항 내지 제15항 중 어느 한 항의 유기금속 화합물을 정제하기 위한 다단계 증류의 사용 방법.
  23. 제21항에 있어서, 2 내지 20개의 단계가 <1ppm로 금속 오염을 감소시키기 위해 요구되는 방법.
  24. 제21항에 있어서, 2 내지 20개의 단계가 <100ppb로 금속 오염을 감소시키기 위해 요구되는 방법.
  25. 제21항에 있어서, 2 내지 20개의 단계가 <10ppb로 금속 오염을 감소시키기 위해 요구되는 방법.
  26. 제21항에 있어서, 2 내지 20개의 단계가 1ppb 이하로 금속 오염을 감소시키기 위해 요구되는 방법.
KR1020207006021A 2017-08-02 2018-07-31 고순도 산화주석의 증착을 위한 유기금속 화합물 및 방법 KR20200033946A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
CA2975104A CA2975104A1 (en) 2017-08-02 2017-08-02 Organometallic compounds and methods for the deposition of high purity tin oxide
CA2975104 2017-08-02
PCT/CA2018/050933 WO2019023797A1 (en) 2017-08-02 2018-07-31 ORGANOMETALLIC COMPOUNDS AND METHODS OF DEPOSITION OF HIGH PURITY TIN OXIDE

Publications (1)

Publication Number Publication Date
KR20200033946A true KR20200033946A (ko) 2020-03-30

Family

ID=65229074

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020207006021A KR20200033946A (ko) 2017-08-02 2018-07-31 고순도 산화주석의 증착을 위한 유기금속 화합물 및 방법

Country Status (8)

Country Link
US (3) US20190337969A1 (ko)
JP (2) JP2020530199A (ko)
KR (1) KR20200033946A (ko)
CN (1) CN111032667B (ko)
CA (1) CA2975104A1 (ko)
SG (1) SG11202000884RA (ko)
TW (2) TW202237624A (ko)
WO (1) WO2019023797A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2022055149A1 (ko) * 2020-09-14 2022-03-17 삼성에스디아이 주식회사 반도체 포토레지스트용 조성물 및 이를 이용한 패턴 형성 방법

Families Citing this family (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CA2975104A1 (en) 2017-08-02 2019-02-02 Seastar Chemicals Inc. Organometallic compounds and methods for the deposition of high purity tin oxide
US10787466B2 (en) 2018-04-11 2020-09-29 Inpria Corporation Monoalkyl tin compounds with low polyalkyl contamination, their compositions and methods
US11673903B2 (en) 2018-04-11 2023-06-13 Inpria Corporation Monoalkyl tin compounds with low polyalkyl contamination, their compositions and methods
JP7295891B2 (ja) 2018-06-21 2023-06-21 インプリア・コーポレイション モノアルキルスズアルコキシドの安定な溶液、並びにそれらの加水分解生成物及び縮合生成物
JP2022507368A (ja) 2018-11-14 2022-01-18 ラム リサーチ コーポレーション 次世代リソグラフィにおいて有用なハードマスクを作製する方法
US11966158B2 (en) 2019-01-30 2024-04-23 Inpria Corporation Monoalkyl tin trialkoxides and/or monoalkyl tin triamides with low metal contamination and/or particulate contamination, and corresponding methods
US11498934B2 (en) 2019-01-30 2022-11-15 Inpria Corporation Monoalkyl tin trialkoxides and/or monoalkyl tin triamides with particulate contamination and corresponding methods
US11609494B2 (en) 2019-04-30 2023-03-21 Samsung Sdi Co., Ltd. Semiconductor photoresist composition and method of forming patterns using the composition
KR102606844B1 (ko) * 2019-04-30 2023-11-27 삼성에스디아이 주식회사 반도체 포토 레지스트용 조성물 및 이를 이용한 패턴 형성 방법
WO2021038523A1 (en) * 2019-08-29 2021-03-04 Seastar Chemicals Ulc Organometallic compounds for the deposition of high purity tin oxide and dry etching of the tin oxide films and deposition reactors
CN116705595A (zh) 2020-01-15 2023-09-05 朗姆研究公司 用于光刻胶粘附和剂量减少的底层
US20220005687A1 (en) * 2020-07-02 2022-01-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing a semiconductor device and pattern formation method
KR20230031923A (ko) * 2020-07-03 2023-03-07 엔테그리스, 아이엔씨. 유기주석 화합물의 제조 방법
KR20230053617A (ko) * 2020-08-25 2023-04-21 인프리아 코포레이션 반응물을 제공하는 편리한 리간드를 갖는 유기주석 조성물의 제조 방법
US20220100087A1 (en) * 2020-09-30 2022-03-31 Taiwan Semiconductor Manufacturing Company, Ltd. Photoresist for semiconductor fabrication
KR20220088011A (ko) 2020-12-18 2022-06-27 삼성에스디아이 주식회사 반도체 포토레지스트용 조성물, 이의 제조 방법 및 이를 이용한 패턴 형성 방법
US11685752B2 (en) 2021-01-28 2023-06-27 Entegris, Inc. Process for preparing organotin compounds
US11697660B2 (en) * 2021-01-29 2023-07-11 Entegris, Inc. Process for preparing organotin compounds
WO2022182473A1 (en) * 2021-02-23 2022-09-01 Lam Research Corporation Halogen-and aliphatic-containing organotin photoresists and methods thereof
EP4355752A1 (en) * 2021-06-18 2024-04-24 Entegris, Inc. Process for preparing organotin compounds
US20220411446A1 (en) * 2021-06-28 2022-12-29 Inpria Corporation Deuterated organotin compounds, methods of synthesis and radiation patterning
WO2023006871A1 (en) * 2021-07-30 2023-02-02 Merck Patent Gmbh Production of diorganotin dihalides
US11459656B1 (en) 2021-09-13 2022-10-04 Gelest, Inc Method and precursors for producing oxostannate rich films
WO2023096894A1 (en) * 2021-11-24 2023-06-01 Entegris, Inc. Organotin precursor compounds
CN113956283B (zh) * 2021-11-24 2023-12-19 云南锡业锡化工材料有限责任公司 一种两步法合成低电导率二丁基氧化锡的方法
US11827659B2 (en) * 2022-03-31 2023-11-28 Feng Lu Organometallic tin compounds as EUV photoresist
TW202402768A (zh) * 2022-05-18 2024-01-16 美商英培雅股份有限公司 在烴基配位基中具有氧雜原子之輻射敏感性有機錫組成物及其應用
US20230391804A1 (en) 2022-06-02 2023-12-07 Gelest, Inc. High purity alkyl tin compounds and manufacturing methods thereof
WO2024049919A1 (en) * 2022-09-02 2024-03-07 Entegris, Inc. Compositions for extreme ultraviolet lithography and related methods
US20240174699A1 (en) * 2022-11-15 2024-05-30 Entegris, Inc. Functionalized organotin precursors and related methods
CN116410222B (zh) * 2023-06-09 2023-08-08 研峰科技(北京)有限公司 一种叔丁基三(二甲氨基)锡烷的合成方法

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE1121050B (de) * 1960-12-09 1962-01-04 Dr Dr H C Egon Wiberg Verfahren zur Herstellung von N-substituierten Zinn- und Organozinnamiden
SE7602501L (sv) * 1975-06-14 1976-12-15 Schering Ag Medel med baktericid och fungicid verkan
GB8724049D0 (en) * 1987-10-14 1987-11-18 Kodak Ltd Organotin compounds as anionic ionophores
DE19730880A1 (de) * 1997-07-18 1999-01-21 Basf Ag Verfahren zur selektiven Herstellung von racemischen ansa-Metallocenkomplexen
US6984591B1 (en) * 2000-04-20 2006-01-10 International Business Machines Corporation Precursor source mixtures
JP2006159090A (ja) * 2004-12-07 2006-06-22 Asahi Kasei Chemicals Corp 有機スズアルコキシドの製造方法
KR100700450B1 (ko) * 2005-03-08 2007-03-28 주식회사 메카로닉스 원자층증착법에 의한 ito박막 제조방법 및 인듐 박막제조방법
JP4798538B2 (ja) * 2005-09-06 2011-10-19 株式会社豊田中央研究所 膜電極接合体
GB2432364B (en) * 2005-11-18 2009-11-11 Rohm & Haas Elect Mat Organometallic compound purification
US8043976B2 (en) * 2008-03-24 2011-10-25 Air Products And Chemicals, Inc. Adhesion to copper and copper electromigration resistance
KR101489327B1 (ko) * 2008-05-15 2015-02-03 삼성전자주식회사 물질막의 형성 방법 및 메모리 장치의 제조 방법
FR2940294B1 (fr) * 2008-12-23 2011-02-18 Michelin Soc Tech Nouveau systeme d'amorcage pour polymerisation anionique de dienes conjugues, procede de preparation d'elastomeres dieniques.
EP2545972A1 (en) * 2011-07-13 2013-01-16 Dow Global Technologies LLC Organometallic compound purification by two steps distillation
KR101310058B1 (ko) * 2011-10-06 2013-09-24 전남대학교산학협력단 역구조 유기 태양전지 및 그 제조방법
KR20230156842A (ko) * 2014-10-23 2023-11-14 인프리아 코포레이션 유기 금속 용액 기반의 고해상도 패터닝 조성물 및 상응하는 방법
EP4089482A1 (en) * 2015-10-13 2022-11-16 Inpria Corporation Organotin oxide hydroxide patterning compositions, precursors, and patterning
US9996004B2 (en) * 2015-11-20 2018-06-12 Lam Research Corporation EUV photopatterning of vapor-deposited metal oxide-containing hardmasks
CA2920646A1 (en) * 2016-02-12 2017-08-12 Seastar Chemicals Inc. Organometallic compound and method
KR20180063754A (ko) 2016-12-02 2018-06-12 삼성전자주식회사 주석 화합물, 그의 합성 방법, ald용 주석 전구체 화합물 및 함주석 물질막의 형성 방법
CA2975104A1 (en) * 2017-08-02 2019-02-02 Seastar Chemicals Inc. Organometallic compounds and methods for the deposition of high purity tin oxide

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2022055149A1 (ko) * 2020-09-14 2022-03-17 삼성에스디아이 주식회사 반도체 포토레지스트용 조성물 및 이를 이용한 패턴 형성 방법

Also Published As

Publication number Publication date
CN111032667A (zh) 2020-04-17
US20190337969A1 (en) 2019-11-07
CN111032667B (zh) 2024-05-17
CA2975104A1 (en) 2019-02-02
WO2019023797A1 (en) 2019-02-07
JP2020530199A (ja) 2020-10-15
TW201920214A (zh) 2019-06-01
JP2023036627A (ja) 2023-03-14
SG11202000884RA (en) 2020-02-27
US11643422B2 (en) 2023-05-09
US20210214379A1 (en) 2021-07-15
TW202237624A (zh) 2022-10-01
US20200223877A1 (en) 2020-07-16

Similar Documents

Publication Publication Date Title
KR20200033946A (ko) 고순도 산화주석의 증착을 위한 유기금속 화합물 및 방법
JP6527214B2 (ja) エッチング耐性ポリマー層を堆積させる方法及びパターンエッチング構造の製造方法
US9711366B2 (en) Selective etch for metal-containing materials
US8465903B2 (en) Radiation patternable CVD film
KR102513424B1 (ko) 스페이서 및 하드마스크 애플리케이션을 위한 실란 및 알킬실란 종으로부터의 보란 매개 탈수소화 프로세스
KR101160102B1 (ko) 가스 화학물 및 탄화 수소 첨가의 주기적 조절을 이용하는 플라즈마 스트리핑 방법
JP2021511673A (ja) パターニングにおける酸化スズマンドレル
US20130115778A1 (en) Dry Etch Processes
WO2013066666A1 (en) Atomic layer deposition of films using precursors containing hafnium or zirconium
US11315787B2 (en) Multiple spacer patterning schemes
TWI764002B (zh) 形成非晶碳膜及蝕刻基板之方法
JP2013048127A (ja) アッシュ後の側壁の回復
US20230395391A1 (en) Ruthenium carbide for dram capacitor mold patterning
JP7357794B2 (ja) 高品質Si含有膜を形成するための超低温ALD
JP7463563B2 (ja) 蒸着前駆体化合物及び使用のプロセス
US20220238330A1 (en) High throughput deposition process
US11881402B2 (en) Self aligned multiple patterning
KR20240002570A (ko) 하드마스크의 제조방법 및 이를 이용한 반도체 소자의 제조방법
WO2024019930A1 (en) Acid for reactive development of metal oxide resists

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E902 Notification of reason for refusal