TW201407685A - 圖案化低k介電膜的方法 - Google Patents

圖案化低k介電膜的方法 Download PDF

Info

Publication number
TW201407685A
TW201407685A TW102122554A TW102122554A TW201407685A TW 201407685 A TW201407685 A TW 201407685A TW 102122554 A TW102122554 A TW 102122554A TW 102122554 A TW102122554 A TW 102122554A TW 201407685 A TW201407685 A TW 201407685A
Authority
TW
Taiwan
Prior art keywords
low
layer
dielectric
patterning
plasma
Prior art date
Application number
TW102122554A
Other languages
English (en)
Other versions
TWI591725B (zh
Inventor
Srinivas D Nemani
Jeremiah T Pender
qing-jun Zhou
Dmitry Lubomirsky
Sergey G Belostotskiy
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW201407685A publication Critical patent/TW201407685A/zh
Application granted granted Critical
Publication of TWI591725B publication Critical patent/TWI591725B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

本文描述圖案化低k介電膜之方法。在實例中,一種圖案化低k介電膜之方法涉及以下步驟:在低k介電層之上形成及圖案化光罩層。低k介電層安置在基板之上。方法亦涉及以下步驟:利用電漿製程來修正低k介電層之曝露部分。方法亦涉及以下步驟:在同一操作中,利用遠端電漿製程選擇性地為光罩層及低k介電層之未修正部分去除低k介電層之已修正部分。

Description

圖案化低k介電膜的方法 【相關申請案之交叉引用】
本申請案主張2012年7月10日申請之美國臨時申請案第61/669,824號之權益,該申請案之全部內容以引用之方式併入本文中。
本發明之實施例係關於半導體處理之領域,且特定言之,係關於圖案化低k介電膜的方法。
在半導體製造中,低k介電質係一種相對於二氧化矽具有較小介電常數之材料。低k介電材料之實施是用於允許微電子裝置之尺寸持續縮小的數個策略中之一者。在數位電路中,絕緣介電質將導電部件(例如,導線互連件及電晶體)彼此隔離。隨著元件尺寸縮小及電晶體移動聚攏,絕緣介電質變薄至電荷累積及串音對裝置之效能產生不利影響之程度。使用厚度相同之低k介電質替換二氧化矽降低寄生電容,從而使切換速度加快及熱耗散降低。
儘管如此,低k介電處理技術之演進仍需顯著之改良。
本發明之一或更多個實施例係針對圖案化低k介電膜之方法。
在一實施例中,一種圖案化低k介電膜之方法涉及在低k介電層之上形成並圖案化光罩層。將低k介電層安置在基板之上。方法亦涉及利用電漿製程修正低k介電層之曝露部分。方法亦涉及在同一操作中,利用遠端電漿製程選擇性地為光罩層及低k介電層之未修正部分去除低k介電層之已修正部分。
在一實施例中,一種圖案化低k介電膜之方法涉及在低k介電層之上形成並圖案化光罩層。將低k介電層安置在基板之上。方法亦涉及在腔室內利用基於SiF4/N2/Ar之電漿製程修正低k介電層之曝露部分。方法亦涉及在同一腔室中,利用遠端電漿製程(基於選自由NF3/O2/N2、CF4/O2/N2及NF3/NH3電漿遠端電漿所組成之群組中的電漿)選擇性地為光罩層及低k介電層之未修正部分去除低k介電層之已修正部分。
在一實施例中,一種圖案化低k介電膜之方法涉及在低k介電層上方形成並圖案化光罩層。將低k介電層安置在基板之上。方法亦涉及在腔室內利用電漿製程修正低k介電層之曝露部分及在光罩層上形成保護材料層。方法亦涉及在同一腔室中,利用遠端電漿製程選擇性地為光罩層及低k介電層之未修正部分去除低k介電層之已修正部分。
200‧‧‧流程圖
202、204‧‧‧操作
302‧‧‧基板
304‧‧‧低k介電層
304B‧‧‧低k介電層304之未修正部分
304C‧‧‧已圖案化之低k介電層
306‧‧‧光罩層
307‧‧‧電漿處理
308‧‧‧低k介電層304之已修正部分
310、310'‧‧‧溝槽
399‧‧‧保護材料層
402A、402B‧‧‧材料堆疊
404A‧‧‧第一電漿階段位置
404B‧‧‧第二電漿階段位置
499‧‧‧保護層
500‧‧‧系統
502‧‧‧腔室
504‧‧‧樣本固持器
506‧‧‧抽空裝置
508‧‧‧進氣裝置
510‧‧‧電漿點火裝置
512‧‧‧計算裝置
514‧‧‧電壓源
516‧‧‧偵測器
600‧‧‧電腦系統
602‧‧‧處理器
604‧‧‧主記憶體
606‧‧‧靜態記憶體
608‧‧‧網路介面裝置
610‧‧‧視訊顯示單位
612‧‧‧文數字輸入裝置
614‧‧‧游標控制裝置
616‧‧‧訊號產生裝置
618‧‧‧輔助記憶體
620‧‧‧網路
622‧‧‧軟體
626‧‧‧處理邏輯
631‧‧‧機器可存取儲存媒體
第1圖圖示低k介電層可由此在習知氧化電漿條件 下遭損傷或受衝擊的機制,該等習知氧化電漿條件用於去除在習知基於碳氟化合物的蝕刻製程中形成的聚合物。
第2圖為表示依據本發明之一實施例之圖案化低k介電膜的方法中之操作的流程圖。
第3A圖至第3F圖圖示表示依據本發明之一實施例之圖案化低k介電膜的方法中對應於第2圖之流程圖的各種操作的橫截面視圖。
第4A圖及第4B圖圖示表示依據本發明之一實施例之圖案化低k介電膜的方法中的各種操作及對應之腔室配置的橫截面視圖。
第5A圖圖示依據本發明之一實施例之藉以執行低k介電膜圖案化之方法的系統。
第5B圖圖示依據本發明之一實施例之用於第5A圖中之腔室502的可能之配置的示意圖。
第6圖圖示依據本發明之一實施例之示例性電腦系統的方塊圖。
本案描述圖案化低k介電膜之方法。以下描述中提出了眾多特定細節,諸如用於修正低k介電膜之部分的特定電漿處理及效應,以便提供對本發明之實施例的全面理解。熟習此項技術者將顯而易見,本發明之實施例可在無需該等特定細節之情況下得以實施。為了不無謂地使本發明之實施例模糊不清,其他實例中並未詳細描述眾所熟知的態樣,諸如用於光罩形成之光微影圖案化及顯影技術。而且,應理解, 圖式中所示之各種實施例為說明性圖示,且未必按比例繪製。
例如多孔SiCOH之多孔低k介電材料通常對電漿蝕 刻具有敏感性。問題通常在蝕刻製程期間在超低k(ultra-low-k;ULK)薄膜中引起的Si-CH3網路的損傷周圍產生。此類損傷可衝擊ULK薄膜之機械完整性。而且,在基於碳氟化合物(基於CxFy)之蝕刻製程期間形成的聚合物可造成「F記憶效應」。敏感性亦可由於高孔隙率而產生,而高孔隙率使得蝕刻化學物深入地擴散至低k介電膜內。
圖案化低k介電膜的關鍵問題之一在於對在蝕刻製 程期間導致的損傷級別之控制。所使用之電漿參數及化學物通常修正低k介電膜之含碳量,該含碳量導致電行為(k值及漏電率)的變化。碳損傷之根本原因之一係在剝離在蝕刻製程期間沉積在低k表面上的CxFy聚合物期間發生。儘管如此,基於CxFy之化學物有益於典型之介電蝕刻製程,因為該化學物在電漿蝕刻期間可提供側壁保護,並提高各向異性蝕刻能力。
依據本文所述之一或更多個實施例,蝕刻之基本態 樣係藉由使用替代性氣體進行蝕刻而得以解決。例如,在一個實施例中,使用基於Si之前驅物(例如,SiF4、SiCl4、其他氟矽烷、氯矽烷、碘矽烷)、氮化氣體(例如,N2、NH3等)及/或惰性稀釋氣體(例如,Ar、He、Xe、Ne)之組合。 藉由在正在經受蝕刻之溝槽側壁上形成基於Si(富氮)的沉積物,各向異性蝕刻可能可以實施。此沉積物亦保護低k介電膜免遭電漿侵蝕。
在其他實施例中,共同使用氧氣與N2或用氧氣替代 N2,以在側壁上形成基於Si-O的沉積物。在蝕刻順序中,隨後之階段或操作涉及使用遠端電漿或低能電漿以產生可選擇性地自低k介電質去除此類薄膜的物質。一個實例為使用基於NF3/NH3之遠端電漿以形成NH4F,該NH4F與側壁沉積物反應以形成矽酸鹽物質。矽酸鹽物質在高溫下昇華。在一個此類實施例中,上述製程對於低k材料具有較高選擇性,因為低k材料在該製程中不受蝕刻。在另一實施例中,可採用基於O2/N2/NF3或NO/NF3之遠端電漿製程以蝕刻去除Si-N類側壁沉積物。製程經調諧以對於低k介電膜具有較高選擇性。 可多次重複蝕刻製程之上述兩個態樣以用於輪廓控制。
本發明之一或更多個實施例之目的為描述諸如ULK 薄膜之低k介電膜的無碳氟化合物蝕刻。在一實施例中,本文之一或更多種方法用於提供一種圖案化方法,該方法在例如蝕刻圖案化製程中減少對低k介電膜之損傷或有害衝擊。 在一個此類實施例中,本文所述之方法對摻雜碳的氧化膜可尤為實用。習知基於碳氟化合物的ULK蝕刻製程不可避免地在ULK表面上沉積CF聚合物。隨後,利用氧化蝕刻後處理(post etch treatment;PET)電漿去除聚合物。然而,PET電漿可氧化含碳ULK介電質。經氧化之含碳ULK介電質可經受不良的濕氣吸收,從而使ULK介電質之介電常數(k值)增大。依據本發明之一實施例,下文將描述超低k介電質的無碳氟化合物蝕刻。
第1圖圖示低k介電層可由此在習知氧化電漿條件 下遭損傷或受衝擊的機制,該等習知氧化電漿條件用於去除在習知基於碳氟化合物的蝕刻製程中形成的聚合物。參看第1圖的機制(a),當經受氧化灰化(oxidizing ash)時,約佔介電常數約為2.5的SiCOH低k介電膜之40%之甲基SiO3片段可不利地失去其甲基而換成氫氧基。參看第1圖的機制(b),當經受氧化灰化時,約佔介電常數約為2.5的SiCOH低k介電膜之10%之SiO2-(CH2)2片段可不利地轉化為SiO2-(COH)2片段。參看第1圖的機制(c),當經受還原灰化(reducing ash)時,約佔介電常數約為2.5的SiCOH低k介電膜之40%之甲基SiO3片段可不利地失去其甲基而換成氫化物配位基(H)。
在一實施例中,無碳氟化合物蝕刻用於圖案化低k 介電膜。由此,並未形成原本需要經灰化製程來去除的CF聚合物。在一個實施例中,本文所述之蝕刻製程包括在同一操作中實施的兩個基本功能:(1)經由利用第一電漿蝕刻進行的處理來修正低k介電膜之曝露部分,以將薄膜之一部分轉化成氧化矽(或低含碳量氧化物),及(2)利用第二遠端電漿蝕刻去除已處理層。由此,在一實施例中,不將ULK薄膜曝露於否則可對Si-CH3網路造成損傷之任何鹵素自由基或氧自由基。
在本發明之一態樣中,藉由修整低k介電膜之一部 分及隨後選擇性地為薄膜之未修正部分去除已修正部分,來圖案化低k介電膜。例如,第2圖為表示依據本發明之一實施例之圖案化低k介電膜的方法中之操作的流程圖200。第3A圖至第3F圖圖示表示依據本發明之一實施例之圖案化低k 介電膜的方法中之操作的橫截面視圖。
參看流程圖200中之操作202及對應之第3A圖及 第3B圖,一種圖案化低k介電膜之方法包括在低k介電層304之上形成(第3A圖)及圖案化(第3B圖)光罩層306,該低k介電層304安置在基板302之上。在一實施例中,光罩層306為直接在低k介電層304上形成之光阻層。在另一實施例中,光阻層首先在硬光罩層(在本實施例中為306)之上形成,該硬光罩層安置在低k介電層304之上。
在一實施例中,例如在光罩層306為經圖案化之硬 光罩層的情況下,在低k介電層304之上形成及圖案化光罩層306之步驟包括形成及圖案化非氧化光罩層。非氧化光罩層可針對氧化蝕刻提供選擇性,如下文中更加詳細描述。在一此類特定實施例中,光罩層306為含金屬層,諸如(但不限於)氮化鈦層或氮化鉭層。在另一此類特定實施例中,光罩層306為非氧化介電材料層,諸如(但不限於)氮化矽層。
在另一實施例中,例如在光罩層306為經圖案化之 光阻層的情況下,在低k介電層304之上形成及圖案化光罩層306之步驟包括形成及圖案化光阻層。光阻層可由適合用於微影製程之材料組成。亦即,在一實施例中,將光阻層曝露於光源,並隨即使光阻層顯影。在一個實施例中,待曝露於光源之光阻層的部分將在光阻層顯影之後去除,例如,光阻層由正光阻材料所組成。在一特定實施例中,光阻層由正光阻材料所組成,諸如(但不限於)248奈米節點抗蝕劑、193奈米節點抗蝕劑、157奈米節點抗蝕劑、超紫外線(extreme ultra-violet;EUv)抗蝕劑,或含有重氮萘醌敏化劑之酚樹脂基質。在另一實施例中,待曝露於光源之光阻層的部分將在光阻層顯影之後得以保留,例如光阻層由負光阻材料所組成。 在一特定實施例中,光阻層由負光阻材料所組成,諸如(但不限於)聚順異戊二烯及桂皮酸聚乙烯。
在一實施例中,低k介電層304之介電係數低於二 氧化矽之介電係數,例如低於約3.9。在一個實施例中,低k介電層304為如下材料,例如(但不限於)摻雜氟的二氧化矽、摻雜碳的二氧化矽、多孔二氧化矽、摻雜碳的多孔二氧化矽、多孔SiLK、基於聚矽氧的旋塗式聚合物介電質,或旋塗式有機聚合物介電質。依據本發明之一實施例,低k介電層304為介電常數低於2.7之多孔SiCOH層。
基板302可由適合於耐受製造製程之材料所組成, 且該材料可使半導體加工層適合地位於其上。依據本發明之一實施例,基板302由基於IV族之材料所組成,諸如(但不限於)晶態矽、鍺或矽/鍺。在一特定實施例中,提供基板302之步驟包括提供單晶態矽基板。在一特定實施例中,單晶態矽基板摻雜有雜質原子。在另一實施例中,基板302由III-V族材料所組成。在一實施例中,複數個半導體裝置位於基板302之上,低k介電層304之下。
參看流程圖200中之操作204及對應之第3C圖,圖 案化低k介電膜之步驟亦包括利用電漿製程307修正低k介電層304之曝露部分。低k介電層304之曝露部分為藉由已圖案化之光罩306而曝露之彼等部分。電漿307用於修正曝 露部分以提供低k介電層304之已修正部分308及未修正部分304B。除修正低k材料之外,亦可在同一製程中沉積保護材料層399,如第3C圖中所繪示。下文中將關連第4A及4B圖而對此類保護材料層399進行更為詳盡之描述。由此,在一實施例中,保護層之沉積及低k介電膜之修正在同一製程中得以執行,或至少實質上同時得以執行。
在一實施例中,第一電漿製程涉及基於SiF4/N2/Ar 之電漿。在一個此類實施例中,利用SiON層或SiONH層保護低k側壁,該等層中已特意脫氧或引入氧氣。在一特定實施例中,電漿中之Ar僅用於撞擊溝槽之底部部分,從而選擇性地及各向異性地修正低k材料(如第4A及4B圖中更明確之圖示)。在實施例中,為了取代SiF4或除SiF4之外,可使用諸如(但不限於)SiCl4、Si(CH3)3H、SiH4、Cl2SiH2、Si2Cl6之物質(例如,基本上為包括Si-Cl鍵或Si-F鍵之物質)。 在一特定實施例中,Ar具有之壓力大約處於5mTorr至50mTorr範圍內。在一實施例中,N2結合大約處於10W至1000W範圍內之功率一起使用。在一實施例中,使用大約處於2MHz至60MHz範圍內之偏壓射頻頻率。在一實施例中,兩種或兩種以上頻率之組合用於執行偏壓,例如,2MHz/60MHz、13MHz/60MHz或27MHz/60MHz之組合。在一實施例中,靜電卡盤(electrostatic chuck;ESC)溫度約為10攝氏度。在一實施例中,上述條件皆結合在一起使用。
再次參看流程圖200中之操作204,且現在參看對 應之第3D圖,圖案化低k介電膜之步驟亦包括去除低k介電 層304B之已修正部分308。在一實施例中,可選擇性為光罩層306及低k介電層304之未修正部分304B執行去除步驟。 由於在低k介電層304之修正期間可去除碳,因此已修正部分308之特性可更類似於氧化物。由此,在一實施例中,使用氧化去除製程來去除已修正部分308,下文將提供此操作之實例。
在一實施例中,使用第二遠端電漿以藉由去除已修 正之低k材料及保護層399而基本上清洗表面。在一個此類實施例中,NF3/O2/N2電漿或CF4/O2/N2電漿或NF3/NH3電漿在遠端用作第二電漿。由此,在一個實施例中,使用第一電漿(並非遠端電漿)以同時形成保護層及修正低k介電膜。 然後,使用第二電漿(遠端電漿)以去除保護層及已修正之低k材料層。下文將關連第5B圖以描述適合用於此類製程之腔室的實例。
由此,依據本發明之一實施例,藉由轉化低k介電 層之部分薄膜以實現低k介電膜之蝕刻。在一個實施例中,蝕刻可稱作原子層蝕刻或分子水準蝕刻(molecular level etching;MLE),因為在每一製程循環中,低k介電膜之曝露部分中僅有一層或少數層經轉化並隨後去除。如上述實例所證明,在一個實施例中,蝕刻製程包括首先選擇性地利用第一電漿修正水準之ULK表面的組成,然後利用第二(遠端)電漿去除已修正部分。在一個實施例中,本案所述之方法表示藉由自低k介電膜上剝離甲基之真正薄膜轉化。
相比而言,習知化學蝕刻通常涉及在ULK表面上沉 積聚合物,並利用氧化PET操作去除該聚合物。使用基於水性之清洗以去除已損傷之ULK,此舉可導致接線彎曲及將濕氣吸收至ULK中。反之,在本文之一實施例中,藉由完全避免CF蝕刻化學物來實現對ULK材料基本上無損傷之蝕刻。 使用惰性電漿以自ULK表面去除碳。然後,使用下游電漿以去除ULK之已修正部分。下游電漿蝕刻對ULK極具選擇性,因為蝕刻具有純化學蝕刻之特性。
上述與流程圖200中之操作204關連之方法可視需 要反復執行,以達到低k介電層304的適合之圖案化程度。 例如,再次參看第3D圖,在低k介電層304中形成溝槽310,留存部分經圖案化且未修正的低k介電層304B。溝槽310之深度可不足以用於執行低k介電層304的適合之圖案化,尤其因為上述修正及去除製程一次僅可去除一或若干個分子層之原因。
因而,在一實施例中,低k介電層304經受對低k 介電層304之曝露部分的多次修正及去除製程,直至溝槽310達到適合於後續製程要求之深度為止。在一個此類實施例中,反復執行修正及去除,直至部分溝槽形成於低k介電層304中,但並未完全貫穿低k介電層304為止。在另一此類實施例中,反復執行修正及去除,直至全部溝槽完全貫穿低k介電層304而形成為止。
作為循環製程之實例,第3E圖及第3F圖結合第3A 圖至第3D圖圖示共執行兩次循環以圖案化低k介電層的實施例。應理解,可需要執行遠遠多於兩次的修正及去除循環以 適當地圖案化低k介電膜。
參看第3E圖,在同一操作中,利用涉及第一遠端電 漿及第二遠端電漿之第二修正電漿製程修正及蝕刻低k介電層304B之曝露部分,例如溝槽310之曝露表面。低k介電層304B之曝露部分為藉由已圖案化之光罩306而曝露之彼等部分及低k介電層304B之曝露側壁。第3E圖中之第二反覆運算之修正及蝕刻循環形成了更深的溝槽310',並因此形成了經圖案化之低k介電膜304C。
參看第3F圖,一旦達到溝槽310'之期望深度(可涉 及許多次上述修正及去除製程之迴圈),則可去除光罩306。 然而,在一實施例中,必須謹慎去除光罩306,以便可選擇性地針對已圖案化之低k介電層304C執行去除步驟,且不對已圖案化之低k介電層304C產生有害衝擊(例如,使介電常數升高)。
在一實施例中,光罩層306由光阻材料所組成,且 在灰化製程中去除。然而,在一個實施例中,以限制對已圖案化之低k介電層304C之灰化損傷之方式施加灰化電漿。在一此類特定實施例中,儘管基於光阻劑之光罩層306與已圖案化之低k介電層304C的組成可能類似,但可利用其差別來選擇性地去除光罩層306。例如,有機光罩可包括烴聚合物(包括元素C、元素H、元素O),在此情況下,該光罩之蝕刻更視中性物質而定,而非離子。同時,已圖案化之低k介電層304C可包括具有Si-CH3基團之O-Si-O網路,在此情況下,該低k介電層之蝕刻可需要一些離子能及中性物質以導致損 傷。在一實施例中,用於選擇性去除光罩層306之方法可包括藉由高離子/中性物質比率而增大灰化針對已圖案化之低k介電層304C之選擇性,該比率可去除水準表面上之有機光罩,同時保留垂直表面上之已圖案化之低k介電層304C。
依據本發明之另一實施例,使用循環鈍化及灰化方 法以減少通常與自曝露或部分曝露之低k介電層去除光阻層相關連的灰化損傷。在一個此類實施例中,製程流程包括交替執行鈍化及灰化之步驟。在鈍化操作中之每一鈍化操作期間,使用含矽之鈍化劑以選擇性地與超低k(ULK)材料反應,以在ULK材料之曝露部分之上形成含矽薄膜薄層。在灰化操作中之每一灰化操作期間,含矽薄膜之薄層用於防護例如可用於蝕刻光阻層之灰化電漿。此保護減少灰化電漿會對ULK材料所導致的損傷。鈍化層之保護特性可視為在原位,就此意義而言,薄膜在曝露於灰化電漿之後可形成Si-O鍵聯。Si-O鍵聯可提供針對灰化電漿之選擇性。
在利用化學反應或物理濺鍍執行的灰化期間,可去 除或至少折衷些許鈍化層。因而,在一個實施例中,使用循環鈍化/灰化操作。可反復執行此類循環鈍化/灰化操作,直至去除全部有機光罩材料(例如,覆蓋光阻層)為止。例如,在一個實施例中,藉由氟氫酸(HF)清洗之方法可去除鈍化層之任何殘留部分。
在一特定實施例中,為形成鈍化層以用於在去除光罩層306期間保護已圖案化之低k介電層304C,首先在已圖案化之低k介電層304C之溝槽310'表面之上形成矽源層。在 一實施例中,矽源層由與已圖案化之低k介電層304C之水解部分反應的分子物質所形成。在一個實施例中,矽源層在矽源層與已圖案化之低k介電層304C之曝露部分之間形成共價鍵。在一個實施例中,矽源層由諸如(但不限於)以下物質所形成:四氯化矽(SiCl4)、二甲基甲矽烷((CH3)2SiH2)、三甲基矽烷((CH3)3SiH)、N-(三甲基矽)二甲胺((CH3)3SiN(CH2)2),或1,1,1,3,3,3-六甲基二矽氮烷(HMDS)。在一個實施例中,在形成矽源層之期間,基板302位於熱板上。
接著,將矽源層曝露於氧源,以在已圖案化之低k 介電層304C之溝槽310'表面上形成含Si-O層,並去除基於光阻劑之光罩層306之至少一部分。在一實施例中,含Si-O層在去除一些或全部光罩層306期間保護已圖案化之低k介電層304C。應理解,在缺少含Si-O層之情況下,已圖案化之低k介電層304C原本可因用於去除光罩層306之部分的製程而遭受損傷。在一實施例中,將矽源層曝露於氧源之步驟包括利用電漿進行處理。在一個實施例中,電漿基於氧自由基源。氧自由基源為具有由氧自由基組成之解離產物的分子。 在一此類特定實施例中,氧自由基源為諸如(但不限於)以下源:氧氣(O2)、臭氧(O3)、二氧化碳(CO2)或水(H2O)。在一實施例中,在去除光罩層306之後,去除含Si-O層。在一個此類實施例中,藉由乾式蝕刻製程去除含Si-O層。在另一實施例中,使用包括氟氫酸(HF)之濕式蝕刻解決方案去除含Si-O層。然而,應理解,無需此類額外處理。例如,在一個實施例中,在利用化學反應或物理濺鍍執行的灰化期間去除 含Si-O層。
如上所述,可在低k修正製程期間形成保護層。例 如,第4A及4B圖係圖示依據本發明之一實施例之圖案化低k介電膜的方法中的各種操作及對應之腔室配置的橫截面視圖。
參看第4A圖,諸如第3C圖中所示堆疊之材料堆疊 402A包括低k介電層中所形成(或正在形成)之溝槽。保護層499在第一電漿製程中形成,而低k介電層之曝露部分則在同一電漿製程中經修正。在一實施例中,第一電漿製程在堆疊402A定位在第一電漿階段位置404A時得以執行,如關連第5B圖之更詳盡描述。因此,在一實施例中,用於保護側壁(及用以密封孔隙以防止損傷)之電漿沉積與各向異性薄膜修正在同一製程中執行。
參看第4B圖,諸如第3D圖中所示堆疊之材料堆疊 402B包括在低k介電層中已清洗之溝槽。在第二電漿製程中去除第4A圖中之保護層499及已修正低k材料。在一實施例中,第二電漿製程為遠端電漿製程。在一個此類實施例中,第二電漿製程在堆疊402B定位在第二電漿階段位置404B時執行,如關連第5B圖之更詳盡描述。在一特定實施例中,Siconi電漿製程用以去除已沉積層及已修正層。
綜上,在一實施例中,使用基於非碳之方法在k值 不偏移之情況下選擇性地去除低k介電膜之部分。在一個實施例中,使用如下順序製程:首先,使用基於Si之前驅物用於側壁保護之蝕刻;其次,採用具有高選擇性基於自由基的 去除操作。此製程之優勢可包括(但不限於)使用無碳製程(無必需之灰化或蝕刻後處理法),可能無需濕式清洗,所使用之離子能較低從而使金屬硬光罩所受侵蝕最小,且處理法及去除操作具有自限性從而達到優良之深度及均勻控制。
在一實施例中,上述製程中之一或更多者在電漿蝕 刻腔室中執行。例如,在一個實施例中,上述製程中之一或更多者在Applied Centura® Enabler介電蝕刻系統中執行,該系統可購自美國加利福尼亞州森尼維爾市的應用材料公司(Applied Materials)。在另一實施例中,上述製程中之一或更多者在Applied MaterialsTM AdvantEdge G3蝕刻器中執行,該蝕刻器亦可購自美國加利福尼亞州森尼維爾市的應用材料公司。
可在適合於在蝕刻樣本之鄰近處提供蝕刻電漿之處 理設備中執行低k介電層之圖案化。例如,第5A圖圖示依據本發明之一實施例之藉以執行低k介電膜圖案化之方法的系統。
參看第5A圖,用於執行電漿蝕刻製程之系統500 包括腔室502,該腔室502裝備有樣本固持器504。抽空裝置506、進氣裝置508及電漿點火裝置510與腔室502耦接。計算裝置512與電漿點火裝置510耦接。此外,系統500可包括與樣本固持器504耦接之電壓源514,及與腔室502耦接之偵測器516。計算裝置512亦可與抽空裝置506、進氣裝置508、電壓源514及偵測器516耦接,如第5A圖中所示。
腔室502及樣本固持器504可包括反應腔室及樣本 定位裝置,該腔室及該定位裝置適合於容納電離氣體(亦即,電漿)並使樣本靠近電離氣體或自氣體中噴射出之帶電物質。抽空裝置506可為適合於將腔室502抽空及減壓之裝置。 進氣裝置508可為適合於將反應氣體注入腔室502內之裝置。電漿點火裝置510可為適合於點引電漿之裝置,該電漿源自於藉由進氣裝置508注入腔室502內之反應氣體。偵測裝置516可為適合於偵測處理操作之終點的裝置。在一個實施例中,系統500包括腔室502、樣本固持器504、抽空裝置506、進氣裝置508、電漿點火裝置510及偵測器516,上述各者與Applied Centura® Enabler介電蝕刻系統或Applied MaterialsTM AdvantEdge G3系統中所包含之彼等裝置類似或相同。
第5B圖圖示依據本發明之實施例之用於第5A圖中 之腔室502的可能配置的示意圖。參看第5B圖,並與第3C及3D圖之描述相關連,腔室502具有用於執行第一非遠端電漿製程之第一階段(電漿階段1,該階段可包括具有偏壓之原位來源)。例如,可在階段1執行涉及形成保護層及修正低k介電膜之電漿製程。腔室502亦具有用於執行遠端電漿製程之第二階段(電漿階段2)。例如,可在階段2執行涉及清洗所沉積之保護層及已修正之低k材料的電漿製程。腔室502之此類配置可使自由基/離子比率能被微調。此類可調諧來源之益處可包括對蝕刻異向性無聚合物處理法之控制。亦可執行由已修正層之深度所界定之逐層去除操作。此外,基於對介穩態物質(例如,NH、F、O、H、Cl等)之微控制的可調 諧之選擇性可實現。
本發明之實施例可作為電腦程式產品或軟體而提 供,該產品或軟體可包括上面儲存有指令之機器可讀媒體,該等指令可用以程式化電腦系統(或其他電子裝置)以執行依據本發明之製程。機器可讀媒體包括用於以機器(例如,電腦)可讀之形式儲存或傳輸資訊之任何機制。例如,機器可讀(例如,電腦可讀)媒體包括機器(例如,電腦)可讀儲存媒體(例如,唯讀記憶體(「ROM」)、隨機存取記憶體(「RAM」)、磁碟儲存媒體、光儲存媒體、快閃記憶體裝置等)、機器(例如,電腦)可讀傳輸媒體(電訊號、光訊號、聲響訊號,或其他形式之傳播訊號(例如,紅外線訊號、數位訊號等))等。
第6圖圖示以電腦系統600之示例性形式呈現的機 器之圖解說明,在該系統600內,可執行用於使機器執行本文所論述之方法中之任一或更多者的指令集。在替代性實施例中,機器可連接(例如,網路連接)至區域網路(LAN)、內部網路、外部網路或網際網路中的其他機器。機器可以主從式網路環境中之伺服器或客戶端機器之能力操作,或作為點對點(或分散式)網路環境中之對等機器操作。機器可為個人電腦(PC)、平板PC、視訊盒(STB)、個人數位助理(PDA)、行動電話、網路設備、伺服器、網路路由器、開關或橋接器,或能夠執行指令集(依序或其他)的任何機器,該指令集規定將由機器所採取之操作。進一步地,儘管只圖示單個機器,但術語「機器」亦應視作包括任何機器(例如,電腦)之集 合,該等機器單獨或共同執行一(或多個)指令集以執行本文所論述之方法中之任一或更多者。
示例性電腦系統600包括處理器602、主記憶體604(例如,唯讀記憶體(ROM)、快閃記憶體、動態隨機存取記憶體(DRAM)(諸如同步DRAM(SDRAM)或Rambus DRAM(RDRAM)等))、靜態記憶體606(例如,快閃記憶體、靜態隨機存取記憶體(SRAM)等)及輔助記憶體618(例如,資料儲存裝置),上述各者經由匯流排630彼此通訊。
處理器602表示一或更多個通用處理裝置,諸如,微處理器、中央處理單元或類似物。更特定而言,處理器602可為複雜指令集計算(CISC)微處理器、精簡指令集計算(RISC)微處理器、超長指令字(VLIW)微處理器、實施其他指令集之處理器,或執行指令集組合之處理器。處理器602亦可為一或更多個專用處理裝置,例如,特殊應用積體電路(ASIC)、現場可程式化閘極陣列(FPGA)、數位訊號處理器(DSP)、網路處理器或類似物。處理器602經配置以執行處理邏輯626以用於執行本文所論述之操作。
電腦系統600可進一步包括網路介面裝置608。電腦系統600亦可包括視訊顯示單位610(例如,液晶顯示器(LCD)或陰極射線管(CRT))、文數字輸入裝置612(例如,鍵盤)、游標控制裝置614(例如,滑鼠)及訊號產生裝置616(例如,揚聲器)。
輔助記憶體618可包括上面儲存有一或更多個指令集(例如,軟體622)之機器可存取儲存媒體(或更特定而言, 電腦可讀儲存媒體)631,該等指令集包含本文所述之方法或功能之任一或更多者。軟體622在藉由電腦600執行期間亦可完全或至少部分位元於主記憶體604內及/或位於處理器602內,主記憶體604及處理器602亦構成機器可讀儲存媒體。軟體622可進一步在網路620上經由網路介面裝置608傳輸或接收。
儘管機器可存取儲存媒體631在示例性實施例中圖 示為單個媒體,但術語「機器可讀儲存儲存媒體」應視作包括單個媒體或多個媒體(例如,集中式或分散式資料庫,及/或關連之快取及伺服器),該等媒體儲存一或更多個指令集。 術語「機器可讀儲存媒體」亦應視作包括能夠儲存或編碼用於由機器執行之指令集的任何媒體,及使機器執行本發明之方法中之任一或更多種方法的任何媒體。術語「機器可讀儲存媒體」應由此視作包括(但不限於)固態記憶體、光學記憶體及磁性媒體。
依據本發明之一實施例,機器可存取儲存媒體上儲 存有指令,該等指令使資料處理系統執行圖案化低k介電層之方法。方法包括在低k介電層之上形成及圖案化光罩層,該低k介電層安置在基板之上。利用電漿製程修正低k介電層的曝露部分。在同一操作中,利用遠端電漿製程,可選擇性地為光罩層及低k介電層之未修正部分去除低k介電層之已修正部分。
由此,已揭示圖案化低k介電膜之方法。
307‧‧‧電漿處理
308‧‧‧低k介電層304之已修正部分
302‧‧‧基板
304B‧‧‧低k介電層304之未修正部分
399‧‧‧保護材料層
306‧‧‧光罩層

Claims (20)

  1. 一種圖案化一低k介電膜之方法,該方法包含以下步驟:在一低k介電層之上形成及圖案化一光罩層,該低k介電層安置在一基板上;利用一電漿製程修正該低k介電層之曝露部分;及在同一操作中,利用一遠端電漿製程選擇性地為該光罩層及該低k介電層之未修正部分去除該低k介電層之該等已修正部分。
  2. 如請求項1所述之方法,其中利用該電漿製程修正該低k介電層之曝露部分之步驟包含以下步驟:使用一基於SiF4/N2/Ar之電漿。
  3. 如請求項2所述之方法,其中該Ar具有大約處於5mTorr至50mTorr之範圍內的一壓力,且該電漿具有大約處於10W至1000W之範圍內的一功率。
  4. 如請求項1所述之方法,其中利用該遠端電漿製程去除該低k介電層之該等已修正部分的步驟包含以下步驟:使用選自由以下各者組成之群組的一電漿:一NF3/O2/N2、一CF4/O2/N2及一NF3/NH3電漿遠端電漿。
  5. 如請求項1所述之方法,其中利用該電漿製程修正該低k介電層之曝露部分的步驟進一步包含以下步驟:利用該電漿製程在該光罩層上形成一保護材料層。
  6. 如請求項1所述之方法,其中形成及圖案化該光罩層之步驟包含以下步驟:形成及圖案化選自由氮化鈦及氮化鉭組成之群組的一材料。
  7. 如請求項1所述之方法,其中形成及圖案化該光罩層之步驟包含以下步驟:形成及圖案化一非氧化介電材料。
  8. 如請求項1所述之方法,其中形成及圖案化該光罩層之步驟包含以下步驟:形成及圖案化一光阻層。
  9. 如請求項1所述之方法,其中在該低k介電層之上形成及圖案化該光罩層之步驟包含以下步驟:在選自由以下各者組成之群組的一低k介電材料之上形成及圖案化該光罩層:一摻雜氟的二氧化矽、一摻雜碳的二氧化矽、一多孔二氧化矽、一摻雜碳的多孔二氧化矽、一多孔SiLK、一基於聚矽氧的旋塗式聚合物介電質、一有機旋塗式聚合物介電質及一多孔SiCOH層,該多孔SiCOH層之一介電常數小於2.7。
  10. 一種圖案化一低K介電膜之方法,該方法包含以下步驟: 在一低k介電層之上形成及圖案化一光罩層,該低k介電層安置在一基板上;在一腔室中利用一基於SiF4/N2/Ar之電漿製程修正該低k介電層之曝露部分;及在同一腔室中,利用一遠端電漿製程選擇性地為該光罩層及該低k介電層之未修正部分去除該低k介電層之該等已修正部分,該遠端電漿製程基於選自由以下各者組成之群組的一電漿:一NF3/O2/N2、一CF4/O2/N2及一NF3/NH3電漿遠端電漿。
  11. 如請求項10所述之方法,其中該基於SiF4/N2/Ar之電漿製程的該Ar具有大約處於5mTorr至50mTorr之範圍內的一壓力,且該基於SiF4/N2/Ar之電漿具有大約處於10W至1000W之範圍內的一功率。
  12. 如請求項10所述之方法,其中利用該電漿製程修正該低k介電層之曝露部分之步驟進一步包含以下步驟:利用該電漿製程在該光罩層上形成一保護材料層。
  13. 如請求項10所述之方法,其中形成及圖案化該光罩層之步驟包含以下步驟:形成及圖案化選自由氮化鈦及氮化鉭組成之群組的一材料。
  14. 如請求項10所述之方法,其中形成及圖案化該光罩層之步驟包含以下步驟:形成及圖案化一非氧化介電材料。
  15. 如請求項10所述之方法,其中形成及圖案化該光罩層之步驟包含以下步驟:形成及圖案化一光阻層。
  16. 如請求項10所述之方法,其中在該低k介電層之上形成及圖案化該光罩層之步驟包含以下步驟:在選自由以下各者組成之群組的一低k介電材料之上形成及圖案化該光罩層:一摻雜氟的二氧化矽、一摻雜碳的二氧化矽、一多孔二氧化矽、一摻雜碳的多孔二氧化矽、一多孔SiLK、一基於聚矽氧的旋塗式聚合物介電質、一有機旋塗式聚合物介電質及一多孔SiCOH層,該多孔SiCOH層之一介電常數小於2.7。
  17. 一種圖案化一低K介電膜之方法,該方法包含以下步驟:在一低k介電層之上形成及圖案化一光罩層,該低k介電層安置在一基板上;在一腔室中利用一電漿製程修正該低k介電層之曝露部分並在該光罩層上形成一保護材料層;及在同一腔室中,利用一遠端電漿製程選擇性地為該光罩層及該低k介電層之未修正部分去除該低k介電層之該等已修正部分。
  18. 如請求項17所述之方法,其中利用該電漿製程修正該低k介電層之曝露部分及在該光罩層上形成該保護材料層之步驟包含以下步驟:使用一基於SiF4/N2/Ar的電漿。
  19. 如請求項18所述之方法,其中該Ar具有大約處於5mTorr至50mTorr之範圍內的一壓力,且該電漿具有大約處於10W至1000W之範圍內的一功率。
  20. 如請求項17所述之方法,其中利用該遠端電漿製程去除該低k介電層之該等已修正部分的步驟包含以下步驟:使用選自由以下各者組成之群組的一電漿:一NF3/O2/N2、一CF4/O2/N2及一NF3/NH3電漿遠端電漿。
TW102122554A 2012-07-10 2013-06-25 圖案化低k介電膜的方法 TWI591725B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201261669824P 2012-07-10 2012-07-10
US13/922,543 US8802572B2 (en) 2012-07-10 2013-06-20 Method of patterning a low-k dielectric film

Publications (2)

Publication Number Publication Date
TW201407685A true TW201407685A (zh) 2014-02-16
TWI591725B TWI591725B (zh) 2017-07-11

Family

ID=49914336

Family Applications (1)

Application Number Title Priority Date Filing Date
TW102122554A TWI591725B (zh) 2012-07-10 2013-06-25 圖案化低k介電膜的方法

Country Status (5)

Country Link
US (1) US8802572B2 (zh)
JP (1) JP6457937B2 (zh)
KR (1) KR102033685B1 (zh)
TW (1) TWI591725B (zh)
WO (1) WO2014011382A1 (zh)

Families Citing this family (98)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9165783B2 (en) 2012-11-01 2015-10-20 Applied Materials, Inc. Method of patterning a low-k dielectric film
FR3000602B1 (fr) * 2012-12-28 2016-06-24 Commissariat A L Energie Atomique Et Aux Energies Alternatives Procede de gravure d'un materiau dielectrique poreux
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9006106B2 (en) * 2013-03-14 2015-04-14 Applied Materials, Inc. Method of removing a metal hardmask
US20140273463A1 (en) * 2013-03-15 2014-09-18 GlobalFoundries, Inc. Methods for fabricating integrated circuits that include a sealed sidewall in a porous low-k dielectric layer
US9543163B2 (en) * 2013-08-20 2017-01-10 Applied Materials, Inc. Methods for forming features in a material layer utilizing a combination of a main etching and a cyclical etching process
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9773683B2 (en) * 2014-06-09 2017-09-26 American Air Liquide, Inc. Atomic layer or cyclic plasma etching chemistries and processes
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9576811B2 (en) * 2015-01-12 2017-02-21 Lam Research Corporation Integrating atomic scale processes: ALD (atomic layer deposition) and ALE (atomic layer etch)
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10727073B2 (en) 2016-02-04 2020-07-28 Lam Research Corporation Atomic layer etching 3D structures: Si and SiGe and Ge smoothness on horizontal and vertical surfaces
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10858727B2 (en) 2016-08-19 2020-12-08 Applied Materials, Inc. High density, low stress amorphous carbon film, and process and equipment for its deposition
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) * 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US11037798B2 (en) * 2016-11-09 2021-06-15 Tokyo Electron Limited Self-limiting cyclic etch method for carbon-based films
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US10559461B2 (en) 2017-04-19 2020-02-11 Lam Research Corporation Selective deposition with atomic layer etch reset
US10832909B2 (en) 2017-04-24 2020-11-10 Lam Research Corporation Atomic layer etch, reactive precursors and energetic sources for patterning applications
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10276434B1 (en) 2018-01-02 2019-04-30 International Business Machines Corporation Structure and method using metal spacer for insertion of variable wide line implantation in SADP/SAQP integration
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
JP7034320B2 (ja) * 2018-09-26 2022-03-11 東京エレクトロン株式会社 エッチング方法、エッチング残渣の除去方法、および記憶媒体
JP7138529B2 (ja) * 2018-09-28 2022-09-16 東京エレクトロン株式会社 エッチング方法
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
KR20210010816A (ko) * 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
JP7394665B2 (ja) * 2020-03-11 2023-12-08 東京エレクトロン株式会社 基板処理方法及び基板処理装置
US11289375B2 (en) 2020-03-23 2022-03-29 International Business Machines Corporation Fully aligned interconnects with selective area deposition
JP2022164060A (ja) * 2021-04-15 2022-10-27 東京エレクトロン株式会社 エッチング方法及び処理装置

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04137532A (ja) * 1990-04-23 1992-05-12 Toshiba Corp 表面処理方法及びその装置
JP2001207277A (ja) * 2000-01-25 2001-07-31 Sony Corp 表面処理方法及びその装置
KR100389041B1 (ko) 2000-08-04 2003-06-25 삼성전자주식회사 에이치에스큐막을 층간절연막으로 사용하는 배선 형성 방법
US6638871B2 (en) * 2002-01-10 2003-10-28 United Microlectronics Corp. Method for forming openings in low dielectric constant material layer
JP2004152862A (ja) * 2002-10-29 2004-05-27 Fujitsu Ltd 半導体装置の製造方法
US7919141B2 (en) * 2004-03-31 2011-04-05 Kanto Denka Kogyo Co., Ltd. Processes and equipments for preparing F2-containing gases, as well as process and equipments for modifying the surfaces of articles
JP2006024730A (ja) * 2004-07-08 2006-01-26 Sony Corp 半導体装置の製造方法
JP4515309B2 (ja) * 2005-03-31 2010-07-28 東京エレクトロン株式会社 エッチング方法
US7279427B2 (en) * 2005-08-03 2007-10-09 Tokyo Electron, Ltd. Damage-free ashing process and system for post low-k etch
US7393795B2 (en) * 2006-02-01 2008-07-01 Applied Materials, Inc. Methods for post-etch deposition of a dielectric film
US7998873B2 (en) * 2007-06-15 2011-08-16 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating low-k dielectric and Cu interconnect
KR20120009443A (ko) 2009-04-09 2012-01-31 램 리써치 코포레이션 감소된 손상을 갖는 로우-k 유전체 에칭을 위한 방법
US8691701B2 (en) 2009-05-08 2014-04-08 Lam Research Corporation Strip with reduced low-K dielectric damage
US8741775B2 (en) * 2011-07-20 2014-06-03 Applied Materials, Inc. Method of patterning a low-K dielectric film

Also Published As

Publication number Publication date
JP6457937B2 (ja) 2019-01-23
WO2014011382A1 (en) 2014-01-16
CN104395990A (zh) 2015-03-04
JP2015523734A (ja) 2015-08-13
KR20150036534A (ko) 2015-04-07
US8802572B2 (en) 2014-08-12
TWI591725B (zh) 2017-07-11
KR102033685B1 (ko) 2019-10-17
US20140017898A1 (en) 2014-01-16

Similar Documents

Publication Publication Date Title
TWI591725B (zh) 圖案化低k介電膜的方法
US11302519B2 (en) Method of patterning a low-k dielectric film
US8741775B2 (en) Method of patterning a low-K dielectric film
US8940642B2 (en) Method of multiple patterning of a low-K dielectric film
US6207583B1 (en) Photoresist ashing process for organic and inorganic polymer dielectric materials
US8987139B2 (en) Method of patterning a low-k dielectric film
US9006106B2 (en) Method of removing a metal hardmask
US8871650B2 (en) Post etch treatment (PET) of a low-K dielectric film
US7977242B2 (en) Double mask self-aligned double patterning technology (SADPT) process
US8980754B2 (en) Method of removing a photoresist from a low-k dielectric film
US8647990B2 (en) Method of patterning a low-K dielectric film
JP5642427B2 (ja) プラズマ処理方法
CN104395990B (zh) 图案化低k介电膜的方法
JPH05109673A (ja) 半導体装置の製造方法
WO2023101915A1 (en) Selective etch using fluorocarbon-based deposition of a metalloid or metal
KR20080085287A (ko) 패턴 형성을 위한 반도체 구조 및 이를 이용한 패턴 형성방법
KR20080060330A (ko) 반도체소자의 메탈라인 패터닝 방법
OIQIU OIQIV%

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees