JP2015523734A - 低k誘電体膜をパターニングする方法 - Google Patents

低k誘電体膜をパターニングする方法 Download PDF

Info

Publication number
JP2015523734A
JP2015523734A JP2015521634A JP2015521634A JP2015523734A JP 2015523734 A JP2015523734 A JP 2015523734A JP 2015521634 A JP2015521634 A JP 2015521634A JP 2015521634 A JP2015521634 A JP 2015521634A JP 2015523734 A JP2015523734 A JP 2015523734A
Authority
JP
Japan
Prior art keywords
low
patterning
layer
dielectric
plasma
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2015521634A
Other languages
English (en)
Other versions
JP6457937B2 (ja
Inventor
スリニバス ディー ネマニ
スリニバス ディー ネマニ
ジェレミア ティー ペンダー
ジェレミア ティー ペンダー
キングジュン ゾウ
キングジュン ゾウ
ディミトリー ルボミルスキー
ディミトリー ルボミルスキー
セルゲイ ジー ベロストットスキー
セルゲイ ジー ベロストットスキー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2015523734A publication Critical patent/JP2015523734A/ja
Application granted granted Critical
Publication of JP6457937B2 publication Critical patent/JP6457937B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

低k誘電体膜をパターニングする方法が記載される。一例では、低k誘電体膜をパターニングする方法は、低k誘電体層の上方にマスク層を形成してパターニングする工程を含む。低k誘電体層は、基板の上方に配置される。本方法はまた、プラズマプロセスで低k誘電体層の露出部分を改質する工程を含む。本方法はまた、同一操作内で、リモートプラズマプロセスによって、低k誘電体層の改質された部分を、マスク層及び低k誘電体層の非改質部分に対して選択的に除去する工程を含む。

Description

関連出願の相互参照
本出願は、2012年7月10日に出願された米国仮特許出願第61/669,824号の利益を主張し、その全内容は、参照により本明細書に組み込まれる。
背景
1)分野
本発明の実施形態は、半導体処理の分野に関し、特に、低k誘電体膜をパターニングする方法に関する。
2)関連技術の説明
半導体製造では、低k誘電体は、二酸化ケイ素に対して小さい誘電率を有する材料である。低k誘電体材料の実装は、マイクロ電子デバイスの継続的なスケーリングを可能にするために使用されるいくつかの戦略のうちの一つである。デジタル回路では、絶縁性誘電体は、導電性部品(例えば、ワイヤ相互接続及びトランジスタ)を互いに分離する。部品がスケーリングされ、トランジスタが共により接近するにつれて、絶縁性誘電体は、電荷の蓄積及びクロストークがデバイスの性能に悪影響を及ぼす点まで薄くなってきている。同じ厚さの低k誘電体で二酸化ケイ素を置き換えることは、寄生容量を減少させ、より速いスイッチング速度とより低い熱放散を可能にする。
しかしながら、低k誘電体処理技術の進化には、大幅な改善が必要とされている。
概要
本発明の1以上の実施形態は、低k誘電体膜をパターニングする方法に向けられる。
一実施形態では、低k誘電体膜をパターニングする方法は、低k誘電体層の上方にマスク層を形成してパターニングする工程を含む。低k誘電体層は、基板の上方に配置される。本方法はまた、プラズマプロセスで低k誘電体層の露出部分を改質する工程を含む。本方法はまた、同一操作内で、リモートプラズマプロセスによって、低k誘電体層の改質された部分を、マスク層及び低k誘電体層の非改質部分に対して選択的に除去する工程を含む。
一実施形態では、低k誘電体膜をパターニングする方法は、低k誘電体層の上方にマスク層を形成してパターニングする工程を含む。低k誘電体層は、基板の上方に配置される。本方法はまた、チャンバ内においてSiF/N/Arベースのプラズマプロセスで低k誘電体層の露出部分を改質する工程を含む。本方法はまた、同一チャンバ内で、NF/O/N、CF/O/N、及びNF/NHプラズマのリモートプラズマからなる群から選択されるプラズマに基づくリモートプラズマプロセスによって、低k誘電体層の改質された部分を、マスク層及び低k誘電体層の非改質部分に対して選択的に除去する工程を含む。
一実施形態では、低k誘電体膜をパターニングする方法は、低k誘電体層の上方にマスク層を形成してパターニングする工程を含む。低k誘電体層は、基板の上方に配置される。本方法はまた、チャンバ内でプラズマプロセスによって、低k誘電体層の露出部分を改質し、マスク層上に保護材料層を形成する工程を含む。本方法はまた、同一操作内で、リモートプラズマプロセスによって、低k誘電体層の改質された部分を、マスク層及び低k誘電体層の非改質部分に対して選択的に除去する工程を含む。
従来のフッ化炭素ベースのエッチングプロセス内で形成されたポリマーを除去するために使用される従来の酸化プラズマ条件の下で、低k誘電体層が損傷又は影響を受ける可能性のあるメカニズムを示す。 本発明の一実施形態に係る、低k誘電体膜をパターニングする方法内の操作を示すフローチャートである。 本発明の一実施形態に係る、図2のフローチャートに対応する、低k誘電体膜をパターニングする方法内の様々な操作を表す断面図を示す。 本発明の一実施形態に係る、低k誘電体膜をパターニングする方法内の様々な操作、及び対応するチャンバ構成を示す断面図を表す断面図を示す。 本発明の一実施形態に係る、低k誘電体膜をパターニングする方法が実行されるシステムを示す。 本発明の一実施形態に係る、図5Aのチャンバ502の可能な構成の概略図を示す。 本発明の一実施形態に係る、典型的なコンピュータシステムのブロック図を示す。
詳細な説明
低k誘電体膜をパターニングする方法が説明される。以下の説明において、本発明の実施形態の完全な理解を提供するために、多数の特定の詳細(例えば、低k誘電体膜の一部を改質するための特定のプラズマ処理及び効果)が記載される。なお、本発明の実施形態は、これらの特定の詳細なしに実施できることが当業者には明らかであろう。他の例では、周知の態様(例えば、マスクを形成するためのフォトリソグラフィパターニング及び現像技術)は、本発明の実施形態を不必要に曖昧にしないために、詳細には説明されない。更に、図に示された様々な実施形態は例示であり、必ずしも縮尺通りに描かれていないことを理解すべきである。
多孔質低k誘電体材料(例えば、多孔質SiCOH)は、一般的にプラズマエッチングに対して敏感である。典型的には、エッチングプロセス中に超低k(ULK)膜内のSi−CHネットワークに引き起こされる損傷を取り囲む問題が生じる。このような損傷は、ULK膜の機械的完全性に影響を与える可能性がある。更に、フッ化炭素系(C系)のエッチングプロセス中に形成されたポリマーから生じる「Fメモリ効果」があるかもしれない。感度もまた、エッチング化学薬品が低k誘電体膜内に深く拡散することを可能にする高い空孔率から生じる可能性がある。
低k誘電体膜をパターニングする際の重要な課題の一つは、エッチングプロセス中に生じる損傷のレベルの制御である。一般的に使用されるプラズマパラメータ及び化学薬品は、電気的挙動(k値及び漏れ)の変化を引き起こす低k膜の炭素含有量を変える。炭素への損傷の根本原因の一つは、エッチングプロセス中に低k表面上に堆積するCポリマーを取り除く間にあった。しかしながら、C系の化学薬品は、プラズマエッチング中に側壁保護を提供し、異方性エッチング性能を向上させることができるので、典型的な誘電体エッチングプロセスは、C系の化学薬品から恩恵を受けている。
本明細書に記載される1以上の実施形態によれば、エッチングの基本的な側面は、エッチング用の代替ガスを使用することによって対処される。例えば、一実施形態では、Siベースの前駆体(例えば、SiF、SiCl、他のフルオロシラン、クロロシラン、ヨードシラン)、窒化ガス(例えば、N、NHなど)、及び/又は不活性希釈ガス(例えば、Ar、He、Xe、Ne)の組み合わせが使用される。異方性エッチングは、エッチングされるトレンチの側壁上にSiベースの(Nリッチの)堆積物の形成によって可能となる。この堆積物はまた、プラズマ攻撃から低k膜を保護する。
他の実施形態では、酸素がNと共に、又はNの代わりに用いられ、これによって側壁上にSi−Oベースの堆積物を形成する。エッチングシーケンス内の次の段階又は操作は、リモートプラズマ又は低エネルギープラズマの使用を含み、これによってそのような低kからの膜を選択的に除去することができる種を生成する。一例は、ケイ酸塩種を形成するように側壁堆積物と反応するNHFを形成するためのNF/NHベースのリモートプラズマの使用である。ケイ酸種は、高温で昇華する。このような一実施形態では、低k材料は、プロセス内でエッチングされない点で、上述のプロセスは、低k材料に対して高度に選択的である。別の一実施形態では、O/N/NF又はNO/NFベースのリモートプラズマプロセスの使用が、Si−N型の側壁堆積物をエッチング除去するために採用される。プロセスは、低k膜に対して高度に選択的であるように調整される。エッチングプロセスのこれらの2つの態様は、プロファイル制御のために複数回繰り返してもよい。
本発明の1以上の実施形態は、ULK膜などの低k誘電体膜のフッ化炭素無しのエッチングをターゲットにしている。一実施形態では、本明細書内の1以上の方法は、例えば、エッチングパターニングプロセス内で、低k誘電体膜に損傷又は有害な影響を削減するパターニングアプローチを提供するために使用される。このような一実施形態では、本明細書に記載されるアプローチは、Cドープ酸化膜に対して特に有用である可能性がある。従来のフッ化炭素化学薬品ベースのULKエッチングプロセスは、必然的にULK表面上にCFポリマーを堆積する。ポリマーは、その後、酸化ポストエッチング処理(PET)プラズマによって除去される。しかしながら、PETプラズマは、炭素含有ULK誘電体を酸化する可能性がある。酸化された炭素含有ULK誘電体は、望ましくない湿気の吸収の影響を受けやすい可能性があり、その結果、ULK誘電体の誘電率(k値)は、増加する。本発明の一実施形態によれば、超低k誘電体のフッ化炭素無しのエッチングが以下に記載される。
図1は、従来のフッ化炭素ベースのエッチングプロセス内で形成されたポリマーを除去するために使用される従来の酸化プラズマ条件の下で、低k誘電体層が損傷又は影響を受ける可能性のあるメカニズムを示す。図1のメカニズム(a)を参照すると、酸化アッシングを受ける場合、誘電率が約2.5のSiCOH低k膜の約40%を占めるSiOメチルフラグメントは、望ましくないことに、そのメチル基を失い、水酸基となる可能性がある。図1のメカニズム(b)を参照すると、酸化アッシングを受ける場合、誘電率が約2.5のSiCOH低k膜の約10%を占めるSiO−(CHメチルフラグメントは、望ましくないことに、SiO−(COH)フラグメントに転換される可能性がある。図1のメカニズム(c)を参照すると、還元アッシングを受ける場合、誘電率が約2.5のSiCOH低k膜の約40%を占めるSiOメチルフラグメントは、望ましくないことに、そのメチル基を失い、ヒビリド配位子(H)となる可能性がある。
一実施形態では、フッ化炭素を含まないエッチングは、低k誘電体膜をパターニングするために使用される。このように、CFポリマーは生じず、これはさもなければ、アッシングプロセスによる除去を必要とする。一実施形態では、本明細書に記載されるエッチングプロセスは、同一操作内に実行される以下の2つの基本的な機能を含む。(1)第1プラズマエッチングによる処理を介して、低k膜の露出部を改質し、これによって膜の一部を酸化ケイ素(又は低炭素含有酸化物)に転換する機能、及び(2)処理された層を、第2リモートプラズマエッチングによって除去する機能。したがって、一実施形態では、さもなければSi−CHネットワークに損傷を与える可能性のあるハロゲンラジカル又はOラジカルのいずれにもULK膜は曝露されない。
本発明の一態様では、低k誘電体膜は、低k誘電体膜の一部を改質し、その後改質部分を膜の非改質部分に対して選択的に除去することによってパターニングされる。例えば、図2は、本発明の実施形態に係る、低k誘電体膜をパターニングする方法の操作を表すフローチャート200である。図3A〜図3Fは、本発明の実施形態に係る、低k誘電体膜をパターニングする方法内の操作を表す断面図を示す。
フローチャート200の操作202及び対応する図3A及び図3Bを参照すると、低k誘電体膜をパターニングする方法は、基板302の上方に配置された低k誘電体層304の上方にマスク層306を形成し(図3A)パターニングする(図3B)工程を含む。一実施形態では、マスク層306は、低k誘電体層304の上に直接形成されたフォトレジスト層である。別の一実施形態では、低k誘電体層304の上方に配置されたハードマスク層(本実施形態では306)の上方に、フォトレジスト層が最初に形成される。
一実施形態(例えば、マスク層306がパターニングされたハードマスク層である場合)では、低k誘電体層の上方にマスク層306を形成しパターニングする工程は、非酸化物マスク層を形成しパターニングする工程を含む。以下でより詳細に説明されるように、非酸化物マスク層は、酸化物のエッチングに対する選択性を提供することができる。特定のこのような一実施形態では、マスク層306は、窒化チタンの層又は窒化タンタルの層が挙げられるが、これらに限定されない金属含有層である。別の特定のこのような一実施形態では、マスク層306は、窒化ケイ素の層が挙げられるが、これに限定されない非酸化物誘電体材料の層である。
別の一実施形態(例えば、マスク層306がパターニングされたフォトレジスト層である場合)では、低k誘電体層の上方にマスク層306を形成しパターニングする工程は、フォトレジスト層を形成しパターニングする工程を含む。フォトレジスト層は、リソグラフィプロセスで使用するのに適した材料で構成することができる。つまり、一実施形態では、フォトレジスト層は、光源に露光され、続いて現像される。一実施形態では、光源に露光されたフォトレジスト層の部分は、フォトレジスト層(例えば、フォトレジスト層がポジ型フォトレジスト材料から構成される)を現像する際に除去されるであろう。特定の一実施形態では、フォトレジスト層は、248ナノメートルノードレジスト、193ナノメートルノードレジスト、157ナノメートルノードレジスト、極紫外(EUV)レジスト、又はジアゾナフトキノン増感剤を有するフェノール樹脂マトリックスが挙げられるが、これらに限定されないポジ型フォトレジスト材料で構成される。別の一実施形態では、光源に露光されるフォトレジスト層の部分は、フォトレジスト層(例えば、フォトレジスト層がネガ型フォトレジスト材料から構成される)を現像する際に保持されるであろう。特定の一実施形態では、フォトレジスト層は、ポリ−シス−イソプレン及びポリ−ビニル−シンナメートが挙げられるが、これらに限定されないネガ型フォトレジスト材料で構成される。
一実施形態では、低k誘電体層304は、二酸化ケイ素の誘電率よりも小さい誘電率(例えば、約3.9未満)を有する。一実施形態では、低k誘電体層304は、フッ素ドープ二酸化ケイ素、炭素ドープ二酸化ケイ素、多孔質二酸化ケイ素、多孔質炭素ドープ二酸化ケイ素、多孔質SiLK、スピンオンシリコーンベースのポリマー誘電体、又はスピンオン有機ポリマー誘電体が挙げられるが、これらに限定されない材料である。本発明の一実施形態によれば、低k誘電体層304は、2.7未満の誘電率を有する多孔質SiCOH誘電体層である。
基板302は、製造プロセスに耐えるのに適し、その上に半導体処理層を好適に存在可能な材料で構成することができる。本発明の一実施形態によれば、基板302は、結晶シリコン、ゲルマニウム、又はシリコン/ゲルマニウムが挙げられるが、これらに限定されないIV族系材料で構成される。特定の一実施形態では、基板302を提供することは、単結晶シリコン基板を提供することを含む。特定の一実施形態では、単結晶シリコン基板は、不純物原子でドープされる。別の一実施形態では、基板302は、III−V材料から構成される。一実施形態では、複数の半導体デバイスが、低k誘電体層304の下方の基板302上に存在する。
フローチャート200の操作204及び対応する図3Cを参照すると、低k誘電体膜をパターニングする工程はまた、プラズマプロセス307で、低k誘電体層304の露出部分を改質する工程も含む。低k誘電体層304の露出部分は、パターニングされたマスク306によって露出された部分である。プラズマ307は、露出部分を改質するために使用され、これによって低k誘電体層304の改質部分308と非改質部分304Bを提供する。図3Cに示されるように、低k材料を改質するのに加えて、保護材料層399もまた、同一プロセスで堆積させることができる。このような保護材料層399は、図4A及び図4Bに関連して以下でより詳細に説明する。このように、一実施形態では、保護層の堆積及び低k膜の改質は、同一プロセスで、又は少なくとも本質的に同時に実行される。
一実施形態では、第1のプラズマプロセスは、SiF/N/Arベースのプラズマを伴う。このような一実施形態では、低kの側壁は、酸素を意図的に除去又は導入されたSiON又はSiONH層によって保護される。特定の一実施形態では、プラズマからのArは、トレンチの底部のみに衝撃を与えるために使用され、(図4A及び図4Bにより明確に示されるように)低k材料を選択的に異方的に改質する。一実施形態では、SiFの代わりに、又はSiFに加えて、SiCl、Si(CHH、SiH、ClSiH、SiClが挙げられるが、これらに限定されない種(例えば、本質的にSi−Cl結合又はSi−F結合を含む種)を用いることができる。特定の一実施形態では、Arは、約5〜50ミリトールの範囲内の圧力を有する。一実施形態では、Nは、約10〜1000Wの範囲内の電力と共に使用される。一実施形態では、約2MHz〜60MHzの範囲内のバイアスRF周波数が用いられる。一実施形態では、バイアス用に2以上の周波数の組み合わせ(例えば、2MHz/60MHz、13MHz/60MHz、又は27MHz/60MHzの組み合わせ)が使用される。一実施形態では、静電チャック(ESC)の温度は、約10℃である。一実施形態では、上記の条件は、すべて一緒に組み合わせて使用される。
再びフローチャート200の操作204と、今度は図3Dを参照すると、低k誘電体膜をパターニングする工程はまた、低k誘電体層304Bの改質部分308を除去する工程を含む。一実施形態では、除去は、マスク層306に対して、及び低k誘電体層304の非改質部分304Bに対して選択的である。炭素は、低k誘電体層304の改質中に除去することができるので、改質部分308は、より酸化物のような性質になるかもしれない。したがって、一実施形態では、酸化物除去プロセスが、改質部分308を除去するために使用され、その例が以下に提供される。
一実施形態では、第2のリモートプラズマが、改質された低k材料及び保護層399を除去することによって表面を本質的に洗浄するために使用される。そのような一実施形態では、NF/O/N又はCF/O/N又はNF/NHプラズマが第2のプラズマとしてリモートで使用される。このように、一実施形態では、第1のプラズマ(リモートでないプラズマ)が、保護層を形成すると同時に、低k膜を改質するために使用される。その後、第2のプラズマ(リモートプラズマ)が、保護層及び改質された低k材料層を除去するために使用される。このようなプロセスに適したチャンバの一例が、図5Bに関連して以下に説明される。
このように、本発明の一実施形態によれば、低k誘電体膜のエッチングは、低k誘電体層の部分的な膜転換によって達成される。一実施形態では、低k誘電体膜の露出部のたった1つの層又は数層がプロセスサイクル毎に転換され、その後、除去されるので、エッチングは、原子層エッチング又は分子レベルエッチング(MLE)と呼ぶことができる。上記に例示したように、一実施形態では、エッチングプロセスは、第1のプラズマによってまず水平ULK表面の組成を選択的に改質し、その後、第2のリモートプラズマによって改質部を除去することを含む。一実施形態では、本明細書に記載されるアプローチは、低k誘電体膜からのメチルノックオフによる真の膜転換を表す。
対照的に、従来の化学エッチングは、一般的に、酸化PET操作によって除去されるULK表面上のポリマーの堆積を伴う。水性ベースの洗浄が、ラインの曲り及びULK内への湿気の吸収をもたらす可能性のある損傷を受けたULKを除去するために使用される。その代わりに、本明細書内の一実施形態では、ULK材料の本質的に損傷の無いエッチングが、CFエッチング化学薬品を完全に避けることによって達成される。ULK表面から炭素を除去するために、不活性プラズマが使用される。その後、ULKの改質された部分を除去するために下流プラズマが使用される。下流プラズマエッチングは、その純粋な化学エッチングの性質によりULKに対して非常に選択的であることができる。
フローチャート200の操作204に関連して上述された方法は、低k誘電体層304のパターニングの適切な度合いを達成するために必要に応じて繰り返すことができる。例えば、再び図3Dを参照すると、トレンチ310は、部分的にパターニングされ改質されない低k誘電体層304Bを残して、低k誘電体層304内に形成される。特に上述の改質・除去プロセスは、一度に1又は数分子層のみを除去することができるので、トレンチ310の深さは、低k誘電体層304の適切なパターニングのために十分な深さではないかもしれない。
したがって、一実施形態では、低k誘電体層304は、後続の処理要件に適したトレンチ310の深さが達成されるまで、その露出された部分の複数の改質及び除去プロセスが施される。このような一実施形態では、低k誘電体層304内に部分的なトレンチが形成されるが、完全には貫通しないまで、改質及び除去は繰り返される。別のこのような一実施形態では、改質及び除去は、完全なトレンチが低k誘電体層304を完全に貫通して形成されるまで繰り返される。
循環プロセスの一例として、図3E及び3Fは、図3A〜3Dと共に、低k誘電体層をパターニングするために2つのサイクルの全体が実行される一実施形態を図示している。2を超える多くの改質・除去サイクルが低k誘電体膜を好適にパターニングするために実行される必要があり得ることを理解すべきである。
図3Eを参照すると、低k誘電体層304Bの露出部(例えば、トレンチ310の露出面)は、同一操作内に第1及び第2のリモートプラズマプロセスを伴う第2の改質プラズマプロセスによって改質及びエッチングされる。低k誘電体層304Bの露出部は、パターニングされたマスク306並びに低k誘電体層304Bの露出した側壁によって露出した部分である。図3Eの改質・エッチングサイクルの第2の反復は、より深いトレンチ310’、それゆえパターニングされた低k膜304Cを形成した。
図3Fを参照すると、上記の改質・除去プロセスの多数のサイクルを含む可能性のあるトレンチ310’用の所望の深さが達成されると、マスク306を除去することができる。しかしながら、一実施形態では、除去がパターニングされた低k誘電体層304Cに対して選択的であり、パターニングされた低k誘電体層304Cに(例えば、誘電率を上昇させることによって)ひどい悪影響を与えないように、マスク306の除去の際に注意しなければならない。
一実施形態では、マスク層306は、フォトレジスト材料で構成され、アッシングプロセスで除去される。しかしながら、一実施形態では、アッシングプラズマは、アッシングの損傷をパターニングされた低k誘電体層304Cに制限するような方法で印加される。特定のこのような実施形態では、フォトレジストベースのマスク層306とパターニングされた低k誘電体層304Cの組成には類似性があるかもしれないが、相違点は、マスク層306を選択的に除去するために利用される。例えば、有機マスクは、(元素C、H、Oを含む)炭化水素ポリマーを含むことができ、ここでそのエッチングは、イオンにではなく中性種により多く依存することができる。一方、パターニングされた低k誘電体層304Cは、Si−CH基を有するO−Si−Oネットワークを含むことができ、ここでそのエッチングは、損傷を誘発させるために、いくつかのイオンエネルギー及び中性粒子を必要とするかもしれない。マスク層306を選択的に除去するためのアプローチは、一実施形態では、パターニングされた低k誘電体層304Cを垂直面上に保持しながら、水平面上の有機マスクを除去することができる高いイオン/中性粒子比によって、パターニングされた低k誘電体層304Cに対するアッシング選択性を増加させることを含むことができる。
本発明の別の一実施形態によれば、循環パッシベーション・アッシング法は、典型的には、露出した又は部分的に露出した低k誘電体層からフォトレジスト層を除去することに関連するアッシングの損傷を低減するために使用される。このような一実施形態では、プロセススキームは、パッシベーション及びアッシングの交互の工程を含む。各パッシベーション操作の間、ケイ素含有パッシベーション剤が使用され、これによって選択的に超低k(ULK)材料と選択的に反応し、ULK材料の露出部分上にケイ素含有膜の薄層を形成する。各アッシング操作の間、ケイ素含有膜の薄層は、例えば、フォトレジスト層をエッチングするために使用することができるアッシングプラズマから保護するように作用する。保護は、さもなければアッシングプラズマがULK材料に引き起こす損傷を軽減する。膜は、アッシングプラズマへ曝露するとSi−O結合を形成することができるという意味で、パッシベーション層の保護の性質は、インサイチューであると考えることができる。Si−O結合は、アッシングプラズマに対する選択性を提供することができる。
パッシベーション層は、化学反応又は物理的スパッタリングによるアッシングの間に除去又は少なくともいくらか損なわれることができる。したがって、一実施形態では、循環パッシベーション/アッシング操作が使用される。このような循環パッシベーション/アッシング操作は、全ての有機マスク材料(例えば、上層のフォトレジスト層)が除去されるまで繰り返すことができる。パッシベーション層の残りの部分は、例えば、一実施形態では、希フッ酸(HF)洗浄によって、除去することができる。
特定の一実施形態では、マスク層306を除去する際にパターニングされた低k誘電体層304Cを保護するためのパッシベーション層を形成するために、シリコンソース層が、まずパターニングされた低k誘電体層304Cのトレンチ310’の表面上に形成される。一実施形態では、シリコンソース層は、パターニングされた低k誘電体層304Cの加水分解された部分と反応する分子種から形成される。一実施形態では、シリコンソース層は、シリコンソース層と、パターニングされた低k誘電体層304Cの露出部との間に、共有結合を形成する。一実施形態では、シリコンソース層は、四塩化ケイ素(SiCl)、ジメチルシラン((CHSiH)、トリメチルシラン((CHSiH)、N−(トリメチルシリル)ジメチルアミン((CHSiN(CH)、又は1,1,1,3,3,3−ヘキサメチルジシラザン(HMDS)が挙げられるが、これらに限定されない種から形成される。一実施形態では、基板302は、シリコンソース層の形成中、ホットプレート上に置かれる。
次に、シリコンソース層が酸素源に曝露され、これによってパターニングされた低k誘電体層304Cのトレンチ310’の表面上にSi−O含有層を形成し、フォトレジストベースのマスク層306の少なくとも一部を除去する。一実施形態では、Si−O含有層は、マスク層306の一部又は全部を除去する際にパターニングされた低k誘電体層304Cを保護する。Si−O含有層の非存在下では、パターニングされた低k誘電体層304Cは、さもなければマスク層306の一部を除去するために使用されるプロセスによって損傷を受ける可能性があることを理解すべきである。一実施形態では、シリコンソース層を酸素源に曝露させる工程は、プラズマで処理する工程を含む。一実施形態では、プラズマは、酸素ラジカルソースに基づく。酸素ラジカルソースは、酸素ラジカルから構成される解離生成物を有する分子である。特定のこのような一実施形態では、酸素ラジカルソースは、酸素(O)、オゾン(O)、二酸化炭素(CO)、又は水(HO)が挙げられるが、これらに限定されない供給源(ソース)である。一実施形態では、マスク層306の除去の後、Si−O含有層は除去される。このような一実施形態では、Si−O含有層は、ドライエッチングプロセスによって除去される。別の一実施形態では、フッ化水素酸(HF)を含むウェットエッチング液が使用され、これによってSi−O含有層を除去する。しかしながら、そのような追加の処理は必要とされない可能性があることを理解すべきである。例えば、一実施形態では、Si−O含有層は、化学反応又は物理的スパッタリングによるアッシングの間に除去される。
上述したように、保護層は、低k改質プロセスの間に形成することができる。一例として、図4A及び図4Bは、本発明の一実施形態に係る、低k誘電体膜のパターニング方法内の様々な操作及び対応するチャンバ構成を表す断面図を示す。
図4Aを参照すると、材料スタック402A(例えば、図3Cに示されるスタック)は、低k誘電体層内に形成された(又は形成されている)トレンチを含む。保護層499は、第1のプラズマプロセス内で形成され、同時に同一のプラズマプロセス内で、低k誘電体層の露出部分が改質される。一実施形態では、図5Bに関連してより詳細に説明されるように、スタック402Aが、第1のプラズマステージ位置404Aに配置されている間に、第1のプラズマプロセスが実行される。このように、一実施形態では、異方性膜改質による側壁保護の(及び損傷を防ぐために細孔を封止する)ためのプラズマ蒸着が、同一プロセス内で実行される。
図4Bを参照すると、材料スタック402B(例えば、図3Dに示されるスタック)は、低k誘電体層内に洗浄されたトレンチを含む。保護層499及び図4Aから改質された低k材料は、第2のプラズマプロセスで除去される。一実施形態では、第2のプラズマプロセスは、リモートプラズマプロセスである。そのような一実施形態では、図5Bに関連してより詳細に説明されるように、スタック402Bが第2のプラズマステージ位置404Bに配置されている間に、第2のプラズマプロセスが実行される。特定の一実施形態では、Siconiプラズマプロセスが、堆積層及び改質層を除去するために用いられる。
概して、一実施形態では、k値のシフト無しに低k膜の一部を選択的に除去するために、非炭素ベースのアプローチが利用される。一実施形態では、第一に、Siベースの前駆体が、側壁を保護しながらエッチングするために用いられ、第二に、高度に選択的なラジカルベースの除去が用いられる順次的なプロセスが使用される。利点は、炭素を含まないプロセスの利用(アッシング又はポストエッチング処理は必ずしも必要とされない)、湿式洗浄が潜在的に必要とされない、最小の金属ハードマスク浸食につながる低イオンエネルギーの使用、及び良好な深さ及び均一性制御につながる自己制限処理及び除去操作を含むことができるが、これらに限定されない。
一実施形態では、上記プロセスのうちの1以上が、プラズマエッチングチャンバ内で実行される。例えば、一実施形態では、上記プロセスのうちの1以上は、米国カリフォルニア州サニーベールのアプライドマテリアルズ社(Applied Materials)から入手できるApplied Centura(商標名)Enabler誘電体エッチングシステム内で実行される。別の一実施形態では、上記プロセスのうちの1以上は、これもまた米国カリフォルニア州サニーベールのアプライドマテリアルズ社から入手できるApplied Materials(商標名)AdvantEdge G3エッチャー内で実行される。
低k誘電体層のパターニングは、エッチング用のサンプルの近くでエッチングプラズマを提供するのに適した処理装置内で実行することができる。例えば、図5Aは、本発明の一実施形態に係る、低k誘電体膜のパターニング法が内部で実行されるシステムを示す。
図5Aを参照すると、プラズマエッチングプロセスを実行するためのシステム500は、サンプルホルダー504を備えたチャンバ502を含む。排気装置506、ガス入口装置508、及びプラズマ点火装置510が、チャンバ502に結合される。コンピューティングデバイス512は、プラズマ点火装置510に結合される。システム500は、サンプルホルダー504に結合された電圧源514と、チャンバ502に結合された検出器516を更に含むことができる。コンピューティングデバイス512は、図5Aに示されるように、排気装置506、ガス入口装置508、電圧源514、及び検出器516にも結合することができる。
チャンバ502とサンプルホルダー504は、イオン化されたガス(すなわち、プラズマ)を含み、そこから排出されたイオン化ガス又は荷電種の近くにサンプルをもたらすのに適した反応チャンバ及びサンプル位置決め装置を含むことができる。排気装置506は、チャンバ502を排気し、減圧させるのに適した装置であることができる。ガス入口装置508は、チャンバ502内に反応ガスを注入するのに適した装置であることができる。プラズマ点火装置510は、ガス入口装置508によってチャンバ502内に注入された反応ガス由来のプラズマを点火するのに適した装置であることができる。検出装置516は、処理操作の終点を検出するのに適した装置であることができる。一実施形態では、システム500は、Applied Centura(商標名)Enabler誘電体エッチングシステム又はApplied Materials(商標名)AdvantEdge 3Gシステム内に含まれるものと類似又は同じ、チャンバ502、サンプルホルダー504、排気装置506、ガス入口装置508、プラズマ点火装置510、及び検出器516を含む。
図5Bは、本発明の一実施形態に係る、図5Aのチャンバ502の可能な構成の概略図を示す。図3C及び3Dの説明に関連して図5Bを参照すると、チャンバ502は、第1の非リモートのプラズマプロセスを実行するための第1ステージ(プラズマステージ1、これはバイアスを有するインサイチューソースを含むことができる)を有する。例えば、低k膜の改質と共に保護層の形成を含むプラズマプロセスを、ステージ1で実行することができる。チャンバ502はまた、リモートプラズマプロセスを実行するための第2ステージ(プラズマステージ2)を有する。例えば、改質された低k膜と共に堆積された保護層の洗浄を含むプラズマプロセスを、ステージ2で実行することができる。チャンバ502に対するこのような構成は、ラジカル/イオン比の微調整を可能にすることができる。このような調整可能なソースの利点は、エッチングに異方性のポリマーの無い処理の制御を含むことができる。改質層の深さによって画定される層ごとの除去を実行してもよい。更に、準安定種(例えば、NH、F、O、H、Cl等)の微調整に基づく調整可能な選択性を達成することができる。
本発明の実施形態は、本発明に係るプロセスを実行するように、コンピュータシステム(又は他の電子デバイス)をプログラミングするために使用することができる命令を内部に格納したマシン可読媒体を含むことができる、コンピュータプログラム製品、又はソフトウェアとして提供することができる。マシン可読媒体は、マシン(例えば、コンピュータ)によって読み取り可能な形式で情報を記憶又は伝送する任意の機構を含む。例えば、マシン可読(例えば、コンピュータ可読)媒体は、マシン(例えば、コンピュータ)で読み取り可能な記憶媒体(例えば、リードオンリーメモリ(「ROM」)、ランダムアクセスメモリ(「RAM」)、磁気ディスク記憶媒体、光記憶媒体、フラッシュメモリデバイス等)、マシン(例えば、コンピュータ)で読み取り可能な伝送媒体(電気的、光学的、音響的又はその他の形態の伝搬信号(例えば、赤外線信号、デジタル信号等))等を含む。
図6は、本明細書に記載される任意の1以上の方法をマシンに実行させるための命令セットを内部で実行することができるコンピュータシステム800の例示的な形態におけるマシンの図表示を示す。代替の実施形態では、マシンは、ローカルエリアネットワーク(LAN)、イントラネット、エクストラネット、又はインターネット内で他のマシンに接続(例えば、ネットワーク接続)することができる。マシンは、クライアント−サーバネットワーク環境におけるサーバ又はクライアントマシンの機能で、又はピアツーピア(又は分散)ネットワーク環境におけるピアマシンとして動作することができる。マシンは、パーソナルコンピュータ(PC)、タブレットPC、セットトップボックス(STB)、パーソナルデジタルアシスタント(PDA)、携帯電話、ウェブアプライアンス、サーバ、ネットワークルータ、スイッチ又はブリッジ、又はそのマシンによって取られる動作を特定する命令のセット(シーケンシャル又はそれ以外)を実行することができる任意のマシンであることができる。更に、単一のマシンのみが示されているが、用語「マシン」はまた、本明細書内で議論された任意の1以上の方法を実行する命令のセット(又は複数のセット)を個々に又は共同で実行するマシン(例えば、コンピュータ)の任意の集合を含むと解釈すべきである。
例示的なコンピュータシステム600は、プロセッサ602、メインメモリ604(例えば、リードオンリーメモリ(ROM)、フラッシュメモリ、ダイナミックランダムアクセスメモリ(DRAM)(例えば、シンクロナスDRAM(SDRAM)又はラムバスDRAM(RDRAM)など)、スタティックメモリ606(例えば、フラッシュメモリ、スタティックランダムアクセスメモリ(SRAM)など)、及び二次メモリ618(例えば、データ記憶装置)を含み、これらはバス630を介して互いに通信する。
プロセッサ602は、1以上の汎用処理装置(例えば、マイクロプロセッサ、中央処理装置など)を表す。より具体的には、プロセッサ602は、複合命令セットコンピューティング(CISC)マイクロプロセッサ、縮小命令セットコンピューティング(RISC)マイクロプロセッサ、超長命令語(VLIW)マイクロプロセッサ、他の命令セットを実行するプロセッサ、又は命令セットの組み合わせを実行するプロセッサであることができる。プロセッサ602は、1以上の特殊目的処理装置(例えば、特定用途向け集積回路(ASIC)、フィールドプログラマブルゲートアレイ(FPGA)、デジタル信号プロセッサ(DSP)、ネットワークプロセッサなど)であることも可能である。プロセッサ602は、本明細書で議論された操作を実行するための処理ロジック626を実行するように構成される。
コンピュータシステム600は更に、ネットワークインタフェースデバイス608を含むことができる。コンピュータシステム600は、ビデオディスプレイユニット610 (例えば、液晶ディスプレイ(LCD)、又は陰極線管(CRT))、英数字入力装置612(例えば、キーボード)、カーソル制御装置614(例えば、マウス)、及び信号生成装置616(例えば、スピーカ)を含むこともできる。
二次メモリ618は、本明細書に記載の1以上の方法又は機能の何れかを具現化する1以上の命令セット(例えば、ソフトウェア622)を格納するマシンアクセス可能な記憶媒体(又は、より具体的には、コンピュータ可読記憶媒体)631を含むことができる。ソフトウェア622はまた、コンピュータシステム600、メインメモリ604及びプロセッサ602(これらもまたマシン可読記憶媒体を構成している)によるその実行中に、メインメモリ604内及び/又はプロセッサ602内に、完全に又は少なくとも部分的に常駐することもできる。ソフトウェア622は更に、ネットワークインタフェースデバイス608を介してネットワーク620上で送信又は受信されることができる。
マシンアクセス可能な記憶媒体631は、例示的な一実施形態では単一の媒体であることが示されているが、用語「マシン可読記憶媒体」は、1以上の命令セットを格納する単一の媒体又は複数の媒体(例えば、集中型又は分散型データベース、及び/又は関連するキャッシュ及びサーバ)を含むように解釈されるべきである。用語「マシン可読記憶媒体」はまた、マシンによる実行用命令セットを格納又はエンコードすることができ、本発明の1以上の方法の何れかをマシンに実行させる任意の媒体を含むようにも解釈されるべきである。したがって、用語「マシン可読記憶媒体」は、固体メモリ、光・磁気メディアを含むが、これらに限定されないように解釈されるべきである。
本発明の一実施形態によると、機械アクセス可能な記憶媒体は、データ処理システムに低k誘電体層をパターニングする方法を実行させる命令を内部に格納している。本方法は、基板の上方に配置された低k誘電体層の上方にマスク層を形成してパターニングする工程を含む。低k誘電体層の露出部分は、プラズマプロセスで改質される。同一操作内で、リモートプラズマプロセスによって、低k誘電体層の改質された部分は、マスク層及び低k誘電体層の非改質部分に対して選択的に除去される。
このように、低k誘電体膜をパターニングする方法が開示された。

Claims (15)

  1. 低k誘電体膜をパターニングする方法であって、
    基板の上方に配置された低k誘電体層の上方にマスク層を形成してパターニングする工程と、
    プラズマプロセスで低k誘電体層の露出部分を改質する工程と、
    同一操作内で、リモートプラズマプロセスによって、低k誘電体層の改質された部分を、マスク層及び低k誘電体層の非改質部分に対して選択的に除去する工程を含む方法。
  2. プラズマプロセスで低k誘電体層の露出部分を改質する工程は、SiF/N/Arベースのプラズマを用いる工程を含む請求項1記載の方法。
  3. Arは、約5〜50ミリトールの範囲内の圧力を有し、プラズマは、約10〜1000Wの範囲内の出力を有する請求項2記載の方法。
  4. リモートプラズマプロセスによって、低k誘電体層の改質された部分を除去する工程は、NF/O/N、CF/O/N、及びNF/NHプラズマのリモートプラズマからなる群から選択されるプラズマを使用する工程を含む請求項1記載の方法。
  5. プラズマプロセスで低k誘電体層の露出部分を改質する工程は、プラズマプロセスによって、マスク層上に保護材料層を形成する工程を含む請求項1記載の方法。
  6. マスク層を形成してパターニングする工程は、窒化チタン及び窒カタン樽からなる軍から選択される材料を形成しパターニングする工程を含む請求項1記載の方法。
  7. マスク層を形成してパターニングする工程は、非酸化物誘電体材料を形成しパターニングする工程を含む請求項1記載の方法。
  8. マスク層を形成してパターニングする工程は、フォトレジスト層を形成しパターニングする工程を含む請求項1記載の方法。
  9. 低k誘電体層の上方にマスク層を形成してパターニングする工程は、フッ素ドープ二酸化ケイ素、炭素ドープ二酸化ケイ素、多孔質二酸化ケイ素、多孔質炭素ドープ二酸化ケイ素、多孔質SiLK、スピンオンシリコーンベースのポリマー誘電体、スピンオン有機ポリマー誘電体、及び2.7未満の誘電率を有する多孔質SiCOH層からなる群から選択される低k誘電体材料の上方にマスク層を形成してパターニングする工程を含む請求項1記載の方法。
  10. 低k誘電体膜をパターニングする方法であって、
    基板の上方に配置された低k誘電体層の上方にマスク層を形成してパターニングする工程と、
    チャンバ内においてSiF/N/Arベースのプラズマプロセスで低k誘電体層の露出部分を改質する工程と、
    同一チャンバ内で、NF/O/N、CF/O/N、及びNF/NHプラズマのリモートプラズマからなる群から選択されるプラズマに基づくリモートプラズマプロセスによって、低k誘電体層の改質された部分を、マスク層及び低k誘電体層の非改質部分に対して選択的に除去する工程を含む方法。
  11. SiF/N/ArベースのプラズマプロセスのArは、約5〜50ミリトールの範囲内の圧力を有し、プラズマは、約10〜1000Wの範囲内の出力を有する請求項10記載の方法。
  12. プラズマプロセスで低k誘電体層の露出部分を改質する工程は、プラズマプロセスによって、マスク層上に保護材料層を形成する工程を含む請求項10記載の方法。
  13. マスク層を形成してパターニングする工程は、窒化チタン及び窒化タンタルからなる群から選択される材料を形成しパターニングする工程を含む請求項10記載の方法。
  14. マスク層を形成してパターニングする工程は、非酸化物誘電体材料を形成しパターニングする工程を含む請求項10記載の方法。
  15. マスク層を形成してパターニングする工程は、フォトレジスト層を形成しパターニングする工程を含む請求項10記載の方法。
JP2015521634A 2012-07-10 2013-06-21 低k誘電体膜をパターニングする方法 Active JP6457937B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201261669824P 2012-07-10 2012-07-10
US61/669,824 2012-07-10
US13/922,543 2013-06-20
US13/922,543 US8802572B2 (en) 2012-07-10 2013-06-20 Method of patterning a low-k dielectric film
PCT/US2013/047164 WO2014011382A1 (en) 2012-07-10 2013-06-21 Method of patterning a low-k dielectric film

Publications (2)

Publication Number Publication Date
JP2015523734A true JP2015523734A (ja) 2015-08-13
JP6457937B2 JP6457937B2 (ja) 2019-01-23

Family

ID=49914336

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2015521634A Active JP6457937B2 (ja) 2012-07-10 2013-06-21 低k誘電体膜をパターニングする方法

Country Status (5)

Country Link
US (1) US8802572B2 (ja)
JP (1) JP6457937B2 (ja)
KR (1) KR102033685B1 (ja)
TW (1) TWI591725B (ja)
WO (1) WO2014011382A1 (ja)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2020053615A (ja) * 2018-09-28 2020-04-02 東京エレクトロン株式会社 エッチング方法
WO2020066172A1 (ja) * 2018-09-26 2020-04-02 東京エレクトロン株式会社 エッチング方法、エッチング残渣の除去方法、および記憶媒体
WO2021182189A1 (ja) * 2020-03-11 2021-09-16 東京エレクトロン株式会社 基板処理方法及び基板処理装置
WO2022220170A1 (ja) * 2021-04-15 2022-10-20 東京エレクトロン株式会社 エッチング方法及び処理装置

Families Citing this family (94)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9165783B2 (en) 2012-11-01 2015-10-20 Applied Materials, Inc. Method of patterning a low-k dielectric film
FR3000602B1 (fr) * 2012-12-28 2016-06-24 Commissariat A L Energie Atomique Et Aux Energies Alternatives Procede de gravure d'un materiau dielectrique poreux
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9006106B2 (en) * 2013-03-14 2015-04-14 Applied Materials, Inc. Method of removing a metal hardmask
US20140273463A1 (en) * 2013-03-15 2014-09-18 GlobalFoundries, Inc. Methods for fabricating integrated circuits that include a sealed sidewall in a porous low-k dielectric layer
US9543163B2 (en) * 2013-08-20 2017-01-10 Applied Materials, Inc. Methods for forming features in a material layer utilizing a combination of a main etching and a cyclical etching process
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9773683B2 (en) * 2014-06-09 2017-09-26 American Air Liquide, Inc. Atomic layer or cyclic plasma etching chemistries and processes
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9576811B2 (en) * 2015-01-12 2017-02-21 Lam Research Corporation Integrating atomic scale processes: ALD (atomic layer deposition) and ALE (atomic layer etch)
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10727073B2 (en) 2016-02-04 2020-07-28 Lam Research Corporation Atomic layer etching 3D structures: Si and SiGe and Ge smoothness on horizontal and vertical surfaces
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10858727B2 (en) 2016-08-19 2020-12-08 Applied Materials, Inc. High density, low stress amorphous carbon film, and process and equipment for its deposition
US10062575B2 (en) * 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US11037798B2 (en) * 2016-11-09 2021-06-15 Tokyo Electron Limited Self-limiting cyclic etch method for carbon-based films
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US10559461B2 (en) 2017-04-19 2020-02-11 Lam Research Corporation Selective deposition with atomic layer etch reset
US10832909B2 (en) 2017-04-24 2020-11-10 Lam Research Corporation Atomic layer etch, reactive precursors and energetic sources for patterning applications
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10276434B1 (en) 2018-01-02 2019-04-30 International Business Machines Corporation Structure and method using metal spacer for insertion of variable wide line implantation in SADP/SAQP integration
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
KR20210010816A (ko) * 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11289375B2 (en) 2020-03-23 2022-03-29 International Business Machines Corporation Fully aligned interconnects with selective area deposition

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04137532A (ja) * 1990-04-23 1992-05-12 Toshiba Corp 表面処理方法及びその装置
JP2001207277A (ja) * 2000-01-25 2001-07-31 Sony Corp 表面処理方法及びその装置
JP2004152862A (ja) * 2002-10-29 2004-05-27 Fujitsu Ltd 半導体装置の製造方法
WO2005095268A1 (ja) * 2004-03-31 2005-10-13 Kanto Denka Kogyo Co., Ltd. F2含有ガスの製造方法及びf2含有ガスの製造装置、並びに物品の表面を改質する方法及び物品の表面の改質装置
JP2006024730A (ja) * 2004-07-08 2006-01-26 Sony Corp 半導体装置の製造方法
JP2006286775A (ja) * 2005-03-31 2006-10-19 Tokyo Electron Ltd エッチング方法
JP2009503889A (ja) * 2005-08-03 2009-01-29 東京エレクトロン株式会社 低誘電率膜エッチング後の無損傷アッシングプロセス及びシステム

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100389041B1 (ko) 2000-08-04 2003-06-25 삼성전자주식회사 에이치에스큐막을 층간절연막으로 사용하는 배선 형성 방법
US6638871B2 (en) * 2002-01-10 2003-10-28 United Microlectronics Corp. Method for forming openings in low dielectric constant material layer
US7393795B2 (en) * 2006-02-01 2008-07-01 Applied Materials, Inc. Methods for post-etch deposition of a dielectric film
US7998873B2 (en) * 2007-06-15 2011-08-16 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating low-k dielectric and Cu interconnect
KR20170048609A (ko) 2009-04-09 2017-05-08 램 리써치 코포레이션 감소된 손상을 갖는 로우-k 유전체 에칭을 위한 방법
US8691701B2 (en) 2009-05-08 2014-04-08 Lam Research Corporation Strip with reduced low-K dielectric damage
US8741775B2 (en) * 2011-07-20 2014-06-03 Applied Materials, Inc. Method of patterning a low-K dielectric film

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04137532A (ja) * 1990-04-23 1992-05-12 Toshiba Corp 表面処理方法及びその装置
JP2001207277A (ja) * 2000-01-25 2001-07-31 Sony Corp 表面処理方法及びその装置
JP2004152862A (ja) * 2002-10-29 2004-05-27 Fujitsu Ltd 半導体装置の製造方法
WO2005095268A1 (ja) * 2004-03-31 2005-10-13 Kanto Denka Kogyo Co., Ltd. F2含有ガスの製造方法及びf2含有ガスの製造装置、並びに物品の表面を改質する方法及び物品の表面の改質装置
JP2006024730A (ja) * 2004-07-08 2006-01-26 Sony Corp 半導体装置の製造方法
JP2006286775A (ja) * 2005-03-31 2006-10-19 Tokyo Electron Ltd エッチング方法
JP2009503889A (ja) * 2005-08-03 2009-01-29 東京エレクトロン株式会社 低誘電率膜エッチング後の無損傷アッシングプロセス及びシステム

Cited By (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102614944B1 (ko) * 2018-09-26 2023-12-19 도쿄엘렉트론가부시키가이샤 에칭 방법, 에칭 잔사의 제거 방법, 및 기억 매체
WO2020066172A1 (ja) * 2018-09-26 2020-04-02 東京エレクトロン株式会社 エッチング方法、エッチング残渣の除去方法、および記憶媒体
KR20210058954A (ko) * 2018-09-26 2021-05-24 도쿄엘렉트론가부시키가이샤 에칭 방법, 에칭 잔사의 제거 방법, 및 기억 매체
JPWO2020066172A1 (ja) * 2018-09-26 2021-08-30 東京エレクトロン株式会社 エッチング方法、エッチング残渣の除去方法、および記憶媒体
JP7034320B2 (ja) 2018-09-26 2022-03-11 東京エレクトロン株式会社 エッチング方法、エッチング残渣の除去方法、および記憶媒体
TWI827674B (zh) * 2018-09-26 2024-01-01 日商東京威力科創股份有限公司 蝕刻方法、蝕刻殘渣之去除方法及記憶媒體
WO2020067179A1 (ja) * 2018-09-28 2020-04-02 東京エレクトロン株式会社 エッチング方法
KR20210049173A (ko) * 2018-09-28 2021-05-04 도쿄엘렉트론가부시키가이샤 에칭 방법
JP2020053615A (ja) * 2018-09-28 2020-04-02 東京エレクトロン株式会社 エッチング方法
JP7138529B2 (ja) 2018-09-28 2022-09-16 東京エレクトロン株式会社 エッチング方法
KR102650974B1 (ko) 2018-09-28 2024-03-26 도쿄엘렉트론가부시키가이샤 에칭 방법
US11721557B2 (en) 2018-09-28 2023-08-08 Tokyo Electron Limited Etching method
WO2021182189A1 (ja) * 2020-03-11 2021-09-16 東京エレクトロン株式会社 基板処理方法及び基板処理装置
JP7394665B2 (ja) 2020-03-11 2023-12-08 東京エレクトロン株式会社 基板処理方法及び基板処理装置
WO2022220170A1 (ja) * 2021-04-15 2022-10-20 東京エレクトロン株式会社 エッチング方法及び処理装置

Also Published As

Publication number Publication date
US8802572B2 (en) 2014-08-12
TW201407685A (zh) 2014-02-16
WO2014011382A1 (en) 2014-01-16
JP6457937B2 (ja) 2019-01-23
US20140017898A1 (en) 2014-01-16
CN104395990A (zh) 2015-03-04
TWI591725B (zh) 2017-07-11
KR20150036534A (ko) 2015-04-07
KR102033685B1 (ko) 2019-10-17

Similar Documents

Publication Publication Date Title
JP6457937B2 (ja) 低k誘電体膜をパターニングする方法
US11302519B2 (en) Method of patterning a low-k dielectric film
US8741775B2 (en) Method of patterning a low-K dielectric film
US8940642B2 (en) Method of multiple patterning of a low-K dielectric film
US8987139B2 (en) Method of patterning a low-k dielectric film
US8871650B2 (en) Post etch treatment (PET) of a low-K dielectric film
US9006106B2 (en) Method of removing a metal hardmask
JP6360496B2 (ja) 窒化ケイ素誘電体膜をパターニングする方法
US10062602B2 (en) Method of etching a porous dielectric material
KR101611938B1 (ko) 에칭 피쳐의 cd를 제어하는 방법
US8980754B2 (en) Method of removing a photoresist from a low-k dielectric film
KR20100106347A (ko) 산화물 스페이서를 이용한 피치 감소
US8314033B2 (en) Method of patterning a low-k dielectric film
JP5642427B2 (ja) プラズマ処理方法
JP2010062212A (ja) 半導体装置の製造方法
KR20210031414A (ko) 기판 처리 방법
CN104395990B (zh) 图案化低k介电膜的方法
WO2023101915A1 (en) Selective etch using fluorocarbon-based deposition of a metalloid or metal
OIQIU OIQIV%

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20160620

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20170207

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20170502

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20170706

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20170804

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20180123

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20180420

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20180622

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20180626

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20181204

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20181221

R150 Certificate of patent or registration of utility model

Ref document number: 6457937

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250