JP2015523734A - 低k誘電体膜をパターニングする方法 - Google Patents
低k誘電体膜をパターニングする方法 Download PDFInfo
- Publication number
- JP2015523734A JP2015523734A JP2015521634A JP2015521634A JP2015523734A JP 2015523734 A JP2015523734 A JP 2015523734A JP 2015521634 A JP2015521634 A JP 2015521634A JP 2015521634 A JP2015521634 A JP 2015521634A JP 2015523734 A JP2015523734 A JP 2015523734A
- Authority
- JP
- Japan
- Prior art keywords
- low
- patterning
- layer
- dielectric
- plasma
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Granted
Links
- 238000000034 method Methods 0.000 title claims abstract description 136
- 238000000059 patterning Methods 0.000 title claims abstract description 57
- 230000008569 process Effects 0.000 claims abstract description 82
- 239000000758 substrate Substances 0.000 claims abstract description 16
- 239000000463 material Substances 0.000 claims description 36
- 229920002120 photoresistant polymer Polymers 0.000 claims description 26
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 claims description 21
- 229920000642 polymer Polymers 0.000 claims description 13
- 229910052799 carbon Inorganic materials 0.000 claims description 12
- 239000003989 dielectric material Substances 0.000 claims description 11
- 235000012239 silicon dioxide Nutrition 0.000 claims description 11
- 239000000377 silicon dioxide Substances 0.000 claims description 11
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 claims description 9
- 230000001681 protective effect Effects 0.000 claims description 5
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 claims description 3
- 229910052731 fluorine Inorganic materials 0.000 claims description 2
- 229920001296 polysiloxane Polymers 0.000 claims description 2
- 229910021426 porous silicon Inorganic materials 0.000 claims description 2
- MZLGASXMSKOWSE-UHFFFAOYSA-N tantalum nitride Chemical compound [Ta]#N MZLGASXMSKOWSE-UHFFFAOYSA-N 0.000 claims description 2
- YCKRFDGAMUMZLT-UHFFFAOYSA-N Fluorine atom Chemical compound [F] YCKRFDGAMUMZLT-UHFFFAOYSA-N 0.000 claims 1
- 239000011737 fluorine Substances 0.000 claims 1
- LYGJENNIWJXYER-UHFFFAOYSA-N nitromethane Chemical compound C[N+]([O-])=O LYGJENNIWJXYER-UHFFFAOYSA-N 0.000 claims 1
- 229920000620 organic polymer Polymers 0.000 claims 1
- 239000010410 layer Substances 0.000 description 157
- 238000005530 etching Methods 0.000 description 26
- 238000004380 ashing Methods 0.000 description 21
- LIVNPJMFVYWSIS-UHFFFAOYSA-N silicon monoxide Inorganic materials [Si-]#[O+] LIVNPJMFVYWSIS-UHFFFAOYSA-N 0.000 description 14
- 238000012545 processing Methods 0.000 description 13
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 12
- 229910052710 silicon Inorganic materials 0.000 description 12
- 239000010703 silicon Substances 0.000 description 12
- 230000004048 modification Effects 0.000 description 11
- 238000012986 modification Methods 0.000 description 11
- 229910052760 oxygen Inorganic materials 0.000 description 11
- 238000002161 passivation Methods 0.000 description 11
- 238000003860 storage Methods 0.000 description 11
- 229910018557 Si O Inorganic materials 0.000 description 10
- 239000007789 gas Substances 0.000 description 10
- 239000001301 oxygen Substances 0.000 description 9
- 239000011241 protective layer Substances 0.000 description 9
- KRHYYFGTRYWZRS-UHFFFAOYSA-N Fluorane Chemical compound F KRHYYFGTRYWZRS-UHFFFAOYSA-N 0.000 description 6
- NBVXSUQYWXRMNV-UHFFFAOYSA-N fluoromethane Chemical compound FC NBVXSUQYWXRMNV-UHFFFAOYSA-N 0.000 description 6
- 230000007246 mechanism Effects 0.000 description 6
- 125000002496 methyl group Chemical group [H]C([H])([H])* 0.000 description 6
- 238000001020 plasma etching Methods 0.000 description 6
- 238000013459 approach Methods 0.000 description 5
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 5
- 238000006243 chemical reaction Methods 0.000 description 5
- 230000006870 function Effects 0.000 description 5
- -1 halogen radicals Chemical class 0.000 description 5
- 150000002500 ions Chemical class 0.000 description 5
- 230000008901 benefit Effects 0.000 description 4
- 238000004140 cleaning Methods 0.000 description 4
- 239000012634 fragment Substances 0.000 description 4
- 230000001590 oxidative effect Effects 0.000 description 4
- 239000004065 semiconductor Substances 0.000 description 4
- 239000000126 substance Substances 0.000 description 4
- 229910003902 SiCl 4 Inorganic materials 0.000 description 3
- 230000015572 biosynthetic process Effects 0.000 description 3
- 125000004122 cyclic group Chemical group 0.000 description 3
- 238000000151 deposition Methods 0.000 description 3
- 230000008021 deposition Effects 0.000 description 3
- 238000010586 diagram Methods 0.000 description 3
- 230000007935 neutral effect Effects 0.000 description 3
- 230000003287 optical effect Effects 0.000 description 3
- 150000003254 radicals Chemical class 0.000 description 3
- CURLTUGMZLYLDI-UHFFFAOYSA-N Carbon dioxide Chemical compound O=C=O CURLTUGMZLYLDI-UHFFFAOYSA-N 0.000 description 2
- BPQQTUXANYXVAA-UHFFFAOYSA-N Orthosilicate Chemical compound [O-][Si]([O-])([O-])[O-] BPQQTUXANYXVAA-UHFFFAOYSA-N 0.000 description 2
- 229910004298 SiO 2 Inorganic materials 0.000 description 2
- 238000010521 absorption reaction Methods 0.000 description 2
- 230000002411 adverse Effects 0.000 description 2
- 238000003486 chemical etching Methods 0.000 description 2
- 230000000694 effects Effects 0.000 description 2
- 229910052732 germanium Inorganic materials 0.000 description 2
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 description 2
- FFUAGWLWBBFQJT-UHFFFAOYSA-N hexamethyldisilazane Chemical compound C[Si](C)(C)N[Si](C)(C)C FFUAGWLWBBFQJT-UHFFFAOYSA-N 0.000 description 2
- 229910052739 hydrogen Inorganic materials 0.000 description 2
- 238000011065 in-situ storage Methods 0.000 description 2
- 238000004519 manufacturing process Methods 0.000 description 2
- 229910052751 metal Inorganic materials 0.000 description 2
- 239000002184 metal Substances 0.000 description 2
- 239000000203 mixture Substances 0.000 description 2
- 229910021421 monocrystalline silicon Inorganic materials 0.000 description 2
- QPJSUIGXIBEQAC-UHFFFAOYSA-N n-(2,4-dichloro-5-propan-2-yloxyphenyl)acetamide Chemical compound CC(C)OC1=CC(NC(C)=O)=C(Cl)C=C1Cl QPJSUIGXIBEQAC-UHFFFAOYSA-N 0.000 description 2
- 230000036961 partial effect Effects 0.000 description 2
- 239000002245 particle Substances 0.000 description 2
- 239000002243 precursor Substances 0.000 description 2
- 239000012495 reaction gas Substances 0.000 description 2
- 230000002829 reductive effect Effects 0.000 description 2
- 229910052814 silicon oxide Inorganic materials 0.000 description 2
- 238000004544 sputter deposition Methods 0.000 description 2
- 230000003068 static effect Effects 0.000 description 2
- KXGFMDJXCMQABM-UHFFFAOYSA-N 2-methoxy-6-methylphenol Chemical compound [CH]OC1=CC=CC([CH])=C1O KXGFMDJXCMQABM-UHFFFAOYSA-N 0.000 description 1
- VXEGSRKPIUDPQT-UHFFFAOYSA-N 4-[4-(4-methoxyphenyl)piperazin-1-yl]aniline Chemical compound C1=CC(OC)=CC=C1N1CCN(C=2C=CC(N)=CC=2)CC1 VXEGSRKPIUDPQT-UHFFFAOYSA-N 0.000 description 1
- 239000004215 Carbon black (E152) Substances 0.000 description 1
- 239000005046 Chlorosilane Substances 0.000 description 1
- 229910017855 NH 4 F Inorganic materials 0.000 description 1
- CBENFWSGALASAD-UHFFFAOYSA-N Ozone Chemical compound [O-][O+]=O CBENFWSGALASAD-UHFFFAOYSA-N 0.000 description 1
- 229910002656 O–Si–O Inorganic materials 0.000 description 1
- 229910052581 Si3N4 Inorganic materials 0.000 description 1
- 229910008284 Si—F Chemical group 0.000 description 1
- 238000009825 accumulation Methods 0.000 description 1
- 230000009471 action Effects 0.000 description 1
- 238000003491 array Methods 0.000 description 1
- 230000006399 behavior Effects 0.000 description 1
- 238000005452 bending Methods 0.000 description 1
- 230000005540 biological transmission Effects 0.000 description 1
- 229910002092 carbon dioxide Inorganic materials 0.000 description 1
- 239000001569 carbon dioxide Substances 0.000 description 1
- 230000008859 change Effects 0.000 description 1
- 239000003795 chemical substances by application Substances 0.000 description 1
- KOPOQZFJUQMUML-UHFFFAOYSA-N chlorosilane Chemical class Cl[SiH3] KOPOQZFJUQMUML-UHFFFAOYSA-N 0.000 description 1
- 238000004590 computer program Methods 0.000 description 1
- 229910021419 crystalline silicon Inorganic materials 0.000 description 1
- 238000013500 data storage Methods 0.000 description 1
- 230000001419 dependent effect Effects 0.000 description 1
- 238000001514 detection method Methods 0.000 description 1
- 230000001627 detrimental effect Effects 0.000 description 1
- 238000011161 development Methods 0.000 description 1
- URQUNWYOBNUYJQ-UHFFFAOYSA-N diazonaphthoquinone Chemical compound C1=CC=C2C(=O)C(=[N]=[N])C=CC2=C1 URQUNWYOBNUYJQ-UHFFFAOYSA-N 0.000 description 1
- 239000012895 dilution Substances 0.000 description 1
- 238000010790 dilution Methods 0.000 description 1
- UBHZUDXTHNMNLD-UHFFFAOYSA-N dimethylsilane Chemical compound C[SiH2]C UBHZUDXTHNMNLD-UHFFFAOYSA-N 0.000 description 1
- 238000010494 dissociation reaction Methods 0.000 description 1
- 230000005593 dissociations Effects 0.000 description 1
- 238000001312 dry etching Methods 0.000 description 1
- 230000003628 erosive effect Effects 0.000 description 1
- WGXGKXTZIQFQFO-CMDGGOBGSA-N ethenyl (e)-3-phenylprop-2-enoate Chemical compound C=COC(=O)\C=C\C1=CC=CC=C1 WGXGKXTZIQFQFO-CMDGGOBGSA-N 0.000 description 1
- 230000007274 generation of a signal involved in cell-cell signaling Effects 0.000 description 1
- 229910052736 halogen Inorganic materials 0.000 description 1
- 230000017525 heat dissipation Effects 0.000 description 1
- 150000004678 hydrides Chemical class 0.000 description 1
- 229930195733 hydrocarbon Natural products 0.000 description 1
- 150000002430 hydrocarbons Chemical class 0.000 description 1
- 125000002887 hydroxy group Chemical group [H]O* 0.000 description 1
- 230000001771 impaired effect Effects 0.000 description 1
- 239000012535 impurity Substances 0.000 description 1
- IDIOJRGTRFRIJL-UHFFFAOYSA-N iodosilane Chemical class I[SiH3] IDIOJRGTRFRIJL-UHFFFAOYSA-N 0.000 description 1
- 239000003446 ligand Substances 0.000 description 1
- 239000004973 liquid crystal related substance Substances 0.000 description 1
- 239000011159 matrix material Substances 0.000 description 1
- 239000012528 membrane Substances 0.000 description 1
- 230000003446 memory effect Effects 0.000 description 1
- 238000004377 microelectronic Methods 0.000 description 1
- KAHVZNKZQFSBFW-UHFFFAOYSA-N n-methyl-n-trimethylsilylmethanamine Chemical compound CN(C)[Si](C)(C)C KAHVZNKZQFSBFW-UHFFFAOYSA-N 0.000 description 1
- 238000005121 nitriding Methods 0.000 description 1
- 230000003071 parasitic effect Effects 0.000 description 1
- 239000005011 phenolic resin Substances 0.000 description 1
- 229920001568 phenolic resin Polymers 0.000 description 1
- 238000009832 plasma treatment Methods 0.000 description 1
- 239000011148 porous material Substances 0.000 description 1
- 230000000717 retained effect Effects 0.000 description 1
- 238000007789 sealing Methods 0.000 description 1
- 230000035945 sensitivity Effects 0.000 description 1
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 1
- 239000005049 silicon tetrachloride Substances 0.000 description 1
- 239000007787 solid Substances 0.000 description 1
- 230000001360 synchronised effect Effects 0.000 description 1
- 238000011282 treatment Methods 0.000 description 1
- PQDJYEQOELDLCP-UHFFFAOYSA-N trimethylsilane Chemical compound C[SiH](C)C PQDJYEQOELDLCP-UHFFFAOYSA-N 0.000 description 1
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Substances O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 description 1
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32357—Generation remote from the workpiece, e.g. down-stream
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/302—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
- H01L21/306—Chemical or electrical treatment, e.g. electrolytic etching
- H01L21/308—Chemical or electrical treatment, e.g. electrolytic etching using masks
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31105—Etching inorganic layers
- H01L21/31111—Etching inorganic layers by chemical means
- H01L21/31116—Etching inorganic layers by chemical means by dry-etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31127—Etching organic layers
- H01L21/31133—Etching organic layers by chemical means
- H01L21/31138—Etching organic layers by chemical means by dry-etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31144—Etching the insulating layers by chemical or physical means using masks
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Chemical & Material Sciences (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- General Chemical & Material Sciences (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Inorganic Chemistry (AREA)
- Plasma & Fusion (AREA)
- Analytical Chemistry (AREA)
- Drying Of Semiconductors (AREA)
- Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
Abstract
Description
本発明の実施形態は、半導体処理の分野に関し、特に、低k誘電体膜をパターニングする方法に関する。
半導体製造では、低k誘電体は、二酸化ケイ素に対して小さい誘電率を有する材料である。低k誘電体材料の実装は、マイクロ電子デバイスの継続的なスケーリングを可能にするために使用されるいくつかの戦略のうちの一つである。デジタル回路では、絶縁性誘電体は、導電性部品(例えば、ワイヤ相互接続及びトランジスタ)を互いに分離する。部品がスケーリングされ、トランジスタが共により接近するにつれて、絶縁性誘電体は、電荷の蓄積及びクロストークがデバイスの性能に悪影響を及ぼす点まで薄くなってきている。同じ厚さの低k誘電体で二酸化ケイ素を置き換えることは、寄生容量を減少させ、より速いスイッチング速度とより低い熱放散を可能にする。
Claims (15)
- 低k誘電体膜をパターニングする方法であって、
基板の上方に配置された低k誘電体層の上方にマスク層を形成してパターニングする工程と、
プラズマプロセスで低k誘電体層の露出部分を改質する工程と、
同一操作内で、リモートプラズマプロセスによって、低k誘電体層の改質された部分を、マスク層及び低k誘電体層の非改質部分に対して選択的に除去する工程を含む方法。 - プラズマプロセスで低k誘電体層の露出部分を改質する工程は、SiF4/N2/Arベースのプラズマを用いる工程を含む請求項1記載の方法。
- Arは、約5〜50ミリトールの範囲内の圧力を有し、プラズマは、約10〜1000Wの範囲内の出力を有する請求項2記載の方法。
- リモートプラズマプロセスによって、低k誘電体層の改質された部分を除去する工程は、NF3/O2/N2、CF4/O2/N2、及びNF3/NH3プラズマのリモートプラズマからなる群から選択されるプラズマを使用する工程を含む請求項1記載の方法。
- プラズマプロセスで低k誘電体層の露出部分を改質する工程は、プラズマプロセスによって、マスク層上に保護材料層を形成する工程を含む請求項1記載の方法。
- マスク層を形成してパターニングする工程は、窒化チタン及び窒カタン樽からなる軍から選択される材料を形成しパターニングする工程を含む請求項1記載の方法。
- マスク層を形成してパターニングする工程は、非酸化物誘電体材料を形成しパターニングする工程を含む請求項1記載の方法。
- マスク層を形成してパターニングする工程は、フォトレジスト層を形成しパターニングする工程を含む請求項1記載の方法。
- 低k誘電体層の上方にマスク層を形成してパターニングする工程は、フッ素ドープ二酸化ケイ素、炭素ドープ二酸化ケイ素、多孔質二酸化ケイ素、多孔質炭素ドープ二酸化ケイ素、多孔質SiLK、スピンオンシリコーンベースのポリマー誘電体、スピンオン有機ポリマー誘電体、及び2.7未満の誘電率を有する多孔質SiCOH層からなる群から選択される低k誘電体材料の上方にマスク層を形成してパターニングする工程を含む請求項1記載の方法。
- 低k誘電体膜をパターニングする方法であって、
基板の上方に配置された低k誘電体層の上方にマスク層を形成してパターニングする工程と、
チャンバ内においてSiF4/N2/Arベースのプラズマプロセスで低k誘電体層の露出部分を改質する工程と、
同一チャンバ内で、NF3/O2/N2、CF4/O2/N2、及びNF3/NH3プラズマのリモートプラズマからなる群から選択されるプラズマに基づくリモートプラズマプロセスによって、低k誘電体層の改質された部分を、マスク層及び低k誘電体層の非改質部分に対して選択的に除去する工程を含む方法。 - SiF4/N2/ArベースのプラズマプロセスのArは、約5〜50ミリトールの範囲内の圧力を有し、プラズマは、約10〜1000Wの範囲内の出力を有する請求項10記載の方法。
- プラズマプロセスで低k誘電体層の露出部分を改質する工程は、プラズマプロセスによって、マスク層上に保護材料層を形成する工程を含む請求項10記載の方法。
- マスク層を形成してパターニングする工程は、窒化チタン及び窒化タンタルからなる群から選択される材料を形成しパターニングする工程を含む請求項10記載の方法。
- マスク層を形成してパターニングする工程は、非酸化物誘電体材料を形成しパターニングする工程を含む請求項10記載の方法。
- マスク層を形成してパターニングする工程は、フォトレジスト層を形成しパターニングする工程を含む請求項10記載の方法。
Applications Claiming Priority (5)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US201261669824P | 2012-07-10 | 2012-07-10 | |
US61/669,824 | 2012-07-10 | ||
US13/922,543 | 2013-06-20 | ||
US13/922,543 US8802572B2 (en) | 2012-07-10 | 2013-06-20 | Method of patterning a low-k dielectric film |
PCT/US2013/047164 WO2014011382A1 (en) | 2012-07-10 | 2013-06-21 | Method of patterning a low-k dielectric film |
Publications (2)
Publication Number | Publication Date |
---|---|
JP2015523734A true JP2015523734A (ja) | 2015-08-13 |
JP6457937B2 JP6457937B2 (ja) | 2019-01-23 |
Family
ID=49914336
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2015521634A Active JP6457937B2 (ja) | 2012-07-10 | 2013-06-21 | 低k誘電体膜をパターニングする方法 |
Country Status (5)
Country | Link |
---|---|
US (1) | US8802572B2 (ja) |
JP (1) | JP6457937B2 (ja) |
KR (1) | KR102033685B1 (ja) |
TW (1) | TWI591725B (ja) |
WO (1) | WO2014011382A1 (ja) |
Cited By (4)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2020053615A (ja) * | 2018-09-28 | 2020-04-02 | 東京エレクトロン株式会社 | エッチング方法 |
WO2020066172A1 (ja) * | 2018-09-26 | 2020-04-02 | 東京エレクトロン株式会社 | エッチング方法、エッチング残渣の除去方法、および記憶媒体 |
WO2021182189A1 (ja) * | 2020-03-11 | 2021-09-16 | 東京エレクトロン株式会社 | 基板処理方法及び基板処理装置 |
WO2022220170A1 (ja) * | 2021-04-15 | 2022-10-20 | 東京エレクトロン株式会社 | エッチング方法及び処理装置 |
Families Citing this family (94)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US10283321B2 (en) | 2011-01-18 | 2019-05-07 | Applied Materials, Inc. | Semiconductor processing system and methods using capacitively coupled plasma |
US9064815B2 (en) | 2011-03-14 | 2015-06-23 | Applied Materials, Inc. | Methods for etch of metal and metal-oxide films |
US9267739B2 (en) | 2012-07-18 | 2016-02-23 | Applied Materials, Inc. | Pedestal with multi-zone temperature control and multiple purge capabilities |
US9373517B2 (en) | 2012-08-02 | 2016-06-21 | Applied Materials, Inc. | Semiconductor processing with DC assisted RF power for improved control |
US9132436B2 (en) | 2012-09-21 | 2015-09-15 | Applied Materials, Inc. | Chemical control features in wafer process equipment |
US9165783B2 (en) | 2012-11-01 | 2015-10-20 | Applied Materials, Inc. | Method of patterning a low-k dielectric film |
FR3000602B1 (fr) * | 2012-12-28 | 2016-06-24 | Commissariat A L Energie Atomique Et Aux Energies Alternatives | Procede de gravure d'un materiau dielectrique poreux |
US10256079B2 (en) | 2013-02-08 | 2019-04-09 | Applied Materials, Inc. | Semiconductor processing systems having multiple plasma configurations |
US9006106B2 (en) * | 2013-03-14 | 2015-04-14 | Applied Materials, Inc. | Method of removing a metal hardmask |
US20140273463A1 (en) * | 2013-03-15 | 2014-09-18 | GlobalFoundries, Inc. | Methods for fabricating integrated circuits that include a sealed sidewall in a porous low-k dielectric layer |
US9543163B2 (en) * | 2013-08-20 | 2017-01-10 | Applied Materials, Inc. | Methods for forming features in a material layer utilizing a combination of a main etching and a cyclical etching process |
US9309598B2 (en) | 2014-05-28 | 2016-04-12 | Applied Materials, Inc. | Oxide and metal removal |
US9773683B2 (en) * | 2014-06-09 | 2017-09-26 | American Air Liquide, Inc. | Atomic layer or cyclic plasma etching chemistries and processes |
US9966240B2 (en) | 2014-10-14 | 2018-05-08 | Applied Materials, Inc. | Systems and methods for internal surface conditioning assessment in plasma processing equipment |
US9355922B2 (en) | 2014-10-14 | 2016-05-31 | Applied Materials, Inc. | Systems and methods for internal surface conditioning in plasma processing equipment |
US11637002B2 (en) | 2014-11-26 | 2023-04-25 | Applied Materials, Inc. | Methods and systems to enhance process uniformity |
US10224210B2 (en) | 2014-12-09 | 2019-03-05 | Applied Materials, Inc. | Plasma processing system with direct outlet toroidal plasma source |
US10573496B2 (en) | 2014-12-09 | 2020-02-25 | Applied Materials, Inc. | Direct outlet toroidal plasma source |
US11257693B2 (en) | 2015-01-09 | 2022-02-22 | Applied Materials, Inc. | Methods and systems to improve pedestal temperature control |
US9576811B2 (en) * | 2015-01-12 | 2017-02-21 | Lam Research Corporation | Integrating atomic scale processes: ALD (atomic layer deposition) and ALE (atomic layer etch) |
US9728437B2 (en) | 2015-02-03 | 2017-08-08 | Applied Materials, Inc. | High temperature chuck for plasma processing systems |
US20160225652A1 (en) | 2015-02-03 | 2016-08-04 | Applied Materials, Inc. | Low temperature chuck for plasma processing systems |
US9741593B2 (en) | 2015-08-06 | 2017-08-22 | Applied Materials, Inc. | Thermal management systems and methods for wafer processing systems |
US9691645B2 (en) | 2015-08-06 | 2017-06-27 | Applied Materials, Inc. | Bolted wafer chuck thermal management systems and methods for wafer processing systems |
US9349605B1 (en) | 2015-08-07 | 2016-05-24 | Applied Materials, Inc. | Oxide etch selectivity systems and methods |
US10504700B2 (en) | 2015-08-27 | 2019-12-10 | Applied Materials, Inc. | Plasma etching systems and methods with secondary plasma injection |
US10727073B2 (en) | 2016-02-04 | 2020-07-28 | Lam Research Corporation | Atomic layer etching 3D structures: Si and SiGe and Ge smoothness on horizontal and vertical surfaces |
US10522371B2 (en) | 2016-05-19 | 2019-12-31 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US10504754B2 (en) | 2016-05-19 | 2019-12-10 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US10858727B2 (en) | 2016-08-19 | 2020-12-08 | Applied Materials, Inc. | High density, low stress amorphous carbon film, and process and equipment for its deposition |
US10062575B2 (en) * | 2016-09-09 | 2018-08-28 | Applied Materials, Inc. | Poly directional etch by oxidation |
US10629473B2 (en) | 2016-09-09 | 2020-04-21 | Applied Materials, Inc. | Footing removal for nitride spacer |
US10062585B2 (en) | 2016-10-04 | 2018-08-28 | Applied Materials, Inc. | Oxygen compatible plasma source |
US9934942B1 (en) | 2016-10-04 | 2018-04-03 | Applied Materials, Inc. | Chamber with flow-through source |
US10546729B2 (en) | 2016-10-04 | 2020-01-28 | Applied Materials, Inc. | Dual-channel showerhead with improved profile |
US10062579B2 (en) | 2016-10-07 | 2018-08-28 | Applied Materials, Inc. | Selective SiN lateral recess |
US9947549B1 (en) | 2016-10-10 | 2018-04-17 | Applied Materials, Inc. | Cobalt-containing material removal |
US11037798B2 (en) * | 2016-11-09 | 2021-06-15 | Tokyo Electron Limited | Self-limiting cyclic etch method for carbon-based films |
US9768034B1 (en) | 2016-11-11 | 2017-09-19 | Applied Materials, Inc. | Removal methods for high aspect ratio structures |
US10163696B2 (en) | 2016-11-11 | 2018-12-25 | Applied Materials, Inc. | Selective cobalt removal for bottom up gapfill |
US10026621B2 (en) | 2016-11-14 | 2018-07-17 | Applied Materials, Inc. | SiN spacer profile patterning |
US10242908B2 (en) | 2016-11-14 | 2019-03-26 | Applied Materials, Inc. | Airgap formation with damage-free copper |
US10566206B2 (en) | 2016-12-27 | 2020-02-18 | Applied Materials, Inc. | Systems and methods for anisotropic material breakthrough |
US10431429B2 (en) | 2017-02-03 | 2019-10-01 | Applied Materials, Inc. | Systems and methods for radial and azimuthal control of plasma uniformity |
US10403507B2 (en) | 2017-02-03 | 2019-09-03 | Applied Materials, Inc. | Shaped etch profile with oxidation |
US10043684B1 (en) | 2017-02-06 | 2018-08-07 | Applied Materials, Inc. | Self-limiting atomic thermal etching systems and methods |
US10319739B2 (en) | 2017-02-08 | 2019-06-11 | Applied Materials, Inc. | Accommodating imperfectly aligned memory holes |
US10943834B2 (en) | 2017-03-13 | 2021-03-09 | Applied Materials, Inc. | Replacement contact process |
US10319649B2 (en) | 2017-04-11 | 2019-06-11 | Applied Materials, Inc. | Optical emission spectroscopy (OES) for remote plasma monitoring |
US10559461B2 (en) | 2017-04-19 | 2020-02-11 | Lam Research Corporation | Selective deposition with atomic layer etch reset |
US10832909B2 (en) | 2017-04-24 | 2020-11-10 | Lam Research Corporation | Atomic layer etch, reactive precursors and energetic sources for patterning applications |
US11276559B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Semiconductor processing chamber for multiple precursor flow |
US11276590B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Multi-zone semiconductor substrate supports |
US10049891B1 (en) | 2017-05-31 | 2018-08-14 | Applied Materials, Inc. | Selective in situ cobalt residue removal |
US10497579B2 (en) | 2017-05-31 | 2019-12-03 | Applied Materials, Inc. | Water-free etching methods |
US10920320B2 (en) | 2017-06-16 | 2021-02-16 | Applied Materials, Inc. | Plasma health determination in semiconductor substrate processing reactors |
US10541246B2 (en) | 2017-06-26 | 2020-01-21 | Applied Materials, Inc. | 3D flash memory cells which discourage cross-cell electrical tunneling |
US10727080B2 (en) | 2017-07-07 | 2020-07-28 | Applied Materials, Inc. | Tantalum-containing material removal |
US10541184B2 (en) | 2017-07-11 | 2020-01-21 | Applied Materials, Inc. | Optical emission spectroscopic techniques for monitoring etching |
US10354889B2 (en) | 2017-07-17 | 2019-07-16 | Applied Materials, Inc. | Non-halogen etching of silicon-containing materials |
US10170336B1 (en) | 2017-08-04 | 2019-01-01 | Applied Materials, Inc. | Methods for anisotropic control of selective silicon removal |
US10043674B1 (en) | 2017-08-04 | 2018-08-07 | Applied Materials, Inc. | Germanium etching systems and methods |
US10297458B2 (en) | 2017-08-07 | 2019-05-21 | Applied Materials, Inc. | Process window widening using coated parts in plasma etch processes |
US10283324B1 (en) | 2017-10-24 | 2019-05-07 | Applied Materials, Inc. | Oxygen treatment for nitride etching |
US10128086B1 (en) | 2017-10-24 | 2018-11-13 | Applied Materials, Inc. | Silicon pretreatment for nitride removal |
US10256112B1 (en) | 2017-12-08 | 2019-04-09 | Applied Materials, Inc. | Selective tungsten removal |
US10903054B2 (en) | 2017-12-19 | 2021-01-26 | Applied Materials, Inc. | Multi-zone gas distribution systems and methods |
US11328909B2 (en) | 2017-12-22 | 2022-05-10 | Applied Materials, Inc. | Chamber conditioning and removal processes |
US10276434B1 (en) | 2018-01-02 | 2019-04-30 | International Business Machines Corporation | Structure and method using metal spacer for insertion of variable wide line implantation in SADP/SAQP integration |
US10854426B2 (en) | 2018-01-08 | 2020-12-01 | Applied Materials, Inc. | Metal recess for semiconductor structures |
US10679870B2 (en) | 2018-02-15 | 2020-06-09 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus |
US10964512B2 (en) | 2018-02-15 | 2021-03-30 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus and methods |
TWI716818B (zh) | 2018-02-28 | 2021-01-21 | 美商應用材料股份有限公司 | 形成氣隙的系統及方法 |
US10593560B2 (en) | 2018-03-01 | 2020-03-17 | Applied Materials, Inc. | Magnetic induction plasma source for semiconductor processes and equipment |
US10319600B1 (en) | 2018-03-12 | 2019-06-11 | Applied Materials, Inc. | Thermal silicon etch |
US10497573B2 (en) | 2018-03-13 | 2019-12-03 | Applied Materials, Inc. | Selective atomic layer etching of semiconductor materials |
US10573527B2 (en) | 2018-04-06 | 2020-02-25 | Applied Materials, Inc. | Gas-phase selective etching systems and methods |
US10490406B2 (en) | 2018-04-10 | 2019-11-26 | Appled Materials, Inc. | Systems and methods for material breakthrough |
US10699879B2 (en) | 2018-04-17 | 2020-06-30 | Applied Materials, Inc. | Two piece electrode assembly with gap for plasma control |
US10886137B2 (en) | 2018-04-30 | 2021-01-05 | Applied Materials, Inc. | Selective nitride removal |
US10755941B2 (en) | 2018-07-06 | 2020-08-25 | Applied Materials, Inc. | Self-limiting selective etching systems and methods |
US10872778B2 (en) | 2018-07-06 | 2020-12-22 | Applied Materials, Inc. | Systems and methods utilizing solid-phase etchants |
US10672642B2 (en) | 2018-07-24 | 2020-06-02 | Applied Materials, Inc. | Systems and methods for pedestal configuration |
US11049755B2 (en) | 2018-09-14 | 2021-06-29 | Applied Materials, Inc. | Semiconductor substrate supports with embedded RF shield |
US10892198B2 (en) | 2018-09-14 | 2021-01-12 | Applied Materials, Inc. | Systems and methods for improved performance in semiconductor processing |
US11062887B2 (en) | 2018-09-17 | 2021-07-13 | Applied Materials, Inc. | High temperature RF heater pedestals |
US11417534B2 (en) | 2018-09-21 | 2022-08-16 | Applied Materials, Inc. | Selective material removal |
US11682560B2 (en) | 2018-10-11 | 2023-06-20 | Applied Materials, Inc. | Systems and methods for hafnium-containing film removal |
US11121002B2 (en) | 2018-10-24 | 2021-09-14 | Applied Materials, Inc. | Systems and methods for etching metals and metal derivatives |
US11437242B2 (en) | 2018-11-27 | 2022-09-06 | Applied Materials, Inc. | Selective removal of silicon-containing materials |
US11721527B2 (en) | 2019-01-07 | 2023-08-08 | Applied Materials, Inc. | Processing chamber mixing systems |
US10920319B2 (en) | 2019-01-11 | 2021-02-16 | Applied Materials, Inc. | Ceramic showerheads with conductive electrodes |
KR20210010816A (ko) * | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 라디칼 보조 점화 플라즈마 시스템 및 방법 |
US11289375B2 (en) | 2020-03-23 | 2022-03-29 | International Business Machines Corporation | Fully aligned interconnects with selective area deposition |
Citations (7)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPH04137532A (ja) * | 1990-04-23 | 1992-05-12 | Toshiba Corp | 表面処理方法及びその装置 |
JP2001207277A (ja) * | 2000-01-25 | 2001-07-31 | Sony Corp | 表面処理方法及びその装置 |
JP2004152862A (ja) * | 2002-10-29 | 2004-05-27 | Fujitsu Ltd | 半導体装置の製造方法 |
WO2005095268A1 (ja) * | 2004-03-31 | 2005-10-13 | Kanto Denka Kogyo Co., Ltd. | F2含有ガスの製造方法及びf2含有ガスの製造装置、並びに物品の表面を改質する方法及び物品の表面の改質装置 |
JP2006024730A (ja) * | 2004-07-08 | 2006-01-26 | Sony Corp | 半導体装置の製造方法 |
JP2006286775A (ja) * | 2005-03-31 | 2006-10-19 | Tokyo Electron Ltd | エッチング方法 |
JP2009503889A (ja) * | 2005-08-03 | 2009-01-29 | 東京エレクトロン株式会社 | 低誘電率膜エッチング後の無損傷アッシングプロセス及びシステム |
Family Cites Families (7)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
KR100389041B1 (ko) | 2000-08-04 | 2003-06-25 | 삼성전자주식회사 | 에이치에스큐막을 층간절연막으로 사용하는 배선 형성 방법 |
US6638871B2 (en) * | 2002-01-10 | 2003-10-28 | United Microlectronics Corp. | Method for forming openings in low dielectric constant material layer |
US7393795B2 (en) * | 2006-02-01 | 2008-07-01 | Applied Materials, Inc. | Methods for post-etch deposition of a dielectric film |
US7998873B2 (en) * | 2007-06-15 | 2011-08-16 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method for fabricating low-k dielectric and Cu interconnect |
KR20170048609A (ko) | 2009-04-09 | 2017-05-08 | 램 리써치 코포레이션 | 감소된 손상을 갖는 로우-k 유전체 에칭을 위한 방법 |
US8691701B2 (en) | 2009-05-08 | 2014-04-08 | Lam Research Corporation | Strip with reduced low-K dielectric damage |
US8741775B2 (en) * | 2011-07-20 | 2014-06-03 | Applied Materials, Inc. | Method of patterning a low-K dielectric film |
-
2013
- 2013-06-20 US US13/922,543 patent/US8802572B2/en not_active Expired - Fee Related
- 2013-06-21 WO PCT/US2013/047164 patent/WO2014011382A1/en active Application Filing
- 2013-06-21 KR KR1020157003609A patent/KR102033685B1/ko active IP Right Grant
- 2013-06-21 JP JP2015521634A patent/JP6457937B2/ja active Active
- 2013-06-25 TW TW102122554A patent/TWI591725B/zh not_active IP Right Cessation
Patent Citations (7)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPH04137532A (ja) * | 1990-04-23 | 1992-05-12 | Toshiba Corp | 表面処理方法及びその装置 |
JP2001207277A (ja) * | 2000-01-25 | 2001-07-31 | Sony Corp | 表面処理方法及びその装置 |
JP2004152862A (ja) * | 2002-10-29 | 2004-05-27 | Fujitsu Ltd | 半導体装置の製造方法 |
WO2005095268A1 (ja) * | 2004-03-31 | 2005-10-13 | Kanto Denka Kogyo Co., Ltd. | F2含有ガスの製造方法及びf2含有ガスの製造装置、並びに物品の表面を改質する方法及び物品の表面の改質装置 |
JP2006024730A (ja) * | 2004-07-08 | 2006-01-26 | Sony Corp | 半導体装置の製造方法 |
JP2006286775A (ja) * | 2005-03-31 | 2006-10-19 | Tokyo Electron Ltd | エッチング方法 |
JP2009503889A (ja) * | 2005-08-03 | 2009-01-29 | 東京エレクトロン株式会社 | 低誘電率膜エッチング後の無損傷アッシングプロセス及びシステム |
Cited By (15)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
KR102614944B1 (ko) * | 2018-09-26 | 2023-12-19 | 도쿄엘렉트론가부시키가이샤 | 에칭 방법, 에칭 잔사의 제거 방법, 및 기억 매체 |
WO2020066172A1 (ja) * | 2018-09-26 | 2020-04-02 | 東京エレクトロン株式会社 | エッチング方法、エッチング残渣の除去方法、および記憶媒体 |
KR20210058954A (ko) * | 2018-09-26 | 2021-05-24 | 도쿄엘렉트론가부시키가이샤 | 에칭 방법, 에칭 잔사의 제거 방법, 및 기억 매체 |
JPWO2020066172A1 (ja) * | 2018-09-26 | 2021-08-30 | 東京エレクトロン株式会社 | エッチング方法、エッチング残渣の除去方法、および記憶媒体 |
JP7034320B2 (ja) | 2018-09-26 | 2022-03-11 | 東京エレクトロン株式会社 | エッチング方法、エッチング残渣の除去方法、および記憶媒体 |
TWI827674B (zh) * | 2018-09-26 | 2024-01-01 | 日商東京威力科創股份有限公司 | 蝕刻方法、蝕刻殘渣之去除方法及記憶媒體 |
WO2020067179A1 (ja) * | 2018-09-28 | 2020-04-02 | 東京エレクトロン株式会社 | エッチング方法 |
KR20210049173A (ko) * | 2018-09-28 | 2021-05-04 | 도쿄엘렉트론가부시키가이샤 | 에칭 방법 |
JP2020053615A (ja) * | 2018-09-28 | 2020-04-02 | 東京エレクトロン株式会社 | エッチング方法 |
JP7138529B2 (ja) | 2018-09-28 | 2022-09-16 | 東京エレクトロン株式会社 | エッチング方法 |
KR102650974B1 (ko) | 2018-09-28 | 2024-03-26 | 도쿄엘렉트론가부시키가이샤 | 에칭 방법 |
US11721557B2 (en) | 2018-09-28 | 2023-08-08 | Tokyo Electron Limited | Etching method |
WO2021182189A1 (ja) * | 2020-03-11 | 2021-09-16 | 東京エレクトロン株式会社 | 基板処理方法及び基板処理装置 |
JP7394665B2 (ja) | 2020-03-11 | 2023-12-08 | 東京エレクトロン株式会社 | 基板処理方法及び基板処理装置 |
WO2022220170A1 (ja) * | 2021-04-15 | 2022-10-20 | 東京エレクトロン株式会社 | エッチング方法及び処理装置 |
Also Published As
Publication number | Publication date |
---|---|
US8802572B2 (en) | 2014-08-12 |
TW201407685A (zh) | 2014-02-16 |
WO2014011382A1 (en) | 2014-01-16 |
JP6457937B2 (ja) | 2019-01-23 |
US20140017898A1 (en) | 2014-01-16 |
CN104395990A (zh) | 2015-03-04 |
TWI591725B (zh) | 2017-07-11 |
KR20150036534A (ko) | 2015-04-07 |
KR102033685B1 (ko) | 2019-10-17 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JP6457937B2 (ja) | 低k誘電体膜をパターニングする方法 | |
US11302519B2 (en) | Method of patterning a low-k dielectric film | |
US8741775B2 (en) | Method of patterning a low-K dielectric film | |
US8940642B2 (en) | Method of multiple patterning of a low-K dielectric film | |
US8987139B2 (en) | Method of patterning a low-k dielectric film | |
US8871650B2 (en) | Post etch treatment (PET) of a low-K dielectric film | |
US9006106B2 (en) | Method of removing a metal hardmask | |
JP6360496B2 (ja) | 窒化ケイ素誘電体膜をパターニングする方法 | |
US10062602B2 (en) | Method of etching a porous dielectric material | |
KR101611938B1 (ko) | 에칭 피쳐의 cd를 제어하는 방법 | |
US8980754B2 (en) | Method of removing a photoresist from a low-k dielectric film | |
KR20100106347A (ko) | 산화물 스페이서를 이용한 피치 감소 | |
US8314033B2 (en) | Method of patterning a low-k dielectric film | |
JP5642427B2 (ja) | プラズマ処理方法 | |
JP2010062212A (ja) | 半導体装置の製造方法 | |
KR20210031414A (ko) | 기판 처리 방법 | |
CN104395990B (zh) | 图案化低k介电膜的方法 | |
WO2023101915A1 (en) | Selective etch using fluorocarbon-based deposition of a metalloid or metal | |
OIQIU | OIQIV% |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A621 | Written request for application examination |
Free format text: JAPANESE INTERMEDIATE CODE: A621 Effective date: 20160620 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20170207 |
|
A601 | Written request for extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A601 Effective date: 20170502 |
|
A601 | Written request for extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A601 Effective date: 20170706 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20170804 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20180123 |
|
A601 | Written request for extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A601 Effective date: 20180420 |
|
A601 | Written request for extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A601 Effective date: 20180622 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20180626 |
|
TRDD | Decision of grant or rejection written | ||
A01 | Written decision to grant a patent or to grant a registration (utility model) |
Free format text: JAPANESE INTERMEDIATE CODE: A01 Effective date: 20181204 |
|
A61 | First payment of annual fees (during grant procedure) |
Free format text: JAPANESE INTERMEDIATE CODE: A61 Effective date: 20181221 |
|
R150 | Certificate of patent or registration of utility model |
Ref document number: 6457937 Country of ref document: JP Free format text: JAPANESE INTERMEDIATE CODE: R150 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |