KR102614944B1 - 에칭 방법, 에칭 잔사의 제거 방법, 및 기억 매체 - Google Patents

에칭 방법, 에칭 잔사의 제거 방법, 및 기억 매체 Download PDF

Info

Publication number
KR102614944B1
KR102614944B1 KR1020217011714A KR20217011714A KR102614944B1 KR 102614944 B1 KR102614944 B1 KR 102614944B1 KR 1020217011714 A KR1020217011714 A KR 1020217011714A KR 20217011714 A KR20217011714 A KR 20217011714A KR 102614944 B1 KR102614944 B1 KR 102614944B1
Authority
KR
South Korea
Prior art keywords
gas
oxide
etching
plasma
treatment
Prior art date
Application number
KR1020217011714A
Other languages
English (en)
Other versions
KR20210058954A (ko
Inventor
노부히로 다카하시
게이지 다노우치
신지 이리에
아키타카 시미즈
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20210058954A publication Critical patent/KR20210058954A/ko
Application granted granted Critical
Publication of KR102614944B1 publication Critical patent/KR102614944B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02334Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment in-situ cleaning after layer formation, e.g. removing process residues
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Abstract

에칭 방법은, 에칭 대상부를 갖는 기판을 준비하는 공정과, 기판의 에칭 대상부를, CF계 가스를 포함하는 처리 가스의 플라스마에 의해 소정 패턴으로 플라스마 에칭하는 공정과, 그 후, 에칭 잔사로서 잔존하는 CF계 퇴적물을 제거하는 공정을 갖고, CF계 퇴적물을 제거하는 공정은, 산소를 포함하는 라디칼에 의해 CF계 퇴적물의 산화물을 포함하는 산화물을 형성하는 공정과, 생성된 산화물을, 가스에 의한 화학적 처리 또는 라디칼 처리에 의해 제거하는 공정을 갖는다.

Description

에칭 방법, 에칭 잔사의 제거 방법, 및 기억 매체
본 개시는, 에칭 방법, 에칭 잔사의 제거 방법, 및 기억 매체에 관한 것이다.
반도체 디바이스의 제조 과정에서는, 산화막을 에칭하는 공정이 존재하며, 산화막의 에칭에는, CF계 가스를 포함하는 처리 가스에 의한 플라스마 에칭이 다용되고 있다.
이러한 CF계 가스를 포함하는 가스에 의한 플라스마 에칭 후에는, 에칭 후의 패턴에 에칭 잔사로서 CF계 퇴적물(폴리머층)이 잔존한다.
이러한 CF계 퇴적물을 제거하는 방법으로서는, O2 가스를 포함하는 가스의 플라스마를 사용하는 방법(예를 들어 특허문헌 1)이나, 불산이나 황산 등의 약액을 사용하는 방법(예를 들어 특허문헌 2) 등이 알려져 있다.
일본 특허 공개 평6-236864호 공보 일본 특허 공개 제2001-176855호 공보
본 개시는, 에칭 후의 패턴에 에칭 잔사로서 잔존하는 CF계 퇴적물을, 패턴에의 대미지 및 패턴 도괴를 억제하면서 제거할 수 있는 기술을 제공한다.
본 개시의 일 양태에 따른 에칭 방법은, 에칭 대상부를 갖는 기판을 준비하는 공정과, 상기 기판의 상기 에칭 대상부를, CF계 가스를 포함하는 처리 가스의 플라스마에 의해 소정 패턴으로 플라스마 에칭하는 공정과, 그 후, 에칭 잔사로서 잔존하는 CF계 퇴적물을 제거하는 공정을 갖고, 상기 CF계 퇴적물을 제거하는 공정은, 산소를 포함하는 라디칼에 의해 상기 CF계 퇴적물의 산화물을 포함하는 산화물을 형성하는 공정과, 상기 CF계 퇴적물의 산화물을 포함하는 산화물을, 가스에 의한 화학적 처리 또는 라디칼 처리에 의해 제거하는 공정을 갖는다.
본 개시에 의하면, 에칭 후의 패턴에 에칭 잔사로서 잔존하는 CF계 퇴적물을, 패턴에의 대미지 및 패턴 도괴를 억제하면서 제거할 수 있다.
도 1은 제1 실시 형태에 따른 에칭 방법을 나타내는 흐름도이다.
도 2a는 제1 실시 형태에서의 플라스마 에칭 후의 웨이퍼의 상태를 도시하는 모식도이다.
도 2b는 제1 실시 형태에서의 산소를 포함하는 라디칼에 의한 처리 후의 웨이퍼의 상태를 도시하는 모식도이다.
도 2c는 제1 실시 형태에서의 산화물 제거 처리 후의 웨이퍼의 상태를 도시하는 모식도이다.
도 3은 제2 실시 형태에 따른 에칭 방법을 나타내는 흐름도이다.
도 4a는 제2 실시 형태에서의 플라스마 에칭 후의 웨이퍼의 상태를 도시하는 모식도이다.
도 4b는 제2 실시 형태에서의 산소를 포함하는 라디칼 및 불소를 포함하는 라디칼에 의한 처리 후의 웨이퍼의 상태를 도시하는 모식도이다.
도 4c는 제2 실시 형태에서의 산화물 제거 처리 후의 웨이퍼의 상태를 도시하는 모식도이다.
도 5는 일 실시 형태의 에칭 방법에 사용하는 처리 시스템의 일례를 개략적으로 도시하는 수평 단면도이다.
도 6은 도 5의 처리 시스템에 탑재된, 산화 처리 장치의 일례를 개략적으로 도시하는 단면도이다.
도 7은 도 5의 처리 시스템에 탑재된, 산화물 제거 장치의 일례를 개략적으로 도시하는 단면도이다.
도 8a는 플라스마 에칭 처리 후의 XPS에 의한 표면의 원소 분석의 결과를 도시하는 도면이다.
도 8b는 산화 처리 후의 XPS에 의한 표면의 원소 분석의 결과를 도시하는 도면이다.
도 8c는 산화물 제거 처리 후의 XPS에 의한 표면의 원소 분석의 결과를 도시하는 도면이다.
도 9a는 플라스마 에칭 처리 후에 XPS에 의해 C1s 피크 부근을 스캔한 결과를 도시하는 도면이다.
도 9b는 산화 처리 후에 XPS에 의해 C1s 피크 부근을 스캔한 결과를 도시하는 도면이다.
도 9c는 산화물 제거 처리 후에 XPS에 의해 C1s 피크 부근을 스캔한 결과를 도시하는 도면이다.
이하, 첨부 도면을 참조하면서, 실시 형태에 대해서 설명한다.
<경위 및 개요>
최초로, 본 개시의 실시 형태에 따른 에칭 방법의 경위 및 개요에 대해서 설명한다.
반도체 디바이스의 제조 과정에서, 산화막 등의 비에칭막을, CF계 가스를 포함하는 가스에 의해 플라스마 에칭하면, 에칭 후의 패턴에 에칭 잔사로서 CF계 퇴적물(폴리머층)이 잔존한다.
이에 반해, 특허문헌 1에서는, O2 가스를 포함하는 가스의 플라스마를 사용해서 CF계 퇴적물을 제거하지만, 피처리 기판을 수용하고 있는 챔버 내에서 플라스마를 생성하기 때문에, 패턴에 플라스마 중의 이온에 의한 대미지가 생기는 경우가 있다.
또한, 특허문헌 2에서는, 불산이나 황산 등의 약액을 사용한 웨트 처리에 의해 CF계 퇴적물을 제거하는데, 패턴의 미세화가 더 진행되면, 약액에 의한 패턴 도괴의 위험성이 높아질 것이 염려된다.
그래서, 일 양태에서는, 에칭 대상부를 갖는 기판을 준비하는 공정과, 기판의 에칭 대상부를, CF계 가스를 포함하는 처리 가스의 플라스마에 의해 소정 패턴으로 플라스마 에칭하는 공정과, 그 후, 에칭 잔사로서 잔존하는 CF계 퇴적물을 제거하는 공정을 행한다. 그리고, 이 CF계 퇴적물을 제거하는 처리로서, 산소를 포함하는 라디칼에 의해 CF계 퇴적물의 산화물을 포함하는 산화물을 형성하는 공정과, CF계 퇴적물의 산화물을 포함하는 산화물을, 가스에 의한 화학적 처리 또는 라디칼 처리에 의해 제거하는 공정을 행한다.
이와 같이, CF계 가스를 포함하는 처리 가스에 의한 플라스마에 의해 플라스마 에칭하는 공정 후의 CF계 퇴적물을 제거하는 후처리 공정이 드라이 처리이기 때문에, 패턴 도괴가 억제된다. 또한, 이 후처리 공정이, 라디칼 처리 및 가스를 사용한 화학적 처리, 또는 라디칼 처리만으로 행해지기 때문에, 패턴에 대한 이온 대미지가 억제된다.
<제1 실시 형태>
이어서, 구체적인 제1 실시 형태에 대해서 설명한다. 도 1은, 제1 실시 형태에 따른 에칭 방법을 나타내는 흐름도이다.
최초로, 에칭 대상부를 갖는 기판을 준비한다(스텝 1). 기판은, 특별히 한정되는 것은 아니지만, 실리콘 웨이퍼로 대표되는 반도체 웨이퍼(이하, 단순히 웨이퍼라고 기재함)가 예시된다. 또한, 에칭 대상부로서는, 실리콘 산화막(SiO2막)이 예시된다. SiO2막으로서는, 열산화막이나, TEOS막과 같은 CVD막을 들 수 있다. 또한, TEOS막이란, Si 전구체로서, 테트라에톡시실란(TEOS)을 사용한 CVD막이다.
이어서, 기판의 에칭 대상부를, CF계 가스를 포함하는 처리 가스의 플라스마에 의해 소정 패턴으로 플라스마 에칭한다(스텝 2). 이 에칭에 의해 미세 패턴이 형성된다. CF계 가스란, 탄소(C)와 불소(F)를 포함하는 가스이며, CF4, C4F6, C4F8 등의 C 및 F만으로 이루어지는 가스이어도, CH2F2, CHF3 등의 C, F 및 H로 이루어지는 가스이어도 된다. 처리 가스에는, CF계 가스 이외에, Ar 가스 등의 다른 가스를 포함하고 있어도 된다. 스텝 2의 에칭 공정은, 일반적인 플라스마 에칭으로 행할 수 있고, 평행 평판형의 용량 결합형 플라스마 에칭 장치나, 마이크로파 플라스마 에칭 장치를 사용할 수 있다.
이 플라스마 에칭에 의해 에칭 대상부가 에칭되어 얻어진 미세 패턴은, 예를 들어 트렌치나 홀 등의 오목부를 갖고 있으며, 에칭 후, 이 오목부의 측면 및 저면에 에칭 잔사로서 CF계 퇴적물(CF 폴리머)이 잔존한다.
그 때문에, 에칭 후, 에칭 잔사로서 잔존하는 CF계 퇴적물을 제거하는 후처리를 행한다(스텝 3).
이 스텝 3의 후처리 공정은, 최초로 산소를 포함하는 라디칼에 의한 산화 처리를 행해서 CF계 퇴적물의 산화물을 포함하는 산화물을 형성하고(스텝 3-1), 이어서 CF계 퇴적물의 산화물을 포함하는 산화물을 가스에 의한 화학적 처리 또는 라디칼 처리에 의해 제거한다(스텝 3-2).
피처리 기판인 웨이퍼(W)에 있어서, CF계 가스를 포함하는 처리 가스에 의해 예를 들어 산화막을 플라스마 에칭했을 때는, 도 2a에 도시하는 바와 같이, 에칭 후의 패턴(1)의 오목부(2)의 내벽(측면, 저면)에 CF계 퇴적물(3)이 형성되어 있다. 본 실시 형태에서는, 스텝 3-1의 산화 처리에 의해, 도 2b에 도시하는 바와 같이, CF계 퇴적물의 산화물을 포함하는 산화물(4)을 형성하여, 스텝 3-2의 산화물 제거 처리에 의해, 도 2c에 도시하는 바와 같이, 산화물(4)을 제거한다.
스텝 3-1의 CF계 퇴적물의 산화 처리는, 산소 함유 플라스마를 생성하여, 플라스마 중의 주로 산소 함유 라디칼, 전형적으로는 O2 라디칼 또는 O 라디칼을 작용시킴으로써 행할 수 있다. 이러한 처리는, 리모트 플라스마를 사용해서 행하는 것이 바람직하다. 리모트 플라스마는, 기판이 배치되는 처리 공간과는 별개의 플라스마 생성 공간에서 산소 함유 플라스마를 생성시켜, 플라스마를 처리 공간에 반송함으로써 행하여진다. 이때, 산소 함유 플라스마 중의 산소 이온(O2 이온) 등의 이온은 반송 중에 실활하기 쉬워, 주로 산소 함유 라디칼이 처리 공간에 공급된다. 라디칼을 주체로 하는 처리를 행함으로써, 패턴에 대한 대미지를 저감할 수 있다. 이때의 플라스마원은 특별히 한정되지 않고, 유도 결합 플라스마나 마이크로파 플라스마 등을 사용할 수 있다.
산소 함유 플라스마의 생성에 사용하는 가스로서는, O2 가스가 적합하다. O2 가스 단독이어도 되지만, O2 가스에, H2 가스 및 희가스의 적어도 한쪽을 첨가해도 된다. H2 가스를 첨가함으로써, 산화 능력을 높일 수 있다. 또한, 희가스를 첨가함으로써, 플라스마를 안정시킬 수 있다. 희가스는, 특별히 한정되지 않지만, Ar 가스가 바람직하다. 또한, 이때의 압력으로서는, 13.3 내지 266.6Pa(100 내지 2000mTorr)의 범위가 바람직하고, 26.6 내지 133.3Pa(200 내지 1000mTorr)의 범위가 보다 바람직하다. 또한, 이때의 기판 온도로서는, 0.1 내지 120℃의 범위가 바람직하고, 15 내지 100℃의 범위가 보다 바람직하다.
스텝 3-2의 CF계 퇴적물의 산화물을 포함하는 산화물의 제거 처리에 사용되는, 가스를 사용한 화학적 처리로서는, 불소 함유 가스를 포함하는 처리 가스를 사용한 화학적 처리를 들 수 있다. 이 처리에 의해, CF계 퇴적물을 포함하는 산화물과 처리 가스를 반응시켜서, 가열 등에 의해 제거 가능한 화합물을 생성시킨다.
처리 가스에 포함되는 불소 함유 가스로서는, 불화수소(HF) 가스 등을 들 수 있고, 불소 함유 가스 이외의 가스로서는, H2O 가스 및 환원성 가스를 들 수 있다. 환원성 가스로서는, 암모니아(NH3) 가스, 아민계 가스를 들 수 있다. 불소 함유 가스와, H2O 가스 또는 환원성 가스를 CF계 퇴적물의 산화물을 포함하는 산화물과 반응시킴으로써, 비교적 용이하게 제거 가능한 화합물을 생성할 수 있다.
이들 중에서는, 불소 함유 가스로서 HF 가스를 사용하고, 환원성 가스로서 NH3 가스를 사용하는 것이 바람직하다. HF 가스와 NH3 가스에 의해, 종래부터 산화물 제거 처리로서 알려져 있는 화학적 산화물 제거 처리(Chemical Oxide Removal: COR)를 행할 수 있다. COR 처리에서는, 산화물의 표면에 HF 가스와 NH3 가스를 흡착시켜, 이들을 산화물과 반응시켜서 불화암모늄계 화합물을 생성하는 반응 처리를 행한 후, 가열에 의해 불화암모늄계 화합물을 승화시키는 가열 처리를 행한다. 실리콘 웨이퍼의 경우에는, 형성되는 산화물은, 실리콘 산화물(SiO2)을 주체로 하고, 그 중에 CF계 퇴적물의 산화물이 존재한 것이다. 이 경우에는, HF 및 NH3과 SiO2에 의해 규불화암모늄을 주체로 하는 화합물이 형성된다.
가열 처리는, 반응 처리와 별개의 장치에서 행해도 되고, 반응 처리를 행하는 챔버 내에서 반응 처리와 가열 처리를 반복해서 행하여, 불화암모늄계 화합물을 승화시켜도 된다.
이러한 COR 처리에서는, 압력이 6.66 내지 400Pa(50 내지 3000mTorr)의 범위가 바람직하고, 13.3 내지 266.6Pa(100 내지 2000mTorr)의 범위가 보다 바람직하다. 또한, 이 때의 기판 온도는, 0.1 내지 120℃의 범위가 바람직하고, 20 내지 100℃의 범위가 보다 바람직하다.
스텝 3-2의 CF계 퇴적물의 산화물을 포함하는 산화물의 제거 처리에 사용되는 라디칼 처리로서는, NF3 가스와 NH3 가스를 포함하는 처리 가스를 활성화시켜서 형성된 F 라디칼, N 라디칼을 사용해서 행할 수 있다. 이러한 처리는, 기판이 배치되는 처리 공간과는 별개의 플라스마 생성 공간에서 NF3 가스와 NH3 가스를 포함하는 가스의 플라스마를 생성시켜, 플라스마를 처리 공간에 반송하는 리모트 플라스마를 사용해서 행하는 것이 바람직하다. NF3 가스, NH3 가스 이외에, H2 가스를 첨가해도 된다.
이때의 압력으로서는, 13.3 내지 200Pa(100 내지 1500mTorr)의 범위가 바람직하고, 66.7 내지 160Pa(500 내지 1200mTorr)의 범위가 보다 바람직하다. 또한, 기판 온도는, 0.1 내지 120℃의 범위가 바람직하고, 15 내지 100℃의 범위가 보다 바람직하다. 스텝 3-2를 이러한 라디칼 처리로 행하는 경우에는, 스텝 3-1의 산화 처리와 동일 챔버에서 행할 수도 있다.
스텝 3-1 및 스텝 3-2에 의해 CF계 퇴적물을 제거할 수 있지만, CF계 퇴적물을 보다 완전하게 제거하기 위해서, 스텝 3-1과 스텝 3-2를 반복해서 행해도 된다.
본 실시 형태에 따르면, CF계 가스를 포함하는 처리 가스에 의해 플라스마 에칭하는 공정 후의 CF계 퇴적물을 제거하는 후처리 공정이 드라이 처리이기 때문에, 웨트 처리의 경우에 생기는 패턴 도괴를 억제할 수 있다. 또한, 이 후처리 공정이, 라디칼 처리+가스를 사용한 화학적 처리, 또는 라디칼 처리만으로 행해지기 때문에, 플라스마 중의 이온에 의한 패턴의 대미지를 억제할 수 있다.
<제2 실시 형태>
이어서, 구체적인 제2 실시 형태에 대해서 설명한다. 도 3은, 제2 실시 형태에 따른 에칭 방법을 나타내는 흐름도이다.
최초로 제1 실시 형태의 스텝 1과 마찬가지로, 에칭 대상부를 갖는 기판을 준비한다(스텝 11). 기판으로서는 제1 실시 형태와 마찬가지로, 특별히 한정되는 것은 아니지만, 실리콘 웨이퍼로 대표되는 반도체 웨이퍼(이하, 단순히 웨이퍼라고 기재함)가 예시되고, 표면이 Si 함유 부분, 예를 들어 Si 또는 SiGe로 되어 있다. 또한, 에칭 대상부로서는, 실리콘 산화막(SiO2막)이 예시된다.
이어서, 제1 실시 형태의 스텝 2와 마찬가지로, 기판의 에칭 대상부를, CF계 가스를 포함하는 처리 가스의 플라스마에 의해 소정 패턴으로 플라스마 에칭한다(스텝 12). 이 에칭에 의해 미세 패턴이 형성된다.
이 플라스마 에칭에 의해 에칭 대상부가 에칭되어 얻어진 미세 패턴은, 예를 들어 트렌치나 홀 등의 오목부를 갖고 있으며, 에칭 후, 이 오목부의 측면 및 저면에 에칭 잔사로서 CF계 퇴적물(CF 폴리머)이 잔존한다. 또한, 플라스마 에칭 시에는, 형성된 오목부의 저부에 노출되는 Si 함유 부분, 예를 들어 Si 또는 SiGe 중에 C 및 F가 타입된 대미지층이 형성되는 경우가 있다.
본 실시 형태에서는, 플라스마 에칭 후, 에칭 잔사로서 잔존하는 CF계 퇴적물 및 대미지층을 제거하는 후처리를 행한다(스텝 13).
대미지층은, 조건에 따라 그 두께가 다르다. 대미지층이 존재해도 두께가 얇은 경우에는, 대미지층을 고려하지 않은 제1 실시 형태에서도 스텝 3에 의해, 대미지층을 CF계 퇴적물과 함께 제거할 수 있다. 그러나, 대미지층이 어느 정도 두꺼워지면, 제1 실시 형태의 스텝 3에서는 대미지층을 제거할 수 없게 된다. 보다 상세하게는, 대미지층이 두꺼워지면, 최초의 단계인 스텝 3-1의 산소를 포함하는 라디칼에 의한 산화 처리를 행해도, 대미지층 내에 충분히 산소를 포함하는 라디칼이 도달할 수 없어, 대미지층 내의 C나 F의 산화가 불충분해진다. 그 결과, 스텝 3-2의 산화물을 제거하는 공정을 행해도, 대미지층을 충분히 제거하는 것이 곤란해진다.
그래서, 본 실시 형태의 스텝 13에서는, 최초로, 산소를 포함하는 라디칼 이외에 불소를 포함하는 라디칼을 첨가해서 산화 처리를 행한다(스텝 13-1). 이에 의해, 불소를 포함하는 라디칼에 의해 대미지층의 표면이 에칭되므로, 산소를 포함하는 라디칼이 대미지층에 침입하여, 대미지층을 산화 개질할 수 있다. 그리고, 그 후, CF계 퇴적물의 산화물을 포함하는 산화물 및 대미지층의 산화물을 가스에 의한 화학적 처리 또는 라디칼 처리에 의해 제거한다(스텝 13-2).
구체적으로 설명하면, 본 실시 형태에서는, 피처리 기판인 웨이퍼(W)는, 도 4a에 도시하는 바와 같이, 기체(11) 상에 예를 들어 산화막(12)이 형성된 구조를 갖는다. 그리고, CF계 가스를 포함하는 처리 가스에 의해 산화막(12)을 플라스마 에칭해서 오목부(2)를 형성한 경우에는, 오목부(2)의 내벽(측면, 저면)에 CF계 퇴적물(3)이 형성됨과 함께, 오목부(2)의 저부에 노출되는 기체(11) 표면의 Si 함유 부분, 예를 들어 Si 또는 SiGe 중에 C 및 F가 타입된 대미지층(5)이 형성된다.
이어서, 스텝 13-1의 산소를 포함하는 라디칼 및 불소를 포함하는 라디칼에 의한 처리에 의해, 도 4b에 도시하는 바와 같이, CF계 퇴적물의 산화물을 포함하는 산화물(4)이 형성됨과 함께, 대미지층의 산화물(6)이 형성된다. 즉, 대미지층(5)은 에칭되기 쉬워, 불소를 포함하는 라디칼에 의해 그 표면이 얇게 에칭되어, 산소를 포함하는 라디칼이 대미지층(5)의 내부에 충분히 도달하여, 대미지층(5)이 산화물(6)로 개질된다.
이어서, 스텝 13-2의 산화물 제거 처리에 의해, 도 4c에 도시하는 바와 같이, 산화물(4) 및 산화물(6)을 제거한다.
스텝 13-1의 처리는, 산소 및 불소를 함유하는 플라스마를 생성하여, 플라스마 중의 산소 함유 라디칼 및 불소 함유 라디칼을 작용시킴으로써 행할 수 있다. 플라스마 중의 산소 함유 라디칼은, 전형적으로는 O2 라디칼 또는 O 라디칼이며, 불소 함유 라디칼은, 전형적으로는 F 라디칼이다. 이러한 처리는, 제1 실시 형태의 스텝 3-1과 마찬가지로, 리모트 플라스마를 사용해서 행하는 것이 바람직하다. 리모트 플라스마를 사용함으로써, 라디칼을 주체로 하는 처리를 행할 수 있어, 패턴에 대한 대미지를 저감할 수 있다. 플라스마원은 특별히 한정되지 않고 스텝 3-1과 마찬가지로, 유도 결합 플라스마나 마이크로파 플라스마 등을 사용할 수 있다.
플라스마의 생성에 사용하는 가스로서는, 산소 함유 가스 및 불소 함유 가스를 사용할 수 있다. 산소 함유 가스로서는 O2 가스가 적합하다. 제1 실시 형태와 마찬가지로, 산화 능력을 높이기 위해서, H2 가스를 첨가해도 된다. 불소 함유 가스로서는, NF3 가스, SF6 가스, F2 가스 등을 사용할 수 있다. 또한, 제1 실시 형태와 마찬가지로, 플라스마를 안정시키기 위해서, 희가스(예를 들어 Ar 가스)를 첨가해도 된다. 이 처리 시의 산소 함유 가스에 대한 불소 함유 가스의 체적 비율(불소 함유 가스/산소 함유 가스)은 1%(1체적%) 이하인 것이 바람직하다. 이러한 범위에서 대미지층을 얇게 에칭하면서, 대미지층 등의 산화를 진행시킬 수 있다.
또한, 스텝 13-1의 압력으로서는, 13.3 내지 266.6Pa(100 내지 2000mTorr)의 범위가 바람직하고, 26.6 내지 133.3Pa(200 내지 1000mTorr)의 범위가 보다 바람직하다. 또한, 이때의 기판 온도로서는, 0.1 내지 120℃의 범위가 바람직하고, 15 내지 100℃의 범위가 보다 바람직하다.
스텝 13-2의 CF계 퇴적물의 산화물을 포함하는 산화물과 대미지층의 산화물을 제거하는 처리는, 가스를 사용한 화학적 처리 또는 라디칼 처리에 의해 행할 수 있으며, 제1 실시 형태의 스텝 3-2와 마찬가지로 행할 수 있다.
스텝 13-1 및 스텝 13-2에 의해 CF계 퇴적물 및 대미지층을 제거할 수 있지만, 이들을 보다 완전하게 제거하기 위해서, 스텝 13-1과 스텝 13-2를 반복해서 행해도 된다.
본 실시 형태에 따르면, 제1 실시 형태와 마찬가지로, CF계 퇴적물을, 패턴 도괴, 및 플라스마 중의 이온에 의한 패턴의 대미지를 억제하면서 제거할 수 있는 효과를 발휘하는 것 외에, CF계 퇴적물과 함께 대미지층도 제거할 수 있다.
<처리 시스템의 일례>
이어서, 상기 제1 및 제2 실시 형태의 에칭 방법에 사용하는 처리 시스템의 일례에 대해서 설명한다. 도 5는 처리 시스템의 일례를 개략적으로 도시하는 수평 단면도이다.
도 5에 도시한 바와 같이, 본 예의 처리 시스템(100)은, 평면 형상이 칠각형을 이루는 진공 반송실(101)을 갖고 있다. 진공 반송실(101)의 4개의 벽부에는, 각각 게이트 밸브(G)를 통해서, 처리 장치로서, 플라스마 에칭 장치(102), 산화 처리 장치(103), 산화물 제거 장치(104) 및 가열 장치(105)가 접속되어 있다. 진공 반송실(101) 내는, 진공 펌프에 의해 배기되어 소정의 진공도로 유지된다.
또한, 진공 반송실(101)의 다른 3개의 벽부에는 3개의 로드 로크실(106)이 게이트 밸브(G1)를 통해서 접속되어 있다. 로드 로크실(106)은, 대기 반송실(107)과 진공 반송실(101)의 사이에서 피처리 기판인 웨이퍼(W)를 반송할 때, 대기압과 진공의 사이에서 압력 제어하는 것이다. 로드 로크실(106)을 사이에 두고 진공 반송실(101)의 반대측에는 대기 반송실(107)이 마련되어 있다. 3개의 로드 로크실(106)은, 게이트 밸브(G2)를 통해서 대기 반송실(107)에 접속되어 있다.
대기 반송실(107)의 로드 로크실(106) 설치 벽부와는 반대측의 벽부에는 에칭 대상부를 갖는 웨이퍼(W)를 수용하는 캐리어(FOUP 등)(C)를 설치하는 3개의 캐리어 설치 포트(108)를 갖고 있다. 또한, 대기 반송실(107)의 측벽에는, 실리콘 웨이퍼(W)의 얼라인먼트를 행하는 얼라인먼트 챔버(109)가 마련되어 있다. 대기 반송실(107) 내에는 청정 공기의 다운 플로우가 형성되도록 되어 있다.
진공 반송실(101) 내에는, 웨이퍼 반송 기구(110)가 마련되어 있다. 웨이퍼 반송 기구(110)는, 플라스마 에칭 장치(102), 산화 처리 장치(103), 산화물 제거 장치(104), 가열 장치(105) 및 로드 로크실(106)에 대하여 웨이퍼(W)를 반송한다. 웨이퍼 반송 기구(110)는, 독립적으로 이동 가능한 2개의 반송 암(110a, 110b)을 갖고 있다.
대기 반송실(107) 내에는, 웨이퍼 반송 기구(111)가 마련되어 있다. 웨이퍼 반송 기구(111)는, 캐리어(C), 로드 로크실(106), 얼라인먼트 챔버(109)에 대하여 실리콘 웨이퍼(W)를 반송하도록 되어 있다.
처리 시스템(100)은, 전체 제어부(112)를 갖고 있다. 전체 제어부(112)는, 처리 시스템(100)의 각 처리 장치, 진공 반송실, 로드 로크실 등의 각 구성부의 동작을 제어하는 CPU를 갖는 주제어부와, 입력 장치, 출력 장치, 표시 장치, 기억 장치(기억 매체)를 갖고 있다. 주제어부는, 예를 들어 기억 장치에 내장된 기억 매체, 또는 기억 장치에 세트된 기억 매체에 기억된 처리 레시피에 기초하여, 처리 시스템(100)의 각 구성부가 상술한 에칭 방법이 행하여지도록 소정의 동작을 실행시킨다.
이렇게 구성되는 처리 시스템(100)에서는, 먼저, 반송 기구(111)에 의해 대기 반송실(107)에 접속된 캐리어(C)로부터 웨이퍼(W)를 취출하여, 얼라인먼트 챔버(109)를 경유한 후에, 어느 로드 로크실(106)의 게이트 밸브(G2)를 열어 그 웨이퍼(W)를 그 로드 로크실(106) 내에 반입한다. 게이트 밸브(G2)를 닫은 후, 로드 로크실(106) 내를 진공 배기하여, 그 로드 로크실(106)이 소정의 진공도로 된 시점에서 게이트 밸브(G1)를 열고, 반송 기구(110)의 반송 암(110a, 110b)의 어느 것에 의해 로드 로크실(106)로부터 웨이퍼(W)를 취출한다.
그리고, 반송 암이 보유 지지하는 웨이퍼(W)를 플라스마 에칭 장치(102)에 반입하여, 플라스마 에칭 장치(102)에 의해, 웨이퍼(W)의 에칭 대상부를 CF계 가스를 포함하는 처리 가스로 플라스마 에칭한다.
이어서, 플라스마 에칭 종료 후의 웨이퍼(W)를 반송 암에 의해 플라스마 에칭 장치(102)로부터 반출하여, 산화 처리 장치(103)에 반입한다. 그리고 산화 처리 장치(103)에 의해 산화 처리를 행하여, CF계 퇴적물의 산화물을 포함하는 산화물을 형성한다.
이어서, 산화 처리 후의 웨이퍼(W)를 반송 암에 의해 산화 처리 장치(103)로부터 반출하여, 산화물 제거 장치(104)에 반입한다. 그리고 산화물 제거 장치(104)에 의해 CF계 퇴적물의 산화물을 포함하는 산화물을 제거한다.
산화물 제거 처리가 HF 가스와 NH3 가스를 사용한 COR 처리의 경우에는, 처리 후에 불화암모늄계 화합물이 생성되므로, 산화물 제거 장치(104)에서 처리 후의 웨이퍼(W)를 가열 장치(105)에 반입하여, 가열에 의해 반응 생성물을 제거한다.
이렇게 플라스마 에칭 처리, 및 산화 처리 및 산화물 제거 처리가 이루어진 후, 반송 암에 의해 처리 후의 웨이퍼(W)를 어느 로드 로크실(106)에 반송한다. 그리고, 그 로드 로크실(106) 내를 대기로 되돌리고, 반송 기구(111)에 의해 로드 로크실(106) 내의 웨이퍼(W)를 캐리어(C)로 되돌린다.
이상과 같은 처리를, 복수의 웨이퍼(W)에 대해서 동시 병행적으로 행하여, 소정 매수의 웨이퍼(W)의 에칭 처리가 완료된다.
<플라스마 에칭 장치>
상기 처리 시스템(100)에 탑재된 플라스마 에칭 장치(102)는, 일반적인 플라스마 에칭을 행할 수 있는 것이면 되며, 평행 평판형의 용량 결합형 플라스마 에칭 장치나, 마이크로파 플라스마 에칭 장치가 예시된다. 플라스마 에칭 시에는, CF계 가스를 포함하는 처리 가스를 이온화하여, 그 이온에 의해 산화막 등의 에칭 대상부를 이방성 에칭한다.
<산화 처리 장치>
이어서, 상기 처리 시스템(100)에 탑재된 산화 처리 장치(103)의 일례에 대해서 설명한다.
도 6은, 산화 처리 장치의 일례를 도시하는 단면도이다. 산화 처리 장치(103)는, 제1 실시 형태의 스텝 3-1, 제2 실시 형태의 스텝 13-1을 행한다. 도 6에 도시하는 바와 같이, 산화 처리 장치(103)는, 웨이퍼(W)를 수용하는 밀폐 구조의 처리 용기(128)를 구비한다. 처리 용기(128)는, 예를 들어 알루미늄 또는 알루미늄 합금으로 이루어지고, 상단이 개방되고, 처리 용기(128)의 상단은 천장부가 되는 덮개(129)로 폐색되어 있다. 처리 용기(128)의 측벽부(128a)에는, 진공 반송실(101)과의 사이에서 웨이퍼(W)를 반출입하는 반출입구(130)가 마련되고, 당해 반출입구(130)는, 상술한 게이트 밸브(G)에 의해 개폐 가능하게 되어 있다.
또한, 처리 용기(128)의 내부의 저부에는, 웨이퍼(W)를 수평 상태에서 적재하는 스테이지(120)가 배치되어 있다. 스테이지(120)는, 대략 원주상을 나타내고, 웨이퍼(W)를 직접 적재하는 적재 플레이트(134)와, 적재 플레이트(134)를 지지하는 베이스 블록(135)을 갖는다. 적재 플레이트(134)의 내부에는 웨이퍼(W)를 온도 조절하는 온도 조절 기구(136)가 마련되어 있다. 온도 조절 기구(136)는, 예를 들어 온도 조절용 매체(예를 들어, 물 또는 가르덴)가 순환하는 관로(도시하지 않음)를 가지며, 당해 관로 내를 흐르는 온도 조절용 매체와 웨이퍼(W)의 열교환을 행함으로써 웨이퍼(W)의 온도 조정을 행한다. 또한, 스테이지(120)에는 웨이퍼(W)를 처리 용기(128)의 내부에 반출입할 때 사용하는 복수의 승강 핀(도시하지 않음)이 적재 플레이트(134)의 상면에 대하여 돌출 함몰 가능하게 마련되어 있다.
처리 용기(128)의 내부는 칸막이 판(137)에 의해 상방의 플라스마 생성 공간(P)과, 하방의 처리 공간(S)으로 칸막이된다. 칸막이 판(137)은, 처리 공간(S)에서 칸막이 판(37)을 보았을 때 서로 겹치지 않는 슬릿이 마련된 판형 부재(43) 및 판형 부재(44)를 갖는다. 따라서, 칸막이 판(137)은, 플라스마 생성 공간(P)에 있어서 유도 결합 플라스마가 생성될 때 플라스마 중의 이온의 플라스마 생성 공간(P)으로부터 처리 공간(S)에의 투과를 억제하는, 소위 이온 트랩으로서 기능한다. 플라스마 생성 공간(P)은 플라스마가 생성되는 공간이며, 처리 공간(S)은 웨이퍼(W)에 라디칼 처리에 의한 에칭이 실시되는 공간이다. 처리 용기(128)의 외부에는, 산화 처리에 사용할 처리 가스를 플라스마 생성 공간(P)에 공급하는 제1 가스 공급부(161)와, 압력 조절 가스, 퍼지 가스 또는 희석 가스 등의 플라스마화하지 않는 가스, 예를 들어 N2 가스 또는 Ar 가스 등의 불활성 가스를 처리 공간(S)에 공급하는 제2 가스 공급부(162)가 마련되어 있다. 또한, 처리 용기(128)의 저부에는 배기 기구(139)가 접속되어 있다. 배기 기구(139)는 진공 펌프를 갖고, 처리 공간(S)의 내부의 배기를 행한다.
칸막이 판(137)의 아래에는, 웨이퍼(W)에 대향하도록 차열판(148)이 마련되어 있다. 차열판(148)은, 플라스마 생성 공간(P)에서의 플라스마 생성을 반복함으로써 칸막이 판(137)에 축적된 열이 처리 공간(S)에서의 라디칼 분포에 영향을 주는 것을 억제하기 위한 것이다. 차열판(148)은, 칸막이 판(137)의 판형 부재(144)보다도 크게 형성되고, 주연부를 구성하는 플랜지부(148a)는 처리 용기(128)의 측벽부(128a)에 매설되어 있다. 또한, 플랜지부(148a)에는 냉각 기구(150), 예를 들어 냉매 유로, 칠러나 펠티에 소자가 매설되어 있다.
제1 가스 공급부(161)는, 제1 실시 형태의 스텝 3-1을 행하는 경우에는, 산소 함유 가스로서의 O2 가스, 또는 그에 더하여 H2 가스, 희가스, 예를 들어 Ar 가스를 플라스마 생성 공간(P)에 공급한다. 이들 가스는, 플라스마 생성 공간(P)에서 플라스마화되어, 산소를 함유하는 플라스마가 생성된다. 또한, 희가스는 플라스마 생성 가스로서 기능하는데, 압력 조정 가스나 퍼지 가스 등으로서도 기능한다.
또한, 제1 가스 공급부(161)는, 제2 실시 형태의 스텝 13-1을 행하는 경우에는, O2 가스 등에 더하여 또한 불소 함유 가스로서, NF3 가스, SF6 가스 또는 F2 가스를 플라스마 생성 공간(P)에 공급한다. 이에 의해, 산소와 불소를 함유하는 플라스마가 생성된다.
또한, 산화 처리 장치(103)는, RF 안테나를 사용하는 유도 결합형 플라스마 에칭 장치로서 구성되어 있다. 처리 용기(128)의 천장부가 되는 덮개(129)는, 예를 들어 원형의 석영판으로 형성되고, 유전체 창으로서 구성된다. 덮개(129) 상에는, 처리 용기(128)의 플라스마 생성 공간(P)에 유도 결합 플라스마를 생성하기 위한 환형 RF 안테나(140)가 형성되고, RF 안테나(140)는, 정합기(141)를 통해서 고주파 전원(142)에 접속되어 있다. 고주파 전원(142)은, 유도 결합의 고주파 방전에 의한 플라스마의 생성에 적합한 소정의 주파수(예를 들어 13.56MHz 이상)의 고주파 전력을 소정의 출력값으로 출력한다. 정합기(141)는, 고주파 전원(142)측의 임피던스와 부하(RF 안테나(140)나 플라스마)측의 임피던스의 정합을 취하기 위한 리액턴스 가변의 정합 회로(도시하지 않음)를 갖는다.
이렇게 구성된 산화 처리 장치(103)에서는, 웨이퍼(W)를 처리 용기(128) 내에 반입하여, 스테이지(120)에 적재한다.
이어서, 제2 가스 공급부(162)로부터, 압력 조절 가스로서 예를 들어 N2 가스를 처리 용기(128) 내에 도입하여, 압력을 조정하면서, 온도 조절 기구(136)에 의해 0.1 내지 120℃로 온도 조절된 스테이지(120) 상에서, 웨이퍼(W)를 소정 시간 보유 지지하여, 웨이퍼 온도를 소정 온도로 안정화시킨다.
이어서, 처리 용기(128) 내를 퍼지한 후, 처리 용기(128) 내의 압력을, 바람직하게는 13.3 내지 266.6Pa(100 내지 2000mTorr), 보다 바람직하게는 26.6 내지 133.3Pa(200 내지 1000mTorr)로 한다. 또한, 스테이지(120)의 온도를, 바람직하게는 15 내지 100℃로 한다.
제1 실시 형태의 스텝 3-1의 산화 처리를 행하는 경우에는, 제1 가스 공급부(161)로부터 플라스마 생성 공간(P)에 O2 가스를 공급함과 함께, RF 안테나(140)에 고주파 전력을 공급해서 유도 결합 플라스마인 산소 함유 플라스마(O2 플라스마)를 생성한다. 이때, O2 가스에 더하여, H2 가스 및 Ar 가스 등의 희가스 중 적어도 1종을 공급해도 된다. 이때의 가스 유량은, O2 가스 유량: 50 내지 500sccm, H2 가스 유량: 50 내지 500sccm, 희가스(Ar 가스) 유량: 50 내지 500sccm이 바람직하고, 플라스마 생성 파워는, 100 내지 1000W가 바람직하다. 또한, 처리 시간은, 예를 들어 30 내지 180sec이다.
플라스마 생성 공간(P)에서 생성된 산소 함유 플라스마(O2 플라스마) 처리 공간(S)에 반송된다. 이때, 칸막이 판(137)에서 O2 이온 등의 이온이 실활하여, 플라스마 중 주로 O 라디칼, O2 라디칼이 선택적으로 처리 공간(S)에 도입된다. 이 라디칼에 의해, 웨이퍼(W)에 산화 처리가 실시되어, 패턴 오목부의 내벽에, CF계 퇴적물의 산화물을 포함하는 산화물이 형성된다.
제2 실시 형태의 스텝 13-1의 산화 처리를 행하는 경우에는, 제1 가스 공급부(161)로부터 플라스마 생성 공간(P)에 산소 함유 가스로서의 O2 가스, 및 불소 함유 가스로서의 NF3 가스를 공급함과 함께, RF 안테나(140)에 고주파 전력을 공급해서 유도 결합 플라스마인 산소와 불소를 함유하는 플라스마를 생성한다. 이때, 불소 함유 가스로서는, SF6 가스나 F2 가스 등이어도 된다. 또한, O2 가스나 NF3 가스에 더하여, H2 가스 및 Ar 가스 등의 희가스 중 적어도 1종을 공급해도 된다. 이때의 가스 유량은, 예를 들어 O2 가스 유량: 100 내지 2500sccm, NF3 가스 유량: 1 내지 20sccm이 바람직하고, O2 가스에 대한 NF3 가스의 체적 비율(유량비)(NF3/O2)은 1%(1체적%) 이하인 것이 바람직하다. 또한, 플라스마 생성 파워는, 100 내지 1000W가 바람직하다. 처리 시간은, 예를 들어 30 내지 180sec이다.
플라스마 생성 공간(P)에서 생성된 산소와 불소를 함유하는 플라스마는, 처리 공간(S)에 반송된다. 이때, 칸막이 판(137)에서 이온이 실활하여, 플라스마 중 주로 O 라디칼, O2 라디칼, F 라디칼이 선택적으로 처리 공간(S)에 도입된다. 이때, F 라디칼에 의해 오목부의 저부에 존재하는 대미지층의 표면이 에칭됨과 함께, O 라디칼, O2 라디칼에 의해, 패턴 오목부의 내벽의 CF계 퇴적물 및 오목부 저부의 대미지층이 산화되어, 이들의 산화물이 형성된다.
<산화물 제거 장치>
이어서, 상기 처리 시스템(100)에 탑재된 산화물 제거 장치(104)의 일례에 대해서 설명한다. 본 예에서는, 산화물 제거 처리로서 COR 처리를 행하는 장치에 대해서 설명한다.
도 7은, 산화물 제거 장치의 일례로서의 COR 장치를 도시하는 단면도이다. 도 7에 도시하는 바와 같이, 산화물 제거 장치(104)는, 처리 공간을 규정하는 처리 용기로서의 밀폐 구조의 챔버(170)를 구비하고 있고, 챔버(170)의 내부에는, 웨이퍼(W)를 대략 수평으로 한 상태에서 적재시키는 적재대(172)가 마련되어 있다. 또한, 산화물 제거 장치(104)는, 챔버(170) 내에 에칭 가스를 공급하는 가스 공급부(173), 챔버(170) 내를 배기하는 배기부(174)를 구비하고 있다.
챔버(170)는, 챔버 본체(181)와 덮개부(182)에 의해 구성되어 있다. 챔버 본체(181)는, 대략 원통 형상의 측벽부(181a)와 저부(181b)를 갖고, 상부는 개구로 되어 있고, 이 개구가 덮개부(182)로 닫힌다. 측벽부(181a)와 덮개부(182)는, 시일 부재(도시하지 않음)에 의해 밀폐되어, 챔버(170) 내의 기밀성이 확보된다. 덮개부(182)의 천장벽에는 상방으로부터 챔버(170) 내를 향해서 제1 가스 도입 노즐(191) 및 제2 가스 도입 노즐(192)이 삽입되어 있다.
측벽부(181a)에는, 진공 반송실(101)과의 사이에서 웨이퍼(W)를 반출입하는 반출입구(183)가 마련되어 있고, 이 반출입구(183)는 게이트 밸브(G)에 의해 개폐 가능하게 되어 있다.
적재대(172)는, 평면으로 보아 대략 원형을 이루고 있고, 챔버(170)의 저부(181b)에 고정되어 있다. 적재대(172)의 내부에는, 적재대(172)의 온도를 조절하는 온도 조절기(195)가 마련되어 있다. 온도 조절기(195)는, 예를 들어 온도 조절용 매체(예를 들어 물 등)가 순환하는 관로를 구비하고 있고, 이러한 관로 내를 흐르는 온도 조절용 매체와 열교환이 행하여짐으로써, 적재대(172)의 온도가 조절되어, 적재대(172) 상의 웨이퍼(W)의 온도 제어가 이루어진다.
가스 공급부(173)는, 상술한 제1 가스 도입 노즐(191) 및 제2 가스 도입 노즐(192)에 접속된, 제1 가스 공급 배관(201) 및 제2 가스 공급 배관(202)을 갖고 있으며, 또한 이들 제1 가스 공급 배관(201) 및 제2 가스 공급 배관(202)에 각각 접속된 HF 가스 공급원(203) 및 NH3 가스 공급원(204)을 갖고 있다. 또한, 제1 가스 공급 배관(201)에는 제3 가스 공급 배관(205)이 접속되고, 제2 가스 공급 배관(202)에는 제4 가스 공급 배관(206)이 접속되어 있다. 이들 제3 가스 공급 배관(205) 및 제4 가스 공급 배관(206)에는, 각각 Ar 가스 공급원(207) 및 N2 가스 공급원(208)이 접속되어 있다. 제1 내지 제4 가스 공급 배관(201, 202, 205, 206)에는 유로의 개폐 동작 및 유량 제어를 행하는 유량 제어기부(209)가 마련되어 있다. 유량 제어부(209)는, 예를 들어 개폐 밸브 및 매스 플로우 컨트롤러에 의해 구성되어 있다.
그리고, HF 가스 및 Ar 가스는, 제1 가스 공급 배관(201), 제1 가스 도입 노즐(191)을 거쳐서 챔버(170) 내에 공급되고, NH3 가스 및 N2 가스는, 제2 가스 공급 배관(202) 및 제2 가스 도입 노즐(192)을 거쳐서 챔버(170) 내에 토출된다.
상기 가스 중 HF 가스와 NH3 가스는 반응 가스이며, 이것들은 각각 제1 가스 도입 노즐(191) 및 제2 가스 도입 노즐(192)로부터 별개로 챔버(170) 내에 토출되어, 챔버(170) 내에서 처음으로 혼합되도록 되어 있다. Ar 가스 및 N2 가스는 희석 가스이다. 그리고, 챔버(170) 내에, 반응 가스인 HF 가스 및 NH3 가스와, 희석 가스인 Ar 가스 및 N2 가스를 도입하여, HF 가스 및 NH3 가스와 산화물을 반응시켜, 반응 생성물로서 불화암모늄계 화합물을 생성시킨다. 희석 가스로서는, Ar 가스만, 또는 N2 가스만이어도 되고, 또한 다른 불활성 가스를 사용해도, Ar 가스, N2 가스 및 다른 불활성 가스의 2종 이상을 사용해도 된다.
또한, 챔버(170)의 상부에 샤워 플레이트를 마련하여, 샤워 플레이트를 통해서 여기된 가스를 샤워 형상으로 공급해도 된다.
배기부(174)는, 챔버(170)의 저부(181b)에 형성된 배기구(211)에 연결되는 배기 배관(212)을 갖고 있다. 배기부(174)는, 또한 배기 배관(212)에 마련된, 챔버(170) 내의 압력을 제어하기 위한 자동 압력 제어 밸브(APC)(213) 및 챔버(170) 내를 배기하기 위한 진공 펌프(214)를 갖고 있다.
챔버(170)의 측벽에는, 챔버(170) 내의 압력을 계측하기 위한 압력계로서, 각각 고압용 및 저압용의 2개의 캐패시턴스 마노미터(216a, 216b)가 챔버(170) 내에 삽입되도록 마련되어 있다. 적재대(172)에 적재된 웨이퍼(W)의 근방에는, 웨이퍼(W)의 온도를 검출하는 온도 센서(도시하지 않음)가 마련되어 있다.
이렇게 구성된 산화물 제거 장치(104)에서는, 웨이퍼(W)를 챔버(170) 내에 반입하여, 적재대(172)에 적재한다. 그리고, 챔버(170) 내의 압력을, 바람직하게는 6.66 내지 400Pa(50 내지 3000mTorr)의 범위, 보다 바람직하게는 13.3 내지 266.6Pa(100 내지 2000mTorr)의 범위로 한다. 또한, 적재대(172)의 온도 조절기(195)에 의해 웨이퍼(W)를 바람직하게는 0.1 내지 120℃, 보다 바람직하게는 20 내지 100℃로 한다.
이어서, 가스 공급 기구(173)에 의해 HF 가스 및 NH3 가스를, 각각 Ar 가스 및 N2 가스로 희석된 상태에서 챔버(170) 내에 공급한다. 희석 가스인 Ar 가스, N2 가스는 어느 한쪽이어도 된다. 이때의 가스 유량은, HF 가스 유량: 50 내지 500sccm, NH3 가스 유량: 50 내지 500sccm, Ar 가스 유량: 100 내지 600sccm, N2 가스 유량: 100 내지 600sccm이 바람직하다.
이에 의해, HF 가스 및 NH3 가스가 웨이퍼(W)에 흡착되어, 이들이 패턴의 오목부에 존재하는 CF계 퇴적물의 산화물을 포함하는 산화물, 또는 CF계 퇴적물의 산화물을 포함하는 산화물 및 대미지층의 산화물과 반응하여, 불화암모늄계 화합물이 생성된다.
반응 생성물인 불화암모늄계 화합물은, 열에 의해 승화 제거할 수 있어, 가열 장치(105)에 의해 제거할 수 있다. 단, 본 예의 산화물 제거 장치(104)의 챔버(170) 내에서 반응 처리와 가열 처리를 반복해서 행하여, 불화암모늄계 화합물을 승화시켜도 된다.
산화물 제거 장치(104)의 다른 예로서는, NF3 가스와 NH3 가스를 포함하는 처리 가스를 활성화시켜서 형성된 F 라디칼, N 라디칼을 사용해서 산화물 제거 처리를 행하는 라디칼 처리 장치를 들 수 있다. 이러한 장치로서는, 도 6에 도시한 산화 처리 장치(103)와 마찬가지의 구성으로, 제1 가스 공급부(161)로부터 공급되는 가스를, NF3 가스와 NH3 가스를 포함하는 처리 가스를 대신하는 것을 사용할 수 있다. 또한, 산화 처리 장치(103)의 구성의 장치의 제1 가스 공급부(161)로부터 산화 처리를 위한 가스 및 산화물 제거 처리를 위한 가스를 공급할 수 있도록 하면, 하나의 처리 용기 내에서 산화 처리 및 산화물 제거 처리 양쪽을 행할 수 있는 장치를 실현할 수 있다.
<가열 장치>
상기 처리 시스템(100)에 탑재된 가열 장치(105)는, 일반적인 구성의 것을 사용할 수 있다. 예를 들어, 도 4에 도시하는 COR 장치로서 구성된 산화물 제거 장치(104)와 마찬가지로, 챔버와, 챔버 내에서 웨이퍼를 적재하는 적재대와, 적재대의 온도를 소정의 온도로 가열하는 온도 조절 기구와, 열처리를 위한 처리 가스를 공급하는 가스 공급 기구를 갖는 것을 사용할 수 있다. 처리 가스로서는, N2 가스 등의 불활성 가스를 사용할 수 있다.
또한, 상기 예에서는, 처리 시스템(100)에 의해, 플라스마 에칭 처리, 산화 처리, 산화물 제거 처리 등을 그 자리에서(in-situ) 행하는 예에 대해서 나타냈지만, 플라스마 에칭 장치, 산화 처리 장치, 산화물 제거 장치 등을 각각 단독으로 사용해도 된다. 또한, 처리 시스템으로서, 산화 처리 장치, 산화물 제거 장치, 가열 장치를 갖는 것을 사용하여, 플라스마 에칭 후의 웨이퍼에 대하여, 산화 처리 및 산화물 제거 처리를 행해도 된다.
<실험예>
이하, 실험예에 대해서 설명한다.
[실험예 1]
여기에서는, 패턴이 형성되어 있지 않은 베어 실리콘 웨이퍼 상에 두께 100nm 열산화막을 형성한 샘플에 대하여, 플라스마 에칭 처리, 라디칼 산화 처리, 산화물 제거 처리를 행하고, 각 처리 후의 표면에 대해서 XPS 분석을 행하였다. 각 처리의 조건은 이하와 같이 하였다.
(플라스마 에칭 처리)
·장치: 평행 평판형
·압력: 1.33 내지 13.3Pa(10 내지 100mTorr)
·가스: C4F6 10 내지 50sccm
CF4 50 내지 200sccm
Ar 300 내지 600sccm
·고주파 전력: 상부 200 내지 700W
하부 2000 내지 3000W
·에칭: 풀에치
(산화 처리)
·장치: 도 4에 도시하는 산화 처리 장치
·압력: 40 내지 93.3Pa(300 내지 700mTorr)
·온도: 60 내지 100℃
·가스: O2 200 내지 500sccm
Ar 50 내지 200sccm
·고주파 전력: 300 내지 800W
·시간: 100 내지 150sec
(산화물 제거 처리)
·장치: 도 5에 도시하는 COR 장치
·압력: 40 내지 93.3Pa(300 내지 700mTorr)
·온도: 60 내지 100℃
·가스: HF 100 내지 200sccm
NH3 100 내지 200sccm
Ar 100 내지 300sccm
·시간: 120 내지 500sec
도 8a 내지 8c는 각 처리 종료 후의 XPS에 의한 표면의 원소 분석의 결과를 도시하는 도면이다. 도 8b에 도시하는 바와 같이, 라디칼 산화 처리에 의해 O가 증가하고, 그 후의 산화물 제거 처리(COR 처리)에 의해, O 및 F가 감소하고 있는 것을 알 수 있다.
도 9a 내지 9c는, 각 처리 종료 후에 XPS에 의해 C1s 피크 부근을 스캔한 결과를 도시하는 도면이다. 도 9a에 도시하는 바와 같이, 플라스마 에칭 후에 CF2 피크가 검출되어, 플라스마 에칭에 의해 CF계 퇴적물(CF 폴리머)이 생성되어 있는 것이 확인되었다. 또한, 도 9b에 도시하는 바와 같이, 산화 처리 후에 C=O 결합의 피크가 보여, CF계 퇴적물이 산화되어 있는 것을 알 수 있다. 또한, 도 9c에 도시하는 바와 같이, 산화물 제거 처리 후에는, CF2의 피크가 저하되어 있어, CF계 퇴적물이 제거된 것을 알 수 있다. CF2의 피크가 남아있는 점에서, CF계 퇴적물의 완전 제거에는 이르지 못했지만, 조건의 튜닝이나, 산화 처리와 산화물 제거 처리를 반복함으로써 완전 제거가 가능하다.
[실험예 2]
여기에서는, 실리콘 상에 형성된 산화막에, 실험예 1과 마찬가지의 조건에서 플라스마 에칭 처리를 행하여, 애스펙트비 40 내지 70의 오목부 패턴을 형성하였다. 이때 오목부의 벽부에 CF계 폴리머가 잔존하고, 오목부의 저부에는 대미지층이 형성되어 있는 것이 확인되었다.
이어서, 이하의 조건에서 산화 처리를 행하였다.
·장치: 도 4에 도시하는 산화 처리 장치
·압력: 6.7 내지 93.3Pa(50 내지 700mTorr)
·온도: 15 내지 100℃
·가스: O2 100 내지 2500sccm
NF3 1 내지 20sccm
Ar 50 내지 200sccm
NF3/O2 0.2 내지 1.0체적%
·고주파 전력: 100 내지 1000W
·시간: 100 내지 150sec
그 후, 실험예 1과 동일한 조건에서 산화물 제거 처리를 행하였다.
그 결과, 오목부의 벽부의 CF계 폴리머 잔사 및 오목부의 저부의 대미지층이 거의 완전하게 제거되어 있는 것이 확인되었다.
<다른 적용>
이상, 실시 형태에 대해서 설명했지만, 금회 개시된 실시 형태는, 모든 점에서 예시이며 제한적인 것은 아니라고 생각되어야 한다. 상기 실시 형태는, 첨부의 특허 청구 범위 및 그 주지를 일탈하지 않고, 다양한 형태로 생략, 치환, 변경되어도 된다.
예를 들어, 상기 실시 형태의 장치는 예시에 지나지 않으며, 다양한 구성의 장치를 사용할 수 있다. 또한, 피처리 기판으로서 반도체 웨이퍼를 사용한 경우에 대해서 나타냈지만, 반도체 웨이퍼에 한하지 않고, LCD(액정 디스플레이)용 기판으로 대표되는 FPD(플랫 패널 디스플레이) 기판이나, 세라믹스 기판 등의 다른 기판이어도 된다.
1: 패턴 2: 오목부
3: CF계 퇴적물 4: CF계 퇴적물의 산화물을 포함하는 산화물
5: 대미지층 6: 대미지층의 산화물
100: 처리 시스템 102: 플라스마 에칭 장치
103: 산화 처리 장치 104: 산화물 제거 장치
105: 가열 장치 W: 반도체 웨이퍼(기판)

Claims (27)

  1. 에칭 대상부를 갖는 기판을 준비하는 공정과,
    상기 기판의 상기 에칭 대상부를, CF계 가스를 포함하는 처리 가스의 플라스마에 의해 소정 패턴으로 플라스마 에칭하는 공정과,
    그 후, 에칭 잔사로서 잔존하는 CF계 퇴적물 및 에칭에 의해 상기 패턴 저부에 형성된 대미지층을 제거하는 공정
    을 갖고,
    상기 CF계 퇴적물 및 상기 대미지층을 제거하는 공정은,
    산소를 포함하는 라디칼 및 불소를 포함하는 라디칼을 공급하여, 상기 불소를 포함하는 라디칼에 의해 상기 대미지층의 표면을 제거함과 함께, 상기 산소를 포함하는 라디칼에 의해 상기 CF계 퇴적물의 산화물을 포함하는 산화물 및 상기 대미지층의 산화물을 형성하는 공정과,
    가스에 의한 화학적 처리 또는 라디칼 처리에 의해, 상기 CF계 퇴적물의 산화물을 포함하는 산화물 및 상기 대미지층의 산화물을 제거하는 공정
    을 갖는 에칭 방법.
  2. 제1항에 있어서, 상기 에칭 대상부는, 실리콘 산화막인, 에칭 방법.
  3. 제1항 또는 제2항에 있어서, 상기 에칭 대상부는, 실리콘 함유 부분 상에 형성되어 있고, 상기 대미지층은, 상기 플라스마 에칭하는 공정에 의해, 상기 패턴 저부에 노출되는 상기 실리콘 함유 부분에 C 및 F가 타입되어 형성된, 에칭 방법.
  4. 제1항 또는 제2항에 있어서, 상기 CF계 퇴적물의 산화물을 포함하는 산화물 및 상기 대미지층의 산화물을 형성하는 공정은, 산소 함유 가스와 불소 함유 가스에 의해 생성된 플라스마를 사용해서 행하는, 에칭 방법.
  5. 제4항에 있어서, 상기 산소 함유 가스는, O2 가스 단독, 또는 O2 가스와, H2 가스 및 희가스 중 적어도 1종이며,
    상기 불소 함유 가스는, NF3 가스, SF6 가스 또는 F2 가스인, 에칭 방법.
  6. 제4항에 있어서, 상기 산소 함유 가스에 대한 상기 불소 함유 가스의 체적 비율은, 1체적% 이하인, 에칭 방법.
  7. 제4항에 있어서, 상기 CF계 퇴적물의 산화물을 포함하는 산화물 및 상기 대미지층의 산화물을 형성하는 공정은, 상기 기판이 배치되는 처리 공간과는 별개의 플라스마 생성 공간에서 상기 플라스마를 생성시키는 리모트 플라스마에 의해 행하는, 에칭 방법.
  8. 제4항에 있어서, 상기 CF계 퇴적물의 산화물을 포함하는 산화물 및 상기 대미지층의 산화물을 형성하는 공정은, 13.3 내지 266.6Pa의 범위의 압력에서 행하는, 에칭 방법.
  9. 제4항에 있어서, 상기 CF계 퇴적물의 산화물을 포함하는 산화물 및 상기 대미지층의 산화물을 형성하는 공정은, 0.1 내지 120℃의 범위의 온도에서 행하는, 에칭 방법.
  10. 제1항 또는 제2항에 있어서, 상기 CF계 퇴적물의 산화물을 포함하는 산화물 및 상기 대미지층의 산화물을 제거하는 공정은, 불소 함유 가스를 포함하는 처리 가스에 의한 화학적 처리에 의해 행하는, 에칭 방법.
  11. 제10항에 있어서, 상기 불소 함유 가스를 포함하는 처리 가스는, 불소 함유 가스와, H2O 가스 또는 환원성 가스를 포함하는, 에칭 방법.
  12. 제11항에 있어서, 상기 불소 함유 가스를 포함하는 가스는, 상기 불소 함유 가스로서 불화수소 가스를 포함하고, 환원성 가스로서 NH3 가스를 포함하는, 에칭 방법.
  13. 제12항에 있어서, 상기 CF계 퇴적물의 산화물을 포함하는 산화물 및 상기 대미지층의 산화물을 제거하는 공정은, 6.66 내지 400Pa의 범위의 압력에서 행하는, 에칭 방법.
  14. 제12항에 있어서, 상기 CF계 퇴적물의 산화물을 포함하는 산화물 및 상기 대미지층의 산화물을 제거하는 공정은, 0.1 내지 120℃의 범위의 온도에서 행하는, 에칭 방법.
  15. 제12항에 있어서, 상기 CF계 퇴적물의 산화물을 포함하는 산화물 및 상기 대미지층의 산화물을 제거하는 공정은, 상기 화학적 처리 후, 생성된 불화암모늄계 화합물을 가열 제거하는, 에칭 방법.
  16. 제1항 또는 제2항에 있어서, 상기 CF계 퇴적물의 산화물을 포함하는 산화물 및 상기 대미지층의 산화물을 제거하는 공정은, NF3 가스와 NH3 가스를 포함하는 처리 가스를 활성화시켜서 형성된 F 라디칼, N 라디칼을 사용한 라디칼 처리에 의해 행하는, 에칭 방법.
  17. CF계 가스를 포함하는 처리 가스의 플라스마에 의해 소정 패턴으로 플라스마 에칭된 기판에 에칭 잔사로서 잔존하는 CF계 퇴적물을 제거하는 에칭 잔사의 제거 방법이며,
    산소를 포함하는 라디칼 및 불소를 포함하는 라디칼을 공급하여, 상기 불소를 포함하는 라디칼에 의해, 에칭에 의해 상기 패턴 저부에 형성된 대미지층의 표면을 제거함과 함께, 상기 산소를 포함하는 라디칼에 의해 상기 CF계 퇴적물의 산화물을 포함하는 산화물 및 상기 대미지층의 산화물을 형성하는 공정과,
    상기 CF계 퇴적물의 산화물을 포함하는 산화물 및 상기 대미지층의 산화물을, 가스에 의한 화학적 처리 또는 라디칼 처리에 의해 제거하는 공정
    을 갖는 에칭 잔사의 제거 방법.
  18. 제17항에 있어서, 상기 기판의 상기 플라스마 에칭된 대상부는, 실리콘 함유 부분 상에 형성되어 있고, 상기 대미지층은, 상기 플라스마 에칭에 의해, 상기 패턴 저부에 노출되는 상기 실리콘 함유 부분에 C 및 F가 타입되어 형성된, 에칭 잔사의 제거 방법.
  19. 제17항 또는 제18항에 있어서, 상기 CF계 퇴적물의 산화물을 포함하는 산화물 및 상기 대미지층의 산화물을 형성하는 공정은, 산소 함유 가스와 불소 함유 가스에 의해 생성된 플라스마를 사용해서 행하는, 에칭 잔사의 제거 방법.
  20. 제19항에 있어서, 상기 산소 함유 가스는, O2 가스 단독, 또는 O2 가스와, H2 가스 및 희가스 중 적어도 1종이며,
    상기 불소 함유 가스는, NF3 가스, SF6 가스 또는 F2 가스인, 에칭 잔사의 제거 방법.
  21. 제19항에 있어서, 상기 산소 함유 가스에 대한 상기 불소 함유 가스의 체적 비율은, 1체적% 이하인, 에칭 잔사의 제거 방법.
  22. 제19항에 있어서, 상기 CF계 퇴적물의 산화물을 포함하는 산화물 및 상기 대미지층의 산화물을 형성하는 공정은, 상기 기판이 배치되는 처리 공간과는 별개의 플라스마 생성 공간에서 상기 플라스마를 생성시키는 리모트 플라스마에 의해 행하는, 에칭 잔사의 제거 방법.
  23. 제17항 또는 제18항에 있어서, 상기 CF계 퇴적물의 산화물을 포함하는 산화물 및 상기 대미지층의 산화물을 제거하는 공정은, HF 가스 및 NH3 가스를 포함하는 처리 가스에 의한 화학적 처리에 의해 행하는, 에칭 잔사의 제거 방법.
  24. 제17항 또는 제18항에 있어서, 상기 CF계 퇴적물의 산화물을 포함하는 산화물 및 상기 대미지층의 산화물을 제거하는 공정은, NF3 가스와 NH3 가스를 포함하는 처리 가스를 활성화시켜서 형성된 F 라디칼, N 라디칼을 사용한 라디칼 처리에 의해 행하는, 에칭 잔사의 제거 방법.
  25. 컴퓨터 상에서 동작하고, 처리 시스템을 제어하기 위한 프로그램이 기억된 기억 매체이며, 상기 프로그램은, 실행 시에, 제1항 또는 제2항의 에칭 방법이 행해지도록, 컴퓨터에 상기 처리 시스템을 제어시키는 것을 특징으로 하는 기억 매체.
  26. 삭제
  27. 삭제
KR1020217011714A 2018-09-26 2019-06-24 에칭 방법, 에칭 잔사의 제거 방법, 및 기억 매체 KR102614944B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2018180128 2018-09-26
JPJP-P-2018-180128 2018-09-26
PCT/JP2019/024959 WO2020066172A1 (ja) 2018-09-26 2019-06-24 エッチング方法、エッチング残渣の除去方法、および記憶媒体

Publications (2)

Publication Number Publication Date
KR20210058954A KR20210058954A (ko) 2021-05-24
KR102614944B1 true KR102614944B1 (ko) 2023-12-19

Family

ID=69952581

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020217011714A KR102614944B1 (ko) 2018-09-26 2019-06-24 에칭 방법, 에칭 잔사의 제거 방법, 및 기억 매체

Country Status (5)

Country Link
US (1) US20210358761A1 (ko)
JP (1) JP7034320B2 (ko)
KR (1) KR102614944B1 (ko)
TW (1) TWI827674B (ko)
WO (1) WO2020066172A1 (ko)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI779607B (zh) * 2021-05-13 2022-10-01 南亞科技股份有限公司 形成半導體結構的方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005039185A (ja) * 2003-06-24 2005-02-10 Tokyo Electron Ltd 被処理体処理装置、その被処理体処理方法、圧力制御方法、被処理体搬送方法、及び搬送装置
JP2007266609A (ja) * 2006-03-28 2007-10-11 Tokyo Electron Ltd 基板から残渣を除去する方法
JP2015523734A (ja) * 2012-07-10 2015-08-13 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 低k誘電体膜をパターニングする方法
JP2018093189A (ja) * 2016-11-30 2018-06-14 東京エレクトロン株式会社 プラズマエッチング方法

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06236864A (ja) 1969-04-23 1994-08-23 Hitachi Ltd エッチング処理方法及びエッチングの後処理方法並びにエッチング設備
JP3027951B2 (ja) * 1997-03-12 2000-04-04 日本電気株式会社 半導体装置の製造方法
JP2001176855A (ja) 1999-12-16 2001-06-29 Tokyo Electron Ltd 基板処理方法および基板処理装置
US20050106888A1 (en) * 2003-11-14 2005-05-19 Taiwan Semiconductor Manufacturing Co. Method of in-situ damage removal - post O2 dry process
KR100954116B1 (ko) * 2006-11-06 2010-04-23 주식회사 하이닉스반도체 반도체 소자의 리세스패턴 형성방법
JP5229711B2 (ja) * 2006-12-25 2013-07-03 国立大学法人名古屋大学 パターン形成方法、および半導体装置の製造方法
JP2014220360A (ja) 2013-05-08 2014-11-20 株式会社日立ハイテクノロジーズ プラズマ処理方法
JP7349861B2 (ja) * 2019-09-24 2023-09-25 東京エレクトロン株式会社 エッチング方法、ダメージ層の除去方法、および記憶媒体

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005039185A (ja) * 2003-06-24 2005-02-10 Tokyo Electron Ltd 被処理体処理装置、その被処理体処理方法、圧力制御方法、被処理体搬送方法、及び搬送装置
JP2007266609A (ja) * 2006-03-28 2007-10-11 Tokyo Electron Ltd 基板から残渣を除去する方法
JP2015523734A (ja) * 2012-07-10 2015-08-13 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 低k誘電体膜をパターニングする方法
JP2018093189A (ja) * 2016-11-30 2018-06-14 東京エレクトロン株式会社 プラズマエッチング方法

Also Published As

Publication number Publication date
WO2020066172A1 (ja) 2020-04-02
JP7034320B2 (ja) 2022-03-11
TW202032659A (zh) 2020-09-01
KR20210058954A (ko) 2021-05-24
TWI827674B (zh) 2024-01-01
US20210358761A1 (en) 2021-11-18
JPWO2020066172A1 (ja) 2021-08-30

Similar Documents

Publication Publication Date Title
JP7204348B2 (ja) エッチング方法およびエッチング装置
US20080045030A1 (en) Substrate processing method, substrate processing system and storage medium
KR102272823B1 (ko) 에칭 방법 및 에칭 장치
WO2019003663A1 (ja) エッチング方法およびエッチング装置
KR101946144B1 (ko) 기판 처리 방법
JP6656082B2 (ja) 酸化膜除去方法および除去装置、ならびにコンタクト形成方法およびコンタクト形成システム
TW201824387A (zh) 蝕刻方法及dram電容器之製造方法
KR102606417B1 (ko) 에칭 방법, 대미지층의 제거 방법, 및 기억 매체
KR20180116327A (ko) 기판 처리 방법
JP2019197903A (ja) 処理装置
KR102614944B1 (ko) 에칭 방법, 에칭 잔사의 제거 방법, 및 기억 매체
KR20200090099A (ko) 다공질막을 에칭하는 방법
US20220189783A1 (en) Etching method and etching apparatus
JP2022094914A (ja) エッチング方法およびエッチング装置
JP7209567B2 (ja) エッチング方法およびエッチング装置
KR20190015132A (ko) 피처리체를 처리하는 방법
US20230274942A1 (en) Method for manufacturing semiconductor device
JP2024044428A (ja) エッチング方法およびエッチング装置
JPH03280536A (ja) 表面処理方法

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant