KR20200090099A - 다공질막을 에칭하는 방법 - Google Patents

다공질막을 에칭하는 방법 Download PDF

Info

Publication number
KR20200090099A
KR20200090099A KR1020197036809A KR20197036809A KR20200090099A KR 20200090099 A KR20200090099 A KR 20200090099A KR 1020197036809 A KR1020197036809 A KR 1020197036809A KR 20197036809 A KR20197036809 A KR 20197036809A KR 20200090099 A KR20200090099 A KR 20200090099A
Authority
KR
South Korea
Prior art keywords
gas
chamber
porous film
plasma
supplying
Prior art date
Application number
KR1020197036809A
Other languages
English (en)
Inventor
시게루 다하라
게이이치로 우라베
펑 션
크리스티안 뒤사라
마르네프 장-프랑수와 드
레미 뒤사
토마스 틸로쉐
Original Assignee
도쿄엘렉트론가부시키가이샤
유니베르시떼 돌흘랑
상뜨르 나쇼날 드 라 러쉐르쉬 샹띠피끄
레르 리키드 쏘시에떼 아노님 뿌르 레뜌드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드
레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤, 유니베르시떼 돌흘랑, 상뜨르 나쇼날 드 라 러쉐르쉬 샹띠피끄, 레르 리키드 쏘시에떼 아노님 뿌르 레뜌드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드, 레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20200090099A publication Critical patent/KR20200090099A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3343Problems associated with etching
    • H01J2237/3344Problems associated with etching isotropy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32422Arrangement for selecting ions or species in the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32642Focus rings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

일 실시형태의 방법은, (i) 챔버에 제1 가스를 공급하는 공정이며, 제1 가스는 퍼플루오로테트라글라임 가스인, 그 공정과, (ii) 제1 가스를 공급하는 공정과 동시에, 또는 제1 가스를 공급하는 공정 후에, 다공질막을 에칭하기 위하여, 당해 다공질막의 에칭용 제2 가스의 플라즈마를 생성하는 공정을 포함한다. 챔버 내에 있어서의 제1 가스의 분압, 또는 제1 가스만이 챔버에 공급될 때의 챔버 내에 있어서의 제1 가스의 압력은, 제1 가스를 공급하는 공정의 실행 중의 피가공물의 온도에 있어서, 다공질막 내에서 제1 가스의 모세관 응축이 발생하는 임계 압력보다 높고, 제1 가스의 포화 증기압보다 낮다.

Description

다공질막을 에칭하는 방법
본 개시의 실시형태는, 다공질막을 에칭하는 방법에 관한 것이다.
반도체 디바이스와 같은 전자 디바이스에는, 다공질막이 이용되는 경우가 있다. 다공질막으로서는, 예를 들면 SiOCH막과 같은 저(低)유전율 재료로 형성된 막이 이용된다. 이와 같은 전자 디바이스의 제조에 있어서는, 리소그래피에 의하여 포토레지스트에 형성된 미세 패턴을, 필요에 따라 플라즈마 에칭에 의하여 TiN막, SiO2막, 또는 Si3N4막과 같은 막에 전사(轉寫)함으로써 하드 마스크를 형성하고, 당해 패턴을 플라즈마 에칭에 의하여 다공질막에 전사하는 처리가 행해진다.
다공질막의 플라즈마 에칭에서는, 플라즈마 처리 장치의 챔버 내에서 에칭용 가스를 여기시킴으로써 라디칼이 생성되는데, 라디칼은 다공질막의 미세 구멍 내에 침입하여 다공질막에 대미지를 줄 수 있다. 따라서, 다공질막 내로의 라디칼의 침입을 억제하는 기술이 필요하다.
다공질막 내로의 라디칼의 침입을 억제하는 하나의 기술이 특허문헌 1에 기재되어 있다. 특허문헌 1에 기재된 기술에서는, C6F6 가스, C7F8 가스와 같은 플루오로카본 가스, 탄화 수소 가스, 또는 산소 함유 탄화 수소 가스가, 모세관 응축에 의하여 다공질막 내에 있어서 액화되어, 액체가 된다. 이와 같이 생성된 액체에 의하여, 플라즈마 에칭 시의 다공질막 내로의 라디칼의 침입이 억제된다.
특허문헌 1: 일본 특허공개공보 2016-207768호
상술한 액체가 다공질막 내에서 유지되는 시간이 짧은 경우에는, 플라즈마 에칭의 시간을 충분히 길게 할 수 없다. 따라서, 액체가 다공질막 내에서 유지되는 시간이 짧은 경우에는, 다공질막 내에서 그 모세관 응축이 발생하는 가스의 공급과 단시간의 플라즈마 에칭과의 다수의 반복을 실행할 필요가 발생한다. 결과적으로, 다공질막의 에칭 스루풋이 저하된다. 이러한 배경에서, 다공질막 내에서 모세관 응축에 의하여 생성된 액체가 유지되는 시간을 증대시킬 것이 요구된다.
일 양태에 있어서는, 다공질막을 에칭하는 방법이 제공된다. 이 방법은, 피가공물이 플라즈마 처리 장치의 챔버 내에 마련된 스테이지 상에 재치된 상태에서 실행된다. 피가공물은, 다공질막 및 마스크를 갖는다. 마스크는, 다공질막 상에 마련되어 있고, 당해 다공질막을 부분적으로 노출시키는 개구를 제공한다. 이 방법은, (i) 챔버에 제1 가스를 공급하는 공정이며, 제1 가스는 퍼플루오로테트라글라임(C10F20O5)으로 이루어지는 가스인, 상기 공정과, (ii) 제1 가스를 공급하는 공정과 동시에, 또는 제1 가스를 공급하는 공정 후에, 다공질막을 에칭하기 위하여, 당해 다공질막의 에칭용 제2 가스 플라즈마를 생성하는 공정을 포함한다. 챔버 내에 있어서의 제1 가스의 분압, 또는 제1 가스만 챔버에 공급될 때의 챔버 내에 있어서의 제1 가스의 압력은, 제1 가스를 공급하는 공정의 실행 중인 피가공물의 온도에 있어서, 다공질막 내에서 제1 가스 모세관 응축이 발생하는 임계 압력보다 높고, 제1 가스 포화 증기압보다 낮다.
제1 가스, 즉 퍼플루오로테트라글라임 가스가 다공질막 내에 있어서 응축되어, 액체가 되면, 당해 액체는 비교적 장시간, 다공질막 내에 있어서 유지된다. 따라서, 이 방법에 의하면, 다공질막의 에칭의 스루풋이 개선된다. 또, 퍼플루오로테트라글라임은, 비교적 다수의 산소 원자를 포함하는 분자이기 때문에, 에칭 중에 산소를 포함하는 프래그먼트를 발생시키지만, 산소 원자 단체(單體)(산소 라디칼)의 발생은 억제된다. 따라서, 에칭에 의하여 발생하는 탄소를 함유하는 반응 생성물의 양을, 다량의 산소 가스 등을 공급하지 않고, 감소시키는 것이 가능하다. 그러므로, 다공질막의 대미지가 억제된다.
일 실시형태의 제1 가스를 공급하는 공정에서는, 제1 가스와 제2 가스를 포함하는 혼합 가스가 챔버에 공급되고, 제2 가스의 플라즈마를 생성하는 공정에서는, 챔버 내에서 상기 혼합 가스의 플라즈마가 생성되어도 된다. 즉, 제1 가스와 제2 가스가 동시에 공급되고 있는 상태에서, 제1 가스와 제2 가스를 포함하는 혼합 가스의 플라즈마가 생성되어도 된다. 일 실시형태에서는, 제1 가스를 공급하는 공정과 제2 가스의 플라즈마를 생성하는 공정에 있어서, 피가공물의 온도가 -50℃ 이상 -30℃ 이하의 온도로 설정되고, 제1 가스의 분압이 0.4Pa(3mTorr) 이상으로 설정되며, 또한 챔버 내의 가스의 전체 압력이 3.333Pa(25mTorr) 이하로 설정될 수 있다. 일 실시형태에서는, 제2 가스의 플라즈마를 생성하는 공정에 있어서, 플라즈마의 생성을 위한 제1 고주파 및 피가공물에 이온을 인입하기 위한 제2 고주파 중 적어도 한쪽의 파워가 교대로 증감되어도 된다. 예를 들면, 제1 고주파 및 제2 고주파 중 적어도 한쪽의 ON과 OFF가 교대로 전환되어도 된다.
일 실시형태에서는, 제1 가스를 공급하는 공정과 제2 가스의 플라즈마를 생성하는 공정이 교대로 실행된다. 이 실시형태의 방법은, 제1 가스를 공급하는 공정과 제2 가스의 플라즈마를 생성하는 공정 사이에 플라즈마를 생성하지 않고 챔버에 제2 가스를 공급하는 공정을 더 포함한다. 일 실시형태에서는, 제1 가스를 공급하는 공정과 제2 가스의 플라즈마를 생성하는 공정에 있어서, 피가공물의 온도가 -50℃ 이상 -30℃ 이하의 온도로 설정되고, 제1 가스를 공급하는 공정에 있어서, 챔버 내에 있어서의 제1 가스의 분압, 또는 제1 가스만 챔버에 공급될 때의 챔버 내에 있어서의 제1 가스의 압력이 0.4Pa(3mTorr) 이상으로 설정된다.
일 실시형태에 있어서, 방법은, 다공질막의 에칭 후에, 제1 가스로부터 생성된 다공질막 내의 액체를 제거하는 공정을 더 포함하고, 당해 공정에서는, 진공 배기된 환경하에서 당해 액체의 압력이 임계 압력보다 낮아지도록 피가공물이 가열된다.
일 실시형태에 있어서, 다공질막은, 실리콘, 산소, 탄소 및 수소를 포함하는 저(低)유전율막일 수 있다. 일 실시형태에 있어서, 제2 가스는, 삼불화 질소(NF3) 가스를 포함할 수 있다.
이상 설명한 바와 같이, 다공질막의 에칭에 있어서, 당해 다공질막 내에서 액체가 유지되는 시간을 증대시키는 것이 가능해진다.
도 1은 일 실시형태에 관한 다공질막을 에칭하는 방법을 나타내는 흐름도이다.
도 2는 피가공물의 일례의 일부 확대 단면도이다.
도 3은 플라즈마 처리 장치를 구비하는 일 실시형태의 처리 시스템을 나타내는 도이다.
도 4는 일 실시형태에 관한 플라즈마 처리 장치를 개략적으로 나타내는 도이다.
도 5는 도 1에 나타내는 방법에 관한 타이밍 차트이다.
도 6은 도 1에 나타내는 방법의 실행 중에 얻어지는 피가공물의 일부 확대 단면도이다.
도 7은 도 1에 나타내는 방법의 실행 중에 얻어지는 피가공물의 일부 확대 단면도이다.
도 8은 도 1에 나타내는 방법의 실행에 의하여 얻어지는 피가공물의 일부 확대 단면도이다.
도 9는 다른 실시형태에 관한 다공질막을 에칭하는 방법을 나타내는 흐름도이다.
도 10은 도 9에 나타내는 방법에 관한 타이밍 차트이다.
도 11은 다공질막의 굴절률의 경시(經時) 변화를 나타내는 그래프이다.
도 12는 제2 실험 및 제3 실험에 있어서 측정한 치수를 나타내는 도이다.
도 13은 다공질막의 온도와 당해 다공질막의 굴절률의 관계를 나타내는 그래프이다.
이하, 도면을 참조하여 다양한 실시형태에 대하여 상세하게 설명한다. 또한, 각 도면에 있어서 동일 또는 상당 부분에 대해서는 동일한 부호를 붙이기로 한다.
도 1은, 일 실시형태에 관한 다공질막을 에칭하는 방법을 나타내는 흐름도이다. 도 1에 나타내는 방법(MT1)은, 제1 가스에 근거하는 액체에 의하여 피가공물의 다공질막을 보호하면서, 당해 다공질막을 에칭하는 방법이다. 도 2는, 피가공물의 일례의 일부 확대 단면도이다. 도 2에 나타내는 바와 같이, 피가공물(W)은, 하지층(UL), 다공질막(PL), 및 마스크(MK)를 구비하고 있다. 피가공물(W)은, 예를 들면 대략 원반(圓盤) 형상을 가질 수 있다.
다공질막(PL)은, 하지층(UL) 상에 마련되어 있다. 다공질막(PL)에는, 다수의 미세 구멍이 형성되어 있다. 미세 구멍은, 수 nm, 예를 들면 1nm~2nm의 평균의 폭을 가질 수 있다. 또한, 평균의 폭이란, 각 미세 구멍의 최대폭의 평균값이다. 다공질막(PL)은, 저유전율 재료로 구성된 막이다. 다공질막(PL)은, 저유전율막이며, 예를 들면 실리콘, 산소, 탄소, 및 수소를 포함하는 막, 즉 SiOCH막일 수 있다. 다공질막(PL)은, 화학 기상(氣相) 성장법 또는 스핀 성막법과 같은 성막법에 의하여 형성될 수 있다.
마스크(MK)는, 다공질막(PL) 상에 마련되어 있다. 마스크(MK)는, 일례에서는, 제1 층(L1) 및 제2 층(L2)을 포함할 수 있다. 제1 층(L1)은, 예를 들면 실리콘 산화막이며, 제2 층(L2)은, 예를 들면 TiN막이다. 마스크(MK)는, 개구를 제공하고 있다. 즉, 마스크(MK)에는, 다공질막(PL)에 전사해야 하는 패턴이 형성되어 있다. 마스크(MK)는, 리소그래피 기술, 및 플라즈마 에칭을 이용함으로 형성될 수 있다.
방법(MT1)에서는, 플라즈마 처리 장치의 챔버 내에 피가공물(W)이 수용된 상태에서, 다공질막의 에칭이 행해진다. 도 3은, 플라즈마 처리 장치를 구비하는 일 실시형태의 처리 시스템을 나타내는 도이다. 도 3에 나타내는 처리 시스템(1)은, 방법(MT1)의 실시에 있어서 사용될 수 있다. 처리 시스템(1)은, 받침대(2a~2d), 용기(4a~4d), 로더 모듈(LM), 얼라이너(AN), 로드록 모듈(LL1, LL2), 프로세스 모듈(PM1~PM6), 반송 모듈(TF), 및 제어부(MC)를 구비하고 있다. 또한, 처리 시스템(1)에 있어서의 받침대의 개수, 용기의 개수, 로드록 모듈의 개수는 1 이상의 임의의 개수일 수 있다. 또, 프로세스 모듈의 개수는, 2 이상의 임의의 개수일 수 있다.
받침대(2a~2d)는, 로더 모듈(LM)의 일 가장자리를 따라 배열되어 있다. 용기(4a~4d)는 각각, 받침대(2a~2d) 상에 탑재되어 있다. 용기(4a~4d)의 각각은, 예를 들면 FOUP(Front Opening Unified Pod)라고 칭해지는 용기이다. 용기(4a~4d)의 각각은, 그 내부에 피가공물(W)을 수용하도록 구성되어 있다.
로더 모듈(LM)은, 챔버를 제공한다. 로더 모듈(LM)에 의하여 제공되는 챔버의 압력은, 대기압으로 설정된다. 로더 모듈(LM)의 챔버 내에는, 반송 장치(TU1)가 마련되어 있다. 반송 장치(TU1)는, 예를 들면 다관절 로봇이며, 제어부(MC)에 의하여 제어된다. 반송 장치(TU1)는, 용기(4a~4d)의 각각과 얼라이너(AN)의 사이, 얼라이너(AN)와 로드록 모듈(LL1~LL2)의 각각의 사이, 로드록 모듈(LL1~LL2)의 각각과 용기(4a~4d)의 각각의 사이에서 피가공물(W)을 반송하도록 구성되어 있다. 얼라이너(AN)는, 로더 모듈(LM)에 접속되어 있다. 얼라이너(AN)는, 피가공물(W)의 위치의 조정(위치의 교정)을 행하도록 구성되어 있다.
로드록 모듈(LL1) 및 로드록 모듈(LL2)의 각각은, 로더 모듈(LM)과 반송 모듈(TF)의 사이에 마련되어 있다. 로드록 모듈(LL1) 및 로드록 모듈(LL2)의 각각은, 예비 감압실을 제공하고 있다.
반송 모듈(TF)은, 로드록 모듈(LL1) 및 로드록 모듈(LL2)에 게이트 밸브를 통하여 접속되어 있다. 반송 모듈(TF)은, 감압 가능한 반송 챔버(TC)를 제공하고 있다. 반송 챔버(TC) 내에는, 반송 장치(TU2)가 마련되어 있다. 반송 장치(TU2)는, 예를 들면 다관절 로봇이며, 제어부(MC)에 의하여 제어된다. 반송 장치(TU2)는, 로드록 모듈(LL1~LL2)의 각각과 프로세스 모듈(PM1~PM6)의 각각의 사이, 및 프로세스 모듈(PM1~PM6) 중 임의의 2개의 프로세스 모듈의 사이에 있어서, 피가공물(W)을 반송하도록 구성되어 있다.
프로세스 모듈(PM1~PM6)의 각각은, 전용 기판 처리를 행하도록 구성된 처리 장치이다. 프로세스 모듈(PM1~PM6)의 각각은 챔버를 제공하고 있다. 프로세스 모듈(PM1~PM6)의 각각의 챔버는, 반송 모듈(TF)의 챔버에 게이트 밸브를 통하여 접속되어 있다. 프로세스 모듈(PM1~PM6) 중 하나의 프로세스 모듈은, 플라즈마 처리 장치이다. 프로세스 모듈(PM1~PM6) 중 다른 하나의 프로세스 모듈은, 가열 처리 장치이다. 또한, 이하의 설명에서는, 프로세스 모듈(PM5)이 가열 처리 장치인 것으로 한다. 가열 처리 장치는, 후술하는 바와 같이 다공질막(PL)의 에칭 후에, 그 챔버(PC) 내에 있어서 피가공물(W)을 가열함으로써 다공질막(PL) 내의 액체를 기화시켜, 당해 액체로부터 생성된 기체를 배기하도록 구성되어 있다.
제어부(MC)는, 프로세서, 메모리와 같은 기억 장치, 디스플레이와 같은 표시 장치, 키보드, 마우스와 같은 입출력 장치, 제어 신호의 입출력 인터페이스, 및 통신 장치 등을 구비하는 컴퓨터 장치일 수 있다. 기억 장치에는, 제어 프로그램 및 레시피 데이터가 기억되어 있다. 프로세서는, 제어 프로그램 및 레시피 데이터에 따라 동작하여, 처리 시스템(1)의 각부에 대하여 제어 신호를 송출함으로써, 처리 시스템(1)의 각부를 제어한다.
도 4는, 일 실시형태에 관한 플라즈마 처리 장치를 개략적으로 나타내는 도이다. 도 4에는, 일 실시형태에 관한 플라즈마 처리 장치가, 그 일부가 파단된 상태로 나타나 있다. 도 4에 나타내는 플라즈마 처리 장치(10)는, 처리 시스템(1)의 프로세스 모듈로서 이용하는 것이 가능하다. 플라즈마 처리 장치(10)는, 용량 결합형 플라즈마 에칭 장치이다.
플라즈마 처리 장치(10)는, 챔버 본체(12)를 구비하고 있다. 챔버 본체(12)는, 대략 원통 형상을 갖는다. 챔버 본체(12)는, 그 내부 공간을 챔버(12c)로서 제공하고 있다. 챔버 본체(12)의 내벽면에는, 내플라즈마성을 갖는 피막이 형성되어 있다. 이 피막은, 알루마이트막, 또는 산화 이트륨으로 형성된 막일 수 있다. 챔버 본체(12)는, 접지되어 있다. 챔버 본체(12)의 측벽에는, 개구(12g)가 형성되어 있다. 챔버 본체(12)의 외부로부터 챔버(12c)로의 피가공물(W)의 반입 시, 및 챔버(12c)로부터 챔버 본체(12)의 외부로의 피가공물(W)의 반출 시에, 피가공물(W)은 개구(12g)를 통과한다. 챔버 본체(12)의 측벽에는, 개구(12g)의 개폐를 위하여, 게이트 밸브(14)가 장착되어 있다.
챔버 본체(12)의 바닥부 상에는, 지지부(15)가 마련되어 있다. 지지부(15)는, 대략 원통 형상을 갖고 있다. 지지부(15)는, 예를 들면 절연 재료로 구성되어 있다. 지지부(15)는, 챔버(12c) 내에 있어서, 챔버 본체(12)의 바닥부로부터 상방으로 뻗어 있다. 챔버(12c) 내에는, 스테이지(16)가 마련되어 있다. 스테이지(16)는, 지지부(15)에 의하여 지지되어 있다.
스테이지(16)는, 그 위에 재치된 피가공물(W)을 지지하도록 구성되어 있다. 스테이지(16)는, 하부 전극(18) 및 정전 척(20)을 갖고 있다. 하부 전극(18)은, 제1 플레이트(18a) 및 제2 플레이트(18b)를 포함하고 있다. 제1 플레이트(18a) 및 제2 플레이트(18b)는, 예를 들면 알루미늄과 같은 금속으로 구성되어 있고, 대략 원반 형상을 갖고 있다. 제2 플레이트(18b)는, 제1 플레이트(18a) 상에 마련되어 있고, 제1 플레이트(18a)에 전기적으로 접속되어 있다.
정전 척(20)은, 제2 플레이트(18b) 상에 마련되어 있다. 정전 척(20)은, 절연층, 및 당해 절연층 내에 마련된 막상(膜狀)의 전극을 갖고 있다. 정전 척(20)의 전극에는, 직류 전원(22)이 스위치(23)를 통하여 전기적으로 접속되어 있다. 정전 척(20)의 전극에는, 직류 전원(22)으로부터 직류 전압이 인가된다. 정전 척(20)의 전극에 직류 전압이 인가되면, 정전 척(20)은, 정전 인력을 발생시켜, 피가공물(W)을 당해 정전 척(20)으로 끌어당겨, 당해 피가공물(W)을 지지한다. 또한, 정전 척(20) 내에는, 히터가 내장되어 있어도 되고, 당해 히터에는, 챔버 본체(12)의 외부에 마련된 히터 전원이 접속되어 있어도 된다.
제2 플레이트(18b)의 주연부(周緣部) 상에는, 포커스 링(24)이 마련된다. 포커스 링(24)은, 대략 환상의 판이다. 포커스 링(24)은, 피가공물(W)의 에지 및 정전 척(20)을 둘러싸도록 배치된다. 포커스 링(24)은, 에칭의 균일성을 향상시키기 위하여 마련되어 있다. 포커스 링(24)은, 예를 들면 실리콘, 석영과 같은 재료로 형성될 수 있다.
제2 플레이트(18b)의 내부에는, 유로(18f)가 마련되어 있다. 유로(18f)에는, 챔버 본체(12)의 외부에 마련되어 있는 칠러 유닛으로부터, 배관(26a)을 통하여 냉매가 공급된다. 유로(18f)에 공급된 냉매는, 배관(26b)을 통하여 칠러 유닛으로 되돌려진다. 즉, 유로(18f)와 칠러 유닛의 사이에서는, 냉매가 순환된다. 이 냉매의 온도를 제어함으로써, 스테이지(16)(또는 정전 척(20))의 온도 및 피가공물(W)의 온도가 조정된다. 또한, 냉매로서는, 피가공물(W)의 온도를 -60℃ 이상의 온도, 예를 들면 -50℃ 이상 -30℃ 이하의 온도로 설정할 수 있는 일반적인 냉매가 이용된다. 이와 같은 냉매로서는, 예를 들면 갈덴(등록 상표)이 예시된다.
플라즈마 처리 장치(10)에는, 가스 공급 라인(28)이 마련되어 있다. 가스 공급 라인(28)은, 전열 가스 공급 기구로부터의 전열 가스, 예를 들면 He 가스를, 정전 척(20)의 상면과 피가공물(W)의 이면의 사이에 공급한다.
플라즈마 처리 장치(10)는, 상부 전극(30)을 더 구비하고 있다. 상부 전극(30)은, 스테이지(16)의 상방에 마련되어 있다. 상부 전극(30)은, 부재(32)를 통하여, 챔버 본체(12)의 상부에 지지되어 있다. 상부 전극(30)은, 전극판(34) 및 지지체(36)를 포함할 수 있다. 전극판(34)의 하면은, 챔버(12c)에 접하고 있다. 전극판(34)에는, 복수의 가스 토출 구멍(34a)이 마련되어 있다. 이 전극판(34)은, 실리콘 또는 산화 실리콘과 같은 재료로 형성될 수 있다.
지지체(36)는, 전극판(34)을 착탈 가능하게 지지하는 것이며, 알루미늄과 같은 도전성 재료로 형성되어 있다. 지지체(36)의 내부에는, 가스 확산실(36a)이 마련되어 있다. 가스 확산실(36a)로부터는, 가스 토출 구멍(34a)으로 연통(連通)하는 복수의 가스 통류 구멍(36b)이 하방으로 뻗어 있다. 지지체(36)에는, 가스 확산실(36a)에 가스를 유도하는 가스 도입구(36c)가 형성되어 있다. 가스 도입구(36c)에는, 가스 공급관(38)이 접속되어 있다.
가스 공급관(38)에는, 밸브군(42) 및 유량 제어기군(44)을 통하여, 가스 소스군(40)이 접속되어 있다. 가스 소스군(40)은, 복수의 가스 소스를 포함하고 있다. 복수의 가스 소스는, 제1 가스의 소스, 및 제2 가스의 1 이상의 소스를 포함하고 있다. 제1 가스는, 다공질막(PL) 내에 있어서 그 모세관 응축이 발생하는 가스이다. 제2 가스는, 다공질막(PL)의 에칭용 가스이다. 복수의 가스 소스는, 이들 가스 이외의 가스의 소스를 포함하고 있어도 된다.
밸브군(42)은 복수의 밸브를 포함하고 있으며, 유량 제어기군(44)은 매스 플로 컨트롤러 또는 압력 제어식의 유량 제어기와 같은 복수의 유량 제어기를 포함하고 있다. 가스 소스군(40)의 복수의 가스 소스는 각각, 밸브군(42)의 대응의 밸브 및 유량 제어기군(44)의 대응의 유량 제어기를 통하여, 가스 공급관(38)에 접속되어 있다.
지지부(15)와 챔버 본체(12)의 측벽의 사이에는 배플 부재(48)가 마련되어 있다. 배플 부재(48)는, 예를 들면 판상의 부재이며, 알루미늄제의 모재(母材)의 표면에 Y2O3 등의 세라믹스를 피복함으로써 형성될 수 있다. 배플 부재(48)에는, 당해 배플 부재(48)를 관통하는 복수의 구멍이 형성되어 있다. 배플 부재(48)의 하방에 있어서, 챔버 본체(12)의 바닥부에는, 배기관(52)을 통하여 배기 장치(50)가 접속되어 있다. 배기 장치(50)는, 압력 조정 밸브와 같은 압력 제어기, 및 터보 분자 펌프와 같은 진공 펌프를 갖고 있으며, 챔버(12c)를 원하는 압력으로 감압할 수 있다.
플라즈마 처리 장치(10)는, 제1 고주파 전원(62) 및 제2 고주파 전원(64)을 더 구비하고 있다. 제1 고주파 전원(62)은, 플라즈마 생성용 제1 고주파(고주파 전기 에너지)를 발생시키는 전원이다. 제1 고주파는, 예를 들면 27~100MHz의 범위 내의 주파수를 갖는다. 제1 고주파 전원(62)은, 정합기(63)를 통하여 상부 전극(30)에 접속되어 있다. 정합기(63)는, 제1 고주파 전원(62)의 출력 임피던스와 부하 측(상부 전극(30) 측)의 임피던스를 정합시키기 위한 회로를 갖고 있다. 또한, 제1 고주파 전원(62)은, 정합기(63)를 통하여 하부 전극(18)에 접속되어 있어도 된다.
제2 고주파 전원(64)은, 피가공물(W)에 이온을 인입하기 위한 제2 고주파(고주파 전기 에너지)를 발생시키는 전원이다. 제2 고주파는, 예를 들면 400kHz~13.56MHz의 범위 내의 주파수를 갖는다. 제2 고주파 전원(64)은, 정합기(65)를 통하여 하부 전극(18)에 접속되어 있다. 정합기(65)는, 제2 고주파 전원(64)의 출력 임피던스와 부하 측(하부 전극(18) 측)의 임피던스를 정합시키기 위한 회로를 갖고 있다.
이하, 플라즈마 처리 장치(10)를 하나의 프로세스 모듈로서 갖는 처리 시스템(1)을 이용하여, 피가공물(W)에 방법(MT1)이 적용되는 경우에 관련하여, 방법(MT1)을 설명한다. 그러나, 방법(MT1)은, 임의의 플라즈마 처리 장치 또는 임의의 처리 시스템을 이용하여 실행할 수 있다. 또, 방법(MT1)은, 다공질막을 갖는 임의의 피가공물에 적용될 수 있다.
이하의 설명에서는, 도 1에 더하여, 도 5~도 8을 참조한다. 도 5는, 도 1에 나타내는 방법에 관한 타이밍 차트이다. 도 5에 있어서, 가로축은 시간을 나타내고 있다. 도 5 내의 제1 가스의 공급에 관한 타이밍 차트에 있어서, 고레벨(도 중 "H"로 나타내는 레벨)은 챔버(12c)에 제1 가스가 공급되고 있는 것을 나타내고 있고, 저레벨(도 중 "L"로 나타내는 레벨)은 챔버(12c)에 제1 가스가 공급되고 있지 않은 것을 나타내고 있다. 또, 도 5 내의 제2 가스의 공급에 관한 타이밍 차트에 있어서, 고레벨(도 중 "H"로 나타내는 레벨)은 챔버(12c)에 제2 가스가 공급되고 있는 것을 나타내고 있고, 저레벨(도 중 "L"로 나타내는 레벨)은 챔버(12c)에 제2 가스가 공급되고 있지 않은 것을 나타내고 있다. 또, 도 5 내의 고주파의 공급에 관한 타이밍 차트에 있어서, 고레벨(도 중 "H"로 나타내는 레벨)은, 제1 고주파 및 제2 고주파가 공급되고 있는 것을 나타내고 있고, 저레벨(도 중 "L"로 나타내는 레벨)은, 제1 고주파 및 제2 고주파의 공급이 정지되어 있는 것을 나타내고 있다. 도 6 및 도 7은, 도 1에 나타내는 방법의 실행 중에 얻어지는 피가공물의 일부 확대 단면도이다. 도 8은, 도 1에 나타내는 방법의 실행에 의하여 얻어지는 피가공물의 일부 확대 단면도이다.
방법(MT1)의 공정 ST1~공정 ST3은, 플라즈마 처리 장치(10)의 챔버(12c) 내에서 피가공물(W)이 스테이지(16) 상에 재치된 상태에서 실행된다. 방법(MT1)의 공정 ST1에서는, 제1 가스가 챔버(12c)에 공급된다. 제1 가스는, 다공질막(PL) 내에서 그 모세관 응축이 발생하는 가스이다. 제1 가스는, 일 실시형태에서는, 퍼플루오로테트라글라임으로 이루어지는 가스(이하, "퍼플루오로테트라글라임 가스"라고 하는 경우가 있음)이다. 공정 ST1에서는, 제1 가스와 함께 희(希)가스와 같은 불활성 가스가 챔버(12c)에 공급되어도 된다. 희가스는, He 가스, Ne 가스, Ar 가스, Kr 가스와 같은 임의의 희가스일 수 있다. 도 5에 나타내는 바와 같이, 공정 ST1에서는, 제1 고주파 및 제2 고주파의 공급은 정지되어 있다. 즉, 공정 ST1에서는, 챔버(12c) 내에서 플라즈마는 생성되지 않는다.
공정 ST1에서는, 챔버(12c)에 있어서의 제1 가스의 분압, 또는 제1 가스만이 챔버(12c)에 공급될 때의 챔버(12c)에 있어서의 제1 가스의 압력은, 공정 ST1의 실행 중의 피가공물(W)의 온도에 있어서, 다공질막(PL) 내에서 제1 가스의 모세관 응축이 발생하는 임계 압력보다 높고, 또한 제1 가스의 포화 증기압보다 낮다. 또한, 챔버(12c)에 있어서의 제1 가스의 분압, 또는 제1 가스만이 챔버(12c)에 공급될 때의 챔버(12c)에 있어서의 제1 가스의 압력이 임계 압력 이상이면, 다공질막(PL) 내에서 제1 가스의 모세관 응축이 발생한다.
공정 ST1에 있어서, 피가공물(W)의 온도는, 상온(25℃)보다 낮고, 상술한 냉매에 의하여 설정할 수 있는 하한 온도, 예를 들면 -60℃ 이상의 온도로 설정된다. 일 실시형태의 공정 ST1에서는, 피가공물(W)의 온도는 -50℃ 이상 -30℃ 이하의 온도, 예를 들면 -40℃로 설정된다. 피가공물(W)의 온도는, 스테이지(16)에 공급되는 냉매에 의하여 조정된다. 피가공물(W)의 온도는, 스테이지(16)의 온도와 대략 동일한 온도일 수 있다. 일 실시형태의 공정 ST1에서는, 챔버(12c)에 있어서의 제1 가스의 분압, 또는 제1 가스만이 챔버(12c)에 공급될 때의 챔버(12c)에 있어서의 제1 가스의 압력은, 피가공물(W)의 설정 온도가 -40℃인 경우에는, 3mTorr(0.4Pa) 이상, 10mTorr(1.333Pa) 이하의 압력으로 설정된다. 일 실시형태의 공정 ST1에서는, 챔버(12c) 내의 가스의 전체압은, 예를 들면 11mTorr(1.47Pa) 이상, 23mTorr(3.07Pa) 이하의 압력으로 설정된다.
공정 ST1에서는, 다공질막(PL) 내에서 제1 가스의 모세관 응축이 발생하고, 제1 가스가 다공질막(PL) 내에서 액화된다. 그 결과, 도 6에 나타내는 바와 같이, 다공질막(PL) 내에는, 영역(SR)이 형성된다. 영역(SR) 내에 있어서, 다공질막(PL)의 미세 구멍은, 제1 가스로부터 생성된 액체로 충전되어 있다.
도 1에 나타내는 바와 같이, 방법(MT1)에서는, 이어서 공정 ST2가 실행된다. 공정 ST2에서는, 챔버(12c)에 제2 가스가 공급된다. 즉, 공정 ST2에서는, 챔버(12c) 내의 가스가 제1 가스로부터 제2 가스로 치환된다. 제2 가스는, 불소 함유 가스를 포함한다. 제2 가스에 포함되는 불소 함유 가스는, NF3 가스(삼불화 질소 가스), SiF4 가스, CF4 가스와 같은 가스, 또는 이들 중 2 이상의 혼합 가스일 수 있다. 제2 가스는, 희가스와 같은 불활성 가스를 더 포함하고 있어도 된다. 희가스는, He 가스, Ne 가스, Ar 가스, Kr 가스와 같은 임의의 희가스일 수 있다. 제2 가스는, O2 가스와 같은 산소 함유 가스를 더 포함하고 있어도 된다.
공정 ST2에서는, 도 5에 나타내는 바와 같이, 제1 고주파 및 제2 고주파의 공급은 정지된다. 즉, 공정 ST2에서는, 플라즈마는 생성되지 않는다. 공정 ST2에서는, 챔버(12c)의 압력이 소정의 압력으로 설정된다. 이 소정의 압력은, 공정 ST3의 실행 중의 챔버(12c)의 압력과 동일한 압력이다. 또, 공정 ST2에서는, 피가공물(W)의 온도가, 공정 ST3의 실행 중의 피가공물(W)의 온도와 동일한 온도, 예를 들면 -50℃ 이상 -30℃ 이하의 온도로 설정된다. 피가공물(W)의 온도는, 스테이지(16)에 공급되는 냉매에 의하여 조정된다. 피가공물(W)의 온도는, 스테이지(16)의 온도와 대략 동일한 온도일 수 있다.
방법(MT1)에서는, 이어서 공정 ST3이 실행된다. 공정 ST3에서는, 챔버(12c) 내에서 제2 가스의 플라즈마가 생성된다. 도 5에 나타내는 바와 같이, 공정 ST3에서는, 공정 ST2에 이어서, 제2 가스가 챔버(12c)에 공급된다. 또, 공정 ST3에서는, 제1 고주파가 상부 전극(30)에 공급되고, 제2 고주파가 하부 전극(18)에 공급된다. 또한, 공정 ST3에 있어서, 제2 고주파는 공급되지 않아도 된다.
공정 ST3에서는, 챔버(12c)의 압력이 소정의 압력으로 설정된다. 이 소정의 압력은, 예를 들면 300mTorr(40Pa) 이하의 압력이다. 이 소정의 압력은, 100mTorr(13.33Pa) 이하의 압력이어도 된다. 공정 ST3에서는, 피가공물(W)의 온도가, 예를 들면 -50℃ 이상 -30℃ 이하의 온도로 설정된다. 피가공물(W)의 온도는, 스테이지(16)에 공급되는 냉매에 의하여 조정된다. 피가공물(W)의 온도는, 공정 ST3에 있어서는 플라즈마로부터 피가공물(W)로의 입열(入熱)이 발생하므로, 스테이지(16)의 온도보다 약간 높아진다.
공정 ST3에서는, 활성종, 예를 들면 라디칼에 의하여 다공질막(PL)이 에칭된다. 이로써, 도 7에 나타내는 바와 같이, 마스크(MK)로부터 노출되어 있는 부분에 있어서 다공질막(PL)이 에칭된다. 도 7에 나타내는 바와 같이, 공정 ST3에서는, 영역(SR) 내에서, 그 표면으로부터 다공질막(PL)이 에칭된다.
방법(MT1)에서는, 계속되는 공정 ST4에 있어서, 정지 조건이 충족되는지 여부가 판정된다. 정지 조건은, 공정 ST1~공정 ST3을 포함하는 시퀀스의 실행 횟수가 소정 횟수에 이르렀을 때에 충족되는 것으로 판단된다. 공정 ST4에 있어서 정지 조건이 충족되지 않았다고 판정되면, 다시 공정 ST1이 실행된다. 즉, 방법(MT1)에서는, 공정 ST1 및 공정 ST3이 교대로 반복된다. 한편, 공정 ST4에 있어서 정지 조건이 충족되어 있다고 판정되면, 공정 ST1~공정 ST3을 포함하는 시퀀스의 실행이 종료된다. 그러한 후에, 피가공물(W)은, 반송 모듈(TF)을 통하여 플라즈마 처리 장치(10)로부터 가열 처리 장치에 반송된다.
방법(MT1)에서는, 이어서, 공정 ST5가 실행된다. 공정 ST5에서는, 다공질막(PL) 내의, 제1 가스에 근거하는 액체가 제거된다. 공정 ST5에서는, 진공 배기된 환경하에서 액체의 압력이 임계 압력보다 낮아지도록, 가열 처리 장치에 의하여 피가공물(W)이 가열된다. 공정 ST5에서는, 피가공물의 온도는 상온(25℃) 이상의 온도로 설정된다. 공정 ST5에서는, 피가공물(W)의 가열에 의하여 다공질막(PL) 내에 잔류하고 있는 액체가 기화하고, 당해 액체로부터 생성된 기체가 배기된다. 공정 ST5의 실행이 종료되면, 피가공물(W)은, 도 8에 나타내는 바와 같이, 다공질막(PL)이 하지층(UL)의 표면까지 에칭된 상태가 된다.
여기서, 다공질막(PL)을 제1 가스로부터 생성되는 액체로 충전하는 데에 필요로 하는 시간 T1에 대하여 고찰한다. 다공질막(PL)을 액체로 충전하는 데에 필요한 단위 면적당 몰수 MA는, 하기의 식 (1)로 나타난다. 식 (1)에 있어서, TPL은 다공질막(PL)의 막두께이고, ρpore는 단위 면적당 다공질막(PL)의 공공률(空孔率)이며, Vm은 제1 가스로 형성되는 액체의 몰 체적이다.
[수학식 1]
Figure pct00001
또, 단위 시간당 평면에 입사하는 가스 분자의 몰수 MB는 하기의 식 (2)로 나타난다. 식 (2)에 있어서, P1은, 챔버 내의 제1 가스의 분압, 또는 제1 가스만이 챔버에 공급되는 경우의 제1 가스의 압력이다. 식 (2)에 있어서, m은 제1 가스의 분자의 질량이고, kB는 볼츠만 상수이며, Tgas는 제1 가스의 온도이고, NA는 아보가드로수이다.
[수학식 2]
Figure pct00002
시간 T1은, 다공질막(PL)을 액체로 충전하는 데에 필요한 단위 면적당 몰수 MA를, 단위 시간당 평면에 입사하는 가스 분자의 몰수 MB로 나눔으로써 얻어지므로, 하기의 식 (3)으로 나타난다.
[수학식 3]
Figure pct00003
제1 가스가 퍼플루오로테트라글라임 가스이며, TPL, ρpore, P1, Tgas가 각각, 100nm, 0.4, 2mTorr, 20℃인 것으로 하면, 시간 T1은 400밀리초가 된다. 따라서, 일례에 있어서, 공정 ST1의 실행 시간은 400밀리초 이상으로 설정될 수 있다. 또, 공정 ST2의 실행 시간과 공정 ST3의 실행 시간의 합은, 제1 가스로부터 생성된 액체가 다공질막(PL) 내에서 유지되는 시간을 초과하지 않도록 설정된다.
상술한 바와 같이, 방법(MT1)에서는, 제1 가스, 즉 퍼플루오로테트라글라임 가스가 공정 ST1에 있어서 이용된다. 이러한 제1 가스의 포화 증기압은, 다공질막(PL) 내에서 당해 제1 가스의 모세관 응축이 발생하는 임계 압력에 대하여 상당히 크다. 즉, 제1 가스의 포화 증기압과 다공질막(PL) 내에서 당해 제1 가스의 모세관 응축이 발생하는 임계 압력의 사이의 차는 상당히 크다. 따라서, 제1 가스가 다공질막(PL) 내에 있어서 응축되어, 액체가 되면, 상술한 공정 ST2 및 공정 ST3의 스테이지(16)의 온도와, 챔버(12c) 내의 가스의 압력하에서는, 당해 액체는 비교적 장시간, 다공질막(PL) 내에 있어서 유지된다. 따라서, 방법(MT1)에서는, 공정 ST2 및 공정 ST3의 실행 시간을 길게 확보할 수 있다. 그 결과, 방법(MT1)에 의하면, 다공질막(PL)의 에칭의 스루풋이 개선된다. 또, 퍼플루오로테트라글라임은, 비교적 다수의 산소 원자를 포함하는 분자이므로, 에칭 중에, 산소를 포함하는 프래그먼트를 발생시키지만, 산소 원자 단체(單體)(산소 라디칼)의 발생은 억제된다. 따라서, 에칭에 의하여 발생하는 탄소를 함유하는 반응 생성물의 양을, 다량의 산소 가스 등을 공급하지 않고, 감소시키는 것이 가능하다. 따라서, 다공질막(PL)의 대미지가 억제된다. 또, 반응 생성물의 양이 감소되므로, 에칭에 의하여 다공질막(PL)에 형성되는 개구의 수직성이 높아진다.
이하, 다른 실시형태에 관한 다공질막을 에칭하는 방법에 대하여 설명한다. 도 9는, 다른 실시형태에 관한 다공질막을 에칭하는 방법을 나타내는 흐름도이다. 도 9에 나타내는 방법(MT2)은, 방법(MT1)과 마찬가지로, 제1 가스로부터 생성되는 액체에 의하여 다공질막(PL)을 보호하면서, 당해 다공질막(PL)을 에칭하기 위하여 실행된다. 이하, 플라즈마 처리 장치(10)를 하나의 프로세스 모듈로서 갖는 처리 시스템(1)을 이용하여, 피가공물(W)에 방법(MT2)이 적용되는 경우에 관련하여 방법(MT2)을 설명한다. 그러나, 방법(MT2)은, 임의의 플라즈마 처리 장치 또는 임의의 처리 시스템을 이용하여 실행할 수 있다. 또, 방법(MT2)은, 다공질막을 갖는 임의의 피가공물에 적용될 수 있다.
이하의 설명에서는, 도 9에 더하여, 도 10을 참조한다. 도 10은, 도 9에 나타내는 방법에 관한 타이밍 차트이다. 도 10에 있어서, 가로축은 시간을 나타내고 있다. 도 10 내의 제1 가스의 공급에 관한 타이밍 차트에 있어서, 고레벨(도 중 "H"로 나타내는 레벨)은 챔버(12c)에 제1 가스가 공급되고 있는 것을 나타내고 있으며, 저레벨(도 중 "L"로 나타내는 레벨)은, 챔버(12c)에 제1 가스가 공급되고 있지 않은 것을 나타내고 있다. 또, 도 10 내의 제2 가스의 공급에 관한 타이밍 차트에 있어서, 고레벨(도 중 "H"로 나타내는 레벨)은, 챔버(12c)에 제2 가스가 공급되고 있는 것을 나타내고 있으며, 저레벨(도 중 "L"로 나타내는 레벨)은 챔버(12c)에 제2 가스가 공급되고 있지 않은 것을 나타내고 있다. 또, 도 10 내의 고주파의 공급에 관한 타이밍 차트에 있어서, 고레벨(도 중 "H"로 나타내는 레벨)은, 플라즈마의 생성 및 이온의 인입을 위하여 제1 고주파 및 제2 고주파가 공급되고 있는 것을 나타내고 있으며, 저레벨(도 중 "L"로 나타내는 레벨)은, 제1 고주파 및 제2 고주파의 공급이 정지되어 있는 것을 나타내고 있다.
방법(MT2)의 공정 ST11 및 공정 ST12는, 플라즈마 처리 장치(10)의 챔버(12c) 내에서 피가공물(W)이 스테이지(16) 상에 재치된 상태에서 실행된다. 방법(MT2)의 공정 ST11에서는, 제1 가스가 챔버(12c)에 공급된다. 제1 가스는, 방법(MT1)에서 이용되는 제1 가스와 동일한 가스이다. 공정 ST11에서는, 제1 가스에 추가로 제2 가스도 챔버(12c)에 공급된다. 제2 가스는, 방법(MT2)에서 이용되는 제2 가스와 동일한 가스이다. 즉, 공정 ST11에서는, 제1 가스와 제2 가스를 포함하는 혼합 가스가, 챔버(12c)에 공급된다. 도 10에 나타내는 바와 같이, 공정 ST11의 개시 시점부터 공정 ST12의 개시 시점까지의 사이의 기간에서는, 제1 고주파 및 제2 고주파의 공급은 정지되어 있다. 즉, 공정 ST11의 개시 시점부터 공정 ST12의 개시 시점까지의 사이의 기간에서는, 플라즈마는 생성되지 않는다.
이어지는 공정 ST12에서는, 다공질막(PL)의 에칭을 위하여, 챔버(12c) 내에서 제1 가스 및 제2 가스를 포함하는 혼합 가스의 플라즈마가 생성된다. 도 10에 나타내는 바와 같이, 공정 ST12는, 공정 ST11의 개시 시점과 종료 시점의 사이의 시점에서 개시된다. 즉, 공정 ST11의 개시 시점과 종료 시점의 사이의 시점부터 제1 고주파 및 제2 고주파의 공급이 개시되고, 당해 제1 고주파 및 제2 고주파의 공급이 공정 ST11 및 공정 ST12의 공통의 종료 시점까지 계속된다.
일 실시형태의 공정 ST12에서는, 제1 고주파 및 제2 고주파 중 적어도 한쪽의 파워가 교대로 증감된다. 예를 들면, 공정 ST12에 있어서, 제1 고주파 및 제2 고주파 중 적어도 한쪽의 ON과 OFF가 교대로 전환된다. 제1 고주파가 ON인 경우에는, 제1 고주파가 상부 전극(30)(또는 하부 전극(18))에 공급되고, 제1 고주파가 OFF인 경우에는, 제1 고주파의 상부 전극(30)(또는 하부 전극(18))으로의 공급이 정지된다. 또, 제2 고주파가 ON인 경우에는, 제2 고주파가 하부 전극(18)에 공급되고, 제2 고주파가 OFF인 경우에는, 제2 고주파의 하부 전극(18)으로의 공급이 정지된다. 이하, 그 파워가 교대로 증감된 고주파, 및 그 ON/OFF가 교대로 전환된 고주파를, "펄스파"라고 하는 경우가 있다.
공정 ST11 및 공정 ST12에서는, 피가공물(W)의 온도가, -60℃ 이상이고, 또한 상온(25℃)보다 낮은 온도로 설정된다. 예를 들면, 공정 ST11 및 공정 ST12에 있어서, 피가공물(W)의 온도는, -50℃ 이상 -30℃ 이하의 온도로 설정된다. 피가공물(W)의 온도는, 스테이지(16)에 공급되는 냉매에 의하여 조정된다. 또, 공정 ST11 및 공정 ST12에서는, 챔버(12c) 내에 있어서의 제1 가스의 분압이 0.4Pa(3mTorr) 이상으로 설정되며, 또한 챔버(12c) 내의 가스의 전압이 3.333Pa(25mTorr) 이하로 설정된다.
도 9에 나타내는 바와 같이, 방법(MT2)에서는, 공정 ST12에 이어, 공정 ST5가 실행된다. 방법(MT2)에 있어서의 공정 ST5는, 방법(MT1)에 있어서의 공정 ST5와 동일한 공정이다.
이러한 방법(MT2)에 의하면, 방법(MT1)과 마찬가지로, 제1 가스가 다공질막(PL) 내에 있어서 액화되어, 다공질막(PL) 내에서 액체가 생성된다. 생성된 액체는, 다공질막(PL) 내에서 비교적 장시간 유지된다. 또, 방법(MT2)에서는, 공정 ST12의 실행 중, 즉 플라즈마 에칭의 실행 중에도 챔버(12c)에 제1 가스가 공급되므로, 다공질막(PL)을 보호하는 액체가 공정 ST12의 실행 중에 보충된다. 이러한 방법(MT2)에 의하면, 다공질막(PL)의 대미지를 억제할 수 있고, 또한 다공질막(PL)의 에칭의 스루풋이 개선된다. 또, 에칭에 의하여 다공질막(PL)에 형성되는 개구의 수직성이 높아진다.
또, 일 실시형태의 공정 ST12에서는, 제1 고주파 및 제2 고주파 중 적어도 한쪽의 파워가 교대로 증감된다. 이로써, 다공질막(PL)에 형성된 개구의 상단 근방에 있어서의 부착물(탄소 함유의 반응 생성물)의 양이 저감된다. 그 결과, 에칭에 의하여 다공질막(PL)에 형성되는 개구의 수직성이 더 높아진다.
이하, 퍼플루오로테트라글라임 가스로부터 생성된 액체가 다공질막 내에서 유지되는 시간에 대하여 조사한 제1 실험에 대하여 설명한다. 제1 실험에서는, 챔버(12c) 내의 스테이지(16) 상에 다공질막을 갖는 피가공물을 재치하고, 피가공물의 온도를 다양한 온도로 설정했다. 그리고, 챔버(12c)에 퍼플루오로테트라글라임 가스와 SF6 가스의 혼합 가스를 공급했다. 다공질막은, 비유전율이 2.2인 SiOCH막이었다. 또, 혼합 가스의 압력은 22.5mTorr(3Pa)이며, 퍼플루오로테트라글라임 가스의 분압은 2.65mTorr(0.35Pa)였다. 다공질막이 퍼플루오로테트라글라임 가스로부터 생성된 액체에 의하여 충전된 후에, 진공 배기된 환경하에 피가공물을 배치하고, 다양한 온도 환경하에서의 다공질막의 굴절률의 경시 변화를 엘립소메트리법에 의하여 취득했다. 또한, 다공질막의 굴절률은, 다공질막 내의 액체의 양의 감소에 따라, 감소한다.
도 11에, 제1 실험에 있어서 취득한 다공질막의 굴절률의 경시 변화를 나타낸다. 도 11에 있어서, 가로축은 진공 배기 개시 후의 경과시간을 나타내고 있으며, 세로축은 다공질막의 굴절률을 나타내고 있다. 도 11에 나타내는 바와 같이, 진공 배기 개시 후에 피가공물의 온도가 -35℃ 이하의 온도로 설정된 경우에는, 다공질막의 굴절률은, 수분간 높은 값으로 유지되어 있었다. 즉, 진공 배기 개시 후에 피가공물의 온도가 -35℃ 이하의 온도로 설정된 경우에는, 다공질막 내에서 액체가, 수분간 유지되었다. 또, 진공 배기 개시 후에 피가공물의 온도가 -30℃로 설정된 경우이더라도, 다공질막 내의 액체는 10초 정도 유지되는 것이 확인되었다. 따라서, 제1 가스로서, 퍼플루오로테트라글라임 가스가 이용되는 경우에는, 공정 ST2, 공정 ST3, 및 공정 ST12에 있어서, 피가공물(W)의 온도가 -30℃ 이하인 온도 또는 -35℃ 이하인 온도로 설정됨으로써, 다공질막(PL) 내에 액체를 장시간 유지 가능한 것이 확인되었다.
이하, 방법(MT2)의 평가를 위하여 행한 제2 실험에 대하여 설명한다. 제2 실험에서는, 플라즈마 처리 장치(10)를 갖는 처리 시스템(1)을 이용하여, 방법(MT2)을 실행함으로써, 도 2에 나타낸 구조를 갖는 복수의 피가공물의 다공질막의 에칭을 행했다. 각 다공질막은, 비유전율이 2.2인 SiOCH막이며, 그 막두께는 100nm였다. 복수의 피가공물의 각각의 마스크의 막두께는, 20nm이며, 마스크의 패턴은 22nm의 라인부와 22nm의 스페이스를 갖는 라인 앤드 스페이스 패턴이었다. 제2 실험에 있어서, 복수의 피가공물의 각각에 대한 공정 ST11 및 공정 ST12의 실행 시의 제1 가스의 분압은, 이하의 (2-1)~(2-3)에 나타내는 바와 같이, 서로 상이했다. 이하, 제2 실험에 있어서의 모든 조건을 나타낸다.
<제2 실험에 있어서의 공정 ST11 및 공정 ST12의 조건>
혼합 가스 중의 제1 가스: 퍼플루오로테트라글라임 가스
혼합 가스 중의 제2 가스: NF3 가스
제1 가스의 분압
(2-1) 2.8mTorr(0.37Pa),
(2-2) 4.8mTorr(0.64Pa),
(2-3) 6.8mTorr(0.91Pa)
챔버(12c) 내의 가스의 전압: 23mTorr(3.07Pa)
피가공물의 온도: -40℃
공정 ST11의 실행 시간: 170(초)
공정 ST11의 개시 시점과 공정 ST12의 개시 시점과의 시간차: 60초
공정 ST12에 있어서의 제1 고주파: 27MHz, 100W의 연속파
공정 ST12에 있어서의 제2 고주파: 400kHz, 50W의 연속파
공정 ST12의 실행 시간: 110(초)
<제2 실험에 있어서의 공정 ST5의 조건>
피가공물의 온도: 60℃
처리 시간: 120초
가열 처리 장치의 챔버의 압력: 진공 배기된 상태, 0.1mTorr 이하(0.013Pa 이하)
제2 실험에서는, 방법(MT2)의 실행 직후의 각 피가공물의 다공질막(PL)의 라인의 폭(CDI)과, 방법(MT2)의 실행 직후의 각 피가공물에 대하여 불화 수소산 처리를 실시한 후의 다공질막(PL)의 라인의 폭(CDF)을 측정하여(도 12 참조), 각 피가공물의 다공질막의 폭(CDI)과 폭(CDF)의 차, 즉 CDI-CDF를 구했다. 플라즈마 에칭 시에 라디칼에 의하여 대미지가 가해진 다공질막 내의 영역은, 불화 수소산 용액에 의하여 제거된다. 따라서, CDI-CDF는, 방법(MT2)에 있어서의 플라즈마 에칭에 의한 다공질막의 대미지의 척도로서 이용할 수 있다. 제2 실험의 결과, 제1 가스의 분압이 상술한 (2-1)~(2-3)으로 설정된 경우에, CDI-CDF의 값은 각각, 5.3nm, 4.0nm, 4.0nm였다. 이들 CDI-CDF는 매우 작은 값이다. 따라서, 방법(MT2)에 의하여 다공질막을 라디칼로부터 보호하면서, 당해 다공질막을 에칭하는 것이 가능한 것이 확인되었다.
이하, 방법(MT2)의 평가를 위하여 행한 제3 실험에 대하여 설명한다. 제3 실험에서는, 플라즈마 처리 장치(10)를 갖는 처리 시스템(1)을 이용하여, 방법(MT2)을 실행함으로써, 도 2에 나타낸 구조를 갖는 복수의 피가공물의 다공질막의 에칭을 행했다. 각 다공질막은, 비유전율이 2.2인 SiOCH막이며, 그 막두께는 100nm였다. 복수의 피가공물의 각각의 마스크의 막두께는, 20nm이며, 마스크의 패턴은 22nm의 라인부와 22nm의 스페이스를 갖는 라인 앤드 스페이스 패턴이었다. 제3 실험에 있어서, 복수의 피가공물의 각각에 대한 공정 ST12의 실행 시의 제2 고주파의 종별은, 이하의 (3-1)~(3-4)로 나타내는 바와 같이, 서로 상이했다. 이하, 제3 실험에 있어서의 모든 조건을 나타낸다.
<제3 실험에 있어서의 공정 ST11 및 공정 ST12의 조건>
혼합 가스 중의 제1 가스: 퍼플루오로테트라글라임 가스
혼합 가스 중의 제2 가스: NF3 가스
제1 가스의 분압: 4.8mTorr(0.64Pa)
챔버(12c) 내의 가스의 전체압: 23mTorr(3.07Pa)
피가공물의 온도: -40℃
공정 ST11의 실행 시간: 260(초)
공정 ST11의 개시 시점과 공정 ST12의 개시 시점과의 시간차: 60초
공정 ST12에 있어서의 제1 고주파: 27MHz, 30W의 연속파
공정 ST12에 있어서의 제2 고주파: 400kHz, 100W
(3-1) 연속파
(3-2) 펄스파(100Hz의 펄스 주파수, 50%의 ON 듀티)
(3-3) 펄스파(100Hz의 펄스 주파수, 20%의 ON 듀티)
(3-4) 펄스파(100Hz의 펄스 주파수, 10%의 ON 듀티)
공정 ST12의 실행 시간: 200(초)
<제3 실험에 있어서의 공정 ST5의 조건>
피가공물의 온도: 60℃
처리 시간: 120초
가열 처리 장치의 챔버의 압력: 진공 배기된 상태, 0.1mTorr 이하(0.013Pa 이하)
제3 실험에서는, 각 다공질막(PL)에 형성된 개구의 상단 근방의 폭(CDS)(도 12 참조)을 측정했다. 그 결과, 제2 고주파의 종별이 상술한 (3-1)~(3-4)로 설정된 경우에, CDS의 값은 각각, 22.48nm, 26.45nm, 27.78nm, 27.76nm였다. 이 제3 실험에서, 제2 고주파가 펄스파인 경우에, 다공질막(PL)에 형성된 개구의 상단 근방의 폭이 넓어지는 것이 확인되었다. 따라서, 제2 고주파가 펄스파인 경우에는, 다공질막(PL)에 형성된 개구의 상단 근방에 있어서의 반응 생성물의 양이 저감되는 것이 확인되었다.
다양한 실시형태에 대하여 설명했지만, 상술한 실시형태에 한정되지 않고 다양한 변형 양태를 구성 가능하다. 예를 들면, 방법(MT1) 및 방법(MT2)의 실행에 이용하는 것이 가능한 플라즈마 처리 장치는, 용량 결합형의 플라즈마 처리 장치에 한정되는 것은 아니다. 방법(MT1) 및 방법(MT2)의 실행에 있어서는, 유도 결합형의 플라즈마 처리 장치, 플라즈마의 생성을 위하여 마이크로파와 같은 표면파를 이용하는 플라즈마 처리 장치와 같은 임의의 타입의 플라즈마 처리 장치를 이용할 수 있다.
또, 상술한 실시형태에서는, 제1 가스는 퍼플루오로테트라글라임 가스였다. 그러나, 제1 가스는 퍼플루오로테트라글라임 가스 이외의 가스여도 된다. 이하, 제1 가스로서 이용되는 가스가 충족시키는 경우가 바람직한 몇 개의 조건에 대한 설명을 제공한다. 이 설명에 앞서, 먼저, 실험에 의하여 얻은 각종 수치에 대하여 설명한다.
실험에서는, C6F6 가스, C7F8 가스, C10F20O5 가스(퍼플루오로테트라글라임 가스), 및 C9F20 가스의 각각이 다공질막 내에서 모세관 응축을 발생시키는 임계 온도 및 임계 압력을 얻었다. 이 실험에서는, 이들 가스의 각각을 다공질막에 공급하면서, 다공질막의 온도와 당해 다공질막의 굴절률의 관계를 엘립소메트리법에 의하여 얻었다. 다공질막으로서는, 비유전율이 2.2인 SiOCH막을 이용했다. 실험에서는, C6F6 가스 및 C7F8 가스의 각각의 압력을 7.5mTorr(1Pa)로 설정하고, C10F20O5 가스 및 C9F20 가스의 각각의 압력을 3mTorr(4Pa)로 설정했다. 실험에 의하여 얻은 다공질막의 온도와 당해 다공질막의 굴절률의 관계를, 도 13에 나타낸다. 실험에서는, 각 가스에 대하여, 설정한 그 압력을 임계 압력으로서 이용하고, 도 13에 나타내는 그래프로부터, 다공질막의 굴절률이 급격하게 변화하고 있는 구간의 굴절률의 중앙값에 대응하는 다공질막의 온도를 임계 온도로서 구했다.
또, C6F6 가스, C7F8 가스, C10F20O5 가스, 및 C9F20 가스의 각각의 상온(25℃)에서의 평면에 대한 접촉각을 구했다. 또한, 다공질막 내에서 C6F6 가스, C7F8 가스, C10F20O5 가스, 및 C9F20 가스의 각각의 모세관 응축을 발생시켜, 진공 배기된 환경하에서 다공질막 내에서 액체가 유지되는 시간(이하, "유지 시간"이라고 함)을, 엘립소메트리법을 이용하여 측정한 다공질막의 굴절률로부터 구했다.
각 가스에 대하여, 모세관 응축이 발생하는 임계 온도 및 임계 압력 PC, 당해 임계 온도에서의 포화 증기압 PS, PC/PS, 상온에서의 접촉각, 분자량, 및 유지 시간을 표 1에 나타낸다.
[표 1]
Figure pct00004
이하, 표 1을 참조하면서, 제1 가스로서 이용되는 가스가 충족시키는 것이 바람직한 몇 개의 조건에 대하여 설명한다.
제1 조건은, 제1 가스로서 이용되는 가스는 CxFyOz의 조성을 갖는 분자로 이루어지는 가스라는 조건이다. 여기서, x, y는 1 이상의 정수이며, z는 0 이상의 정수이다.
제2 조건은, 제1 가스로서 이용되는 가스의 모세관 응축이 -60℃ 이상의 온도에서 발생한다는 조건이다. 제2 조건이 충족되면, 스테이지(16)에 공급되는 냉매로서, 비교적 저가인 통상의 냉매를 이용할 수 있다.
제3 조건은, 제1 가스로서 이용되는 가스의 상온(25℃)에서의 포화 증기압이 1Torr(133.3Pa) 이상이라는 조건이다. 제3 조건이 충족되면, 다공질막 내의 액체를, 상온 이상의 온도에서 기화시켜, 배기하는 것이 가능해진다.
제4 조건은, 제1 가스로서 이용되는 가스의 상술한 포화 증기압 PS와 임계 압력 PC와의 차가 큰 것, 즉 PC/PS가 작다는 조건이다. 예를 들면, 가스의 PC/PS가 0.05 이하 또는 0.01 이하이면, 당해 가스는, 제4 조건을 충족시킬 수 있다.
또한, PC/PS는, 이론적으로는 이하의 식 (4), 즉 Kelvin식으로 나타난다. 식 (4)에 있어서, Vm은 다공질막 내의 액체의 몰 체적이고, γ는 당해 액체의 표면 장력이며, R은 기체 상수이고, T는 다공질막의 절대 온도이며, r은 다공질막 내의 빈 구멍의 반경이고, θ는 액체와 다공질막의 접촉각이다.
[수학식 4]
Figure pct00005
식 (4) 및 표 1을 참조하면 명확한 바와 같이, PC/PS는 접촉각 θ와 상관 관계를 갖는다. 퍼플루오로테트라글라임 가스, 즉 C10F20O5 가스로부터 생성되는 액체의 접촉각 θ는, 표 1에 나타내는 바와 같이, 5°이하이다. 따라서, 제1 가스로서 이용되는 가스로부터 생성되는 액체의 다공질막에 대한 접촉각이 5°이하라는 조건이 제5 조건으로서 설정될 수 있다.
제6 조건은, 제1 가스로서 이용되는 가스의 분자 중의 탄소 원자수에 대한 산소 원자수의 비율이 0.5 이상이라는 조건이다. 제6 조건이 충족되면, 다공질막의 대미지가 억제되고, 또한 에칭에 의하여 발생하는 탄소 함유의 반응 생성물의 양이 감소된다.
제7 조건은, 제1 가스로서 이용되는 가스로부터 생성되는 액체가 다공질막 내에서 유지되는 시간이 길다는 조건이다. 다공질막 내의 액체의 휘발 속도 fe는, 이하의 식 (5)에 의하여 나타난다. 식 (5)에 있어서, Pv는 가스의 모세관 응축이 발생하는 임계 압력이고, m은 가스를 구성하는 분자의 분자량이며, k는 볼츠만 상수이고, T는 다공질막의 절대 온도이다.
[수학식 5]
Figure pct00006
식 (5)로부터 이해할 수 있는 바와 같이, 다공질막 내의 액체의 휘발 속도 fe는, 가스를 구성하는 분자의 분자량이 클수록 작아진다. 즉, 가스를 구성하는 분자의 분자량이 클수록, 당해 가스로부터 생성된 액체는 다공질막 내에서 장시간 유지된다. 제1 가스로부터 생성되는 액체의 유지 시간은, C6F6 가스에 근거하는 액체의 유지 시간보다 긴 것이 필요하다. 따라서, 제7 조건은, 제1 가스로서 이용되는 가스를 구성하는 분자의 분자량이, C6F6의 분자량, 즉 186보다 크다는 조건이어도 된다. 또, C9F20 가스에 근거하는 액체는, 몇 분 이상, 다공질막 내에서 유지되므로, 제7 조건은, 제1 가스로서 이용되는 가스를 구성하는 분자의 분자량이, 488 이상이라는 조건이어도 된다.
제1 가스로서 이용되는 가스는, CxFyOz의 조성을 갖는 분자로 이루어지는 가스 중, 상술한 제1~제7 조건을 충족시키는 가스로부터 선택된다. 당해 조성은, 6≤x≤22를 충족시키고, 보다 바람직하게는, 8≤x≤12, 및 0≤z≤10을 충족시키며, 보다 바람직하게는, 4≤z≤6, 및 2x-2≤y≤2x+2를 충족시키고, 보다 바람직하게는, y=2x+2를 충족시킨다.
1…처리 시스템
TF…반송 모듈
10…플라즈마 처리 장치
12…챔버 본체
12c…챔버
16…스테이지
18…하부 전극
20…정전 척
50…배기 장치
62…제1 고주파 전원
64…제2 고주파 전원
W…피가공물
MK…마스크
PL…다공질막
MT1, MT2…방법

Claims (9)

  1. 다공질막을 에칭하는 방법으로서, 상기 방법은, 상기 다공질막, 및 상기 다공질막 상에 마련되어 있으며 상기 다공질막을 부분적으로 노출시키는 개구를 제공하는 마스크를 갖는 피가공물이, 플라즈마 처리 장치의 챔버 내에 마련된 스테이지 상에 재치된 상태에서 실행되고,
    상기 챔버에 제1 가스를 공급하는 공정이며, 상기 제1 가스는 퍼플루오로테트라글라임으로 이루어지는 가스인, 상기 공정과,
    제1 가스를 공급하는 상기 공정과 동시에, 또는 제1 가스를 공급하는 상기 공정 후에, 상기 다공질막을 에칭하기 위하여, 상기 다공질막의 에칭용 제2 가스의 플라즈마를 생성하는 공정을 포함하며,
    상기 챔버 내에 있어서의 상기 제1 가스의 분압, 또는 상기 제1 가스만이 상기 챔버에 공급될 때의 상기 챔버 내에 있어서의 상기 제1 가스의 압력은, 제1 가스를 공급하는 상기 공정의 실행 중의 상기 피가공물의 온도에 있어서, 상기 다공질막 내에서 상기 제1 가스의 모세관 응축이 발생하는 임계 압력보다 높고, 상기 제1 가스의 포화 증기압보다 낮은, 방법.
  2. 청구항 1에 있어서,
    제1 가스를 공급하는 상기 공정에서는, 상기 제1 가스와 상기 제2 가스를 포함하는 혼합 가스가 상기 챔버에 공급되고,
    제2 가스의 플라즈마를 생성하는 상기 공정에서는, 상기 챔버 내에서 상기 혼합 가스의 플라즈마가 생성되는, 방법.
  3. 청구항 2에 있어서,
    제1 가스를 공급하는 상기 공정과 제2 가스의 플라즈마를 생성하는 상기 공정에 있어서, 상기 피가공물의 온도가 -50℃ 이상 -30℃ 이하의 온도로 설정되고, 상기 제1 가스의 분압이 0.4Pa 이상으로 설정되며, 또한 상기 챔버 내의 가스의 전압이 3.333Pa 이하로 설정되는, 방법.
  4. 청구항 2 또는 청구항 3에 있어서,
    제2 가스의 플라즈마를 생성하는 상기 공정에 있어서, 상기 플라즈마의 생성을 위한 제1 고주파 및 상기 피가공물에 이온을 인입하기 위한 제2 고주파 중 적어도 한쪽의 파워가 교대로 증감되는, 방법.
  5. 청구항 1에 있어서,
    제1 가스를 공급하는 상기 공정과 제2 가스의 플라즈마를 생성하는 상기 공정이 교대로 실행되고,
    제1 가스를 공급하는 상기 공정과 제2 가스의 플라즈마를 생성하는 상기 공정의 사이에 플라즈마를 생성하지 않고 상기 챔버에 상기 제2 가스를 공급하는 공정을 더 포함하는, 방법.
  6. 청구항 5에 있어서,
    제1 가스를 공급하는 상기 공정과 제2 가스의 플라즈마를 생성하는 상기 공정에 있어서, 상기 피가공물의 온도가 -50℃ 이상 -30℃ 이하인 온도로 설정되고,
    제1 가스를 공급하는 상기 공정에 있어서, 상기 챔버 내에 있어서의 상기 제1 가스의 분압, 또는 상기 제1 가스만이 상기 챔버에 공급될 때의 상기 챔버 내에 있어서의 상기 제1 가스의 압력이 0.4Pa 이상으로 설정되는, 방법.
  7. 청구항 1 내지 청구항 6 중 어느 한 항에 있어서,
    상기 다공질막의 에칭 후에, 상기 제1 가스로부터 생성된 상기 다공질막 내의 액체를 제거하는 공정을 더 포함하고,
    액체를 제거하는 상기 공정에서는, 진공 배기된 환경하에서 상기 액체의 압력이 상기 임계 압력보다 낮아지도록 상기 피가공물이 가열되는, 방법.
  8. 청구항 1 내지 청구항 7 중 어느 한 항에 있어서,
    상기 다공질막은, 실리콘, 산소, 탄소, 및 수소를 포함하는 저유전율막인, 방법.
  9. 청구항 8에 있어서,
    상기 제2 가스는, 삼불화 질소 가스를 포함하는, 방법.
KR1020197036809A 2017-05-16 2018-05-09 다공질막을 에칭하는 방법 KR20200090099A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2017097569A JP6441994B2 (ja) 2017-05-16 2017-05-16 多孔質膜をエッチングする方法
JPJP-P-2017-097569 2017-05-16
PCT/JP2018/017995 WO2018212045A1 (ja) 2017-05-16 2018-05-09 多孔質膜をエッチングする方法

Publications (1)

Publication Number Publication Date
KR20200090099A true KR20200090099A (ko) 2020-07-28

Family

ID=64273712

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020197036809A KR20200090099A (ko) 2017-05-16 2018-05-09 다공질막을 에칭하는 방법

Country Status (6)

Country Link
US (1) US20200395221A1 (ko)
EP (1) EP3627538A4 (ko)
JP (1) JP6441994B2 (ko)
KR (1) KR20200090099A (ko)
TW (1) TWI745590B (ko)
WO (1) WO2018212045A1 (ko)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7387377B2 (ja) 2019-10-18 2023-11-28 キオクシア株式会社 プラズマエッチング方法及びプラズマエッチング装置
JP2021145031A (ja) * 2020-03-11 2021-09-24 キオクシア株式会社 半導体装置の製造方法
JP2022018776A (ja) * 2020-07-16 2022-01-27 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2016207768A (ja) 2015-04-20 2016-12-08 東京エレクトロン株式会社 多孔質膜をエッチングする方法

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8058183B2 (en) * 2008-06-23 2011-11-15 Applied Materials, Inc. Restoring low dielectric constant film properties
EP2849212B1 (en) * 2013-09-17 2018-05-23 IMEC vzw Protection of porous substrates before treatment
EP3070735B1 (en) * 2015-03-17 2020-11-18 IMEC vzw Protection of porous substrates during plasma etching
JP6875152B2 (ja) * 2017-03-03 2021-05-19 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 多孔質膜封孔方法および多孔質膜封孔用材料
JP6836959B2 (ja) * 2017-05-16 2021-03-03 東京エレクトロン株式会社 プラズマ処理装置、処理システム、及び、多孔質膜をエッチングする方法

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2016207768A (ja) 2015-04-20 2016-12-08 東京エレクトロン株式会社 多孔質膜をエッチングする方法

Also Published As

Publication number Publication date
JP6441994B2 (ja) 2018-12-19
WO2018212045A1 (ja) 2018-11-22
US20200395221A1 (en) 2020-12-17
TWI745590B (zh) 2021-11-11
EP3627538A1 (en) 2020-03-25
JP2018195678A (ja) 2018-12-06
EP3627538A4 (en) 2021-03-03
TW201907476A (zh) 2019-02-16

Similar Documents

Publication Publication Date Title
US11380551B2 (en) Method of processing target object
US20210134604A1 (en) Etching method
KR20180051663A (ko) 원자 레벨 레졸루션 및 플라즈마 프로세싱 제어를 위한 방법들
KR102272823B1 (ko) 에칭 방법 및 에칭 장치
US10580655B2 (en) Plasma etching method for selectively etching silicon oxide with respect to silicon nitride
JP2017152531A (ja) 基板処理方法
US10553442B2 (en) Etching method
TWI684201B (zh) 被處理體之處理方法
TWI745590B (zh) 蝕刻多孔質膜之方法
JP2019160816A (ja) プラズマ処理方法及びプラズマ処理装置
CN112635317A (zh) 蚀刻方法、损伤层的去除方法和存储介质
JP6666601B2 (ja) 多孔質膜をエッチングする方法
US9754797B2 (en) Etching method for selectively etching silicon oxide with respect to silicon nitride
TWI827674B (zh) 蝕刻方法、蝕刻殘渣之去除方法及記憶媒體
JP2023531203A (ja) 希ガスによる極低温原子層エッチング
US9633864B2 (en) Etching method
KR20190015132A (ko) 피처리체를 처리하는 방법

Legal Events

Date Code Title Description
A201 Request for examination