KR20120009443A - 감소된 손상을 갖는 로우-k 유전체 에칭을 위한 방법 - Google Patents

감소된 손상을 갖는 로우-k 유전체 에칭을 위한 방법 Download PDF

Info

Publication number
KR20120009443A
KR20120009443A KR1020117023645A KR20117023645A KR20120009443A KR 20120009443 A KR20120009443 A KR 20120009443A KR 1020117023645 A KR1020117023645 A KR 1020117023645A KR 20117023645 A KR20117023645 A KR 20117023645A KR 20120009443 A KR20120009443 A KR 20120009443A
Authority
KR
South Korea
Prior art keywords
processing chamber
plasma processing
etching
fluorocarbon
computer readable
Prior art date
Application number
KR1020117023645A
Other languages
English (en)
Inventor
빙 지
겐지 다케시타
앤드류 디 3세 베일리
에릭 에이 허드슨
마리암 모라베이
스티븐 엠 시라드
정민 고
다니엘 레
로버트 씨 헤프티
유 쳉
제라르도 에이 델가디노
비-밍 옌
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20120009443A publication Critical patent/KR20120009443A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76825Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by exposing the layer to particle radiation, e.g. ion implantation, irradiation with UV light or electrons etc.
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

본 발명의 실시형태에 의해 유기 마스크 아래 배치된 로우-k 유전체 층 내에 피처들을 에칭하는 방법이 제공된다. 유기 마스크를 통해 로우-k 유전체 층으로 피처들이 에칭된다. 로우-k 유전체 층 상에 탄화플루오르 층이 증착된다. 탄화플루오르 층은 경화된다. 유기 마스크가 박리된다.

Description

감소된 손상을 갖는 로우-k 유전체 에칭을 위한 방법{METHOD FOR LOW-K DIELECTRIC ETCH WITH REDUCED DAMAGE}
본 발명은 플라즈마를 이용하여 유기 마스크에 의해 정의된 유전체 층을 통해 에칭함으로써 반도체 웨이퍼 상에 구조체를 획득하는 방법에 관한 것이다.
반도체 플라즈마 에칭 애플리케이션에서, 플라즈마 에처는 주로 포토레지스트 마스크 패턴과 같은 유기 마스크 패턴을 Si 웨이퍼 상에 원하는 박막 및/또는 필름스택 (전도체 또는 유전 절연체) 의 회로 및 라인 패턴으로 전사하는데 사용된다. 이는 마스크 패턴의 개방된 영역에서 포토레지스트 재료들 밑의 필름 (및 필름스택) 을 에칭하여 없앰으로써 달성된다. 이 에칭 반응은 화학적 활성 종들, 및 진공 인클로저 (또한 리액터 챔버로서 지칭됨) 내에 포함된 반응성 혼합물에서 방전을 익사이팅함으로써 생성된 전기적으로 대전된 입자들 (이온들) 에 의해 개시된다. 또한, 이온들은 가스 혼합물과 웨이퍼 재료들 간에 생성된 전계를 통해 웨이퍼 재료들을 향해 가속되고, 이방성 에칭으로서 지칭된 방식으로 이온 궤적의 방향을 따라 에칭 재료들의 방향성 제거를 생성한다. 에칭 시퀀스의 마지막에서, 마스크 재료들은 원래 의도된 마스크 패턴들의 측면 패턴의 모형을 그 장소에 남기면서 마스크 재료들을 박리함으로써 제거된다.
상기 내용을 달성하기 위해 그리고 본 발명의 목적에 따르면, 유기 마스크 아래 배치된 로우-k 유전체 층 내에 피처들을 에칭하는 방법이 제공된다. 피처들은 유기 마스크를 통해 로우-k 유전체 층으로 에칭된다. 로우-k 유전체 층 상에 탄화플루오르 층이 증착된다. 후속적으로, 탄화플루오르 층이 경화되고, 다음에 유기 마스크가 박리된다.
본 발명의 다른 표명에서, 포토레지스트 마스크 아래 배치된 유기 성분을 갖는 실리콘 옥사이드계 로우-k 유전체 층 내에 피처들을 에칭하는 방법이 제공된다. 실리콘 옥사이드계 로우-k 유전체 층은 플라즈마 프로세싱 챔버 안에 놓인다. 플라즈마 프로세싱 챔버 내에서 포토레지스트 마스크를 통해 실리콘 옥사이드계 로우-k 유전체 층으로 피처들이 에칭되면서, 동시에 실리콘 옥사이드 로우-k 유전체 층 상에 탄화플루오르 층을 증착한다. 탄화플루오르 층은 플라즈마 프로세싱 챔버 내에서 경화된다. 포토레지스트 마스크가 플라즈마 프로세싱 챔버 내에서 박리된다.
본 발명의 다른 표명에서, 유기 마스크 아래 배치된 로우-k 유전체 층 내에 피처들을 형성하기 위한 장치가 제공된다. 플라즈마 프로세싱 챔버 인클로저 (encloser) 를 형성하는 챔버 벽, 플라즈마 프로세싱 챔버 인클로저 내의 기판을 지지하기 위한 기판 지지부, 플라즈마 프로세싱 챔버 인클로저 내의 압력을 조절하기 위한 압력 조절기, 플라즈마를 유지하기 위해 플라즈마 프로세싱 챔버 인클로저에 전력을 제공하기 위한 적어도 하나의 전극, 플라즈마 프로세싱 챔버 인클로저 안으로 가스를 제공하기 위한 가스 유입구, 및 플라즈마 프로세싱 챔버 인클로저로부터 가스를 배기하기 위한 가스 유출구를 포함하는 플라즈마 프로세싱 챔버가 제공된다. 가스 소스는 가스 유입구와 유체 소통하고, 탄화플루오르 증착 가스 소스, 에칭 가스 소스, 및 박리 가스 소스를 포함한다. 제어기는 가스 소스 및 적어도 하나의 전극에 제어 가능하게 접속되고, 적어도 하나의 프로세서 및 컴퓨터 판독가능 매체를 포함한다. 컴퓨터 판독가능 매체는, 유기 마스크를 통해 로우-k 유전체 층으로 피처들을 에칭하기 위한 컴퓨터 판독가능 코드로서, 에칭 가스 소스로부터 상기 플라즈마 프로세싱 챔버 안으로 에칭 가스를 제공하기 위한 컴퓨터 판독가능 코드 및 에칭 가스를 형성하기 위해 적어도 하나의 전극으로부터 로우-k 유전체 층으로 피처들을 에칭하는 플라즈마로 에너지를 제공하기 위한 컴퓨터 판독가능 코드를 포함하는, 상기 피처들을 에칭하기 위한 컴퓨터 판독가능 코드, 로우-k 유전체 층 상에 탄화플루오르 층을 증착하기 위한 컴퓨터 판독가능 코드로서, 탄화플루오르 증착 가스 소스로부터 플라즈마 프로세싱 챔버 안으로 탄화플루오르 증착 가스를 제공하기 위한 컴퓨터 판독가능 코드 및 탄화플루오르 증착 가스를 형성하기 위해 적어도 하나의 전극으로부터 로우-k 유전체 층 상에 탄화플루오르 층을 증착하는 증착 플라즈마로 에너지를 제공하기 위한 컴퓨터 판독가능 코드를 포함하는, 상기 탄화플루오르 층을 증착하기 위한 컴퓨터 판독가능 코드, 탄화플루오르 층을 경화시키기 위한 컴퓨터 판독가능 코드, 및 유기 마스크를 박리하기 위한 컴퓨터 판독가능 코드로서, 박리 가스 소스로부터 플라즈마 프로세싱 챔버 안으로 박리 가스를 제공하기 위한 컴퓨터 판독가능 코드 및 박리 가스를 형성하기 위해 적어도 하나의 전극으로부터 포토레지스트 마스크를 박리하는 플라즈마로 에너지를 제공하기 위한 컴퓨터 판독가능 코드를 포함하는, 상기 유기 마스크를 박리하기 위한 컴퓨터 판독가능 코드를 포함한다.
본 발명의 이들 및 다른 특성들은 다음의 도면들과 관련하여 그리고 본 발명의 상세한 설명에서 더욱 상세히 설명될 것이다.
본 발명은 제한의 방식이 아닌 예시의 방식으로 도시되고, 첨부된 도면에서 유사한 도면 부호는 유사한 엘리먼트를 지칭한다.
도 1 은 본 발명의 에칭 프로세스의 흐름도이다.
도 2a 내지 도 2d 는 본 발명의 프로세스를 이용하는 피처 형성의 개략도이다.
도 3 은 본 발명을 실시하는데 이용될 수도 있는 시스템의 개략도이다.
도 4a 및 도 4b 는 본 발명을 실시하는데 이용될 수도 있는 컴퓨터 시스템의 개략도이다.
본 발명은 참조된 도면들에 도시된 바와 같은 본 발명의 몇몇 바람직한 실시형태들을 참조하여 상세히 설명될 것이다. 이하의 설명에서, 본 발명의 전체 이해를 제공하기 위해서 다수의 특정 상세들이 설명된다. 그러나, 본 발명은 이들 특정 상세들의 일부 또는 전부 없이 실시될 수도 있음이 당업자에게 자명하다. 다른 경우에서, 잘 알려진 프로세스 단계들 및/또는 구조들은 본 발명을 불필요하게 모호하게 하지 않기 위해서 설명되지 않는다.
반도체 VFTL (via first trench last) 듀얼 다마신 (DD) 프로세싱에서, 보다 낮은 유전율을 제공하기 위해 첨가된 유기 성분과 함께 실리콘 옥사이드계 로우 유전율 (low-k) 재료들은 에칭 및 레지스트 박리 동안 각종 반응물에 노출된다. 노출된 로우-k 유전체 재료들은 종종 에칭/박리 플라즈마 및 화학물질에 의해 손상을 입는다. 일반적으로, 로우-k 손상은 재료 조성 (예를 들어, 탄소 고갈), 모폴로지 (morphology)(밀도 또는 투과성), 및/또는 표면 특성 (예를 들어, 소수성 대 친수성) 에서의 변화를 포함한다. 손상된 층은 더 이상 의도된 유전체 특성들을 취하지 않고, 디바이스 수율 손실 및/또는 신뢰도 파손을 초래할 수 있다. 따라서, 로우-k 유전체 에칭/박리 동안 손상을 감소시키는 것은 반도체 프로세싱에서 가장 중요한 챌린지들 중 하나가 되고 있다. 원래 그대로의 (손상되지 않은) 로우-k 재료들과는 달리, 손상된 층은 희석된 HF 용액에 의해 쉽게 제거될 수 있다. 샘플을 희석된 HF 용액에 담근 후에 재료 손실을 측정함으로써 에칭 및 박리 후에 로우-k 재료 손상을 수량화하는 것이 통상적인 실행이다.
로우-k 유전체 에칭 및 박리 프로세스 동안 손상을 감소시키기 위한 노력들이 행해지고 있다. 종래의 방법들은 주로 프로세스 화학물질 (chemistry), 하드웨어 구성, 및/또는 플라즈마 소스 (예를 들어, RF 대 마이크로파) 등을 최적화함으로써 에칭 및 박리 프로세스의 최적화에 있다. 이들 종래의 노력들은 단지 한정된 성공을 초래하였다. 유전율 (k 값) 이 계속해서 감소하고, 재료는 더욱 다공성이 되며, 임계 치수가 작아짐에 따라, 손상은 가장 진보된 집적 회로 프로세싱에서 더욱 심각한 이슈가 된다.
다른 종래의 방법은 로우-k 유전체 재료의 에칭 및 박리 후에 손상된 층을 복구하는 것이다. 이 접근은 로우-k유전체 재료에서의 손상 중 일부를 복구할 수 있지만, 별개의 그리고 상이한 도구 세트를 필요로 한다. 따라서, 이것은 생산 비용을 증가시키고 스루풋을 감소시킨다.
본 발명의 실시형태는 플라즈마 박리 전에 증착된 탄화플루오르 층을 경화시킴으로써 로우-k 유전체 듀얼 다마신 프로세싱에서의 손상을 효과적으로 감소 또는 방지하기 위한 방법을 제공하고, 이는 후속하는 프로세싱에서 로우-k 손상을 효과적으로 방지 (즉, 감소) 할 수 있다. 에칭 단계와 박리 단계 사이에 탄화플루오르 층의 경화를 삽입함으로써, 탄화플루오르 층의 노출된 표면층이 후속하는 박리 단계에서 플라즈마 및/또는 화학적 어택에 대해 더욱 잘 견디도록 제어 또는 변형될 수 있다는 것이 뜻밖에 발견되었다.
도 1 은 본 발명의 실시형태의 하이 레벨 흐름도이다. 본 실시형태에서, 로우-k 유전체 층 위에 패터닝된 유기 마스크가 형성된다 (단계 104). 도 2a 는 기판 (210), 기판 위에 유전체 층 (208) 이 배치되고, 유전체 층 위에 패터닝된 유기 마스크 (204) 가 형성되어 있는 개략적 단면도이다. 하나 이상의 중간 층들이 기판 (웨이퍼)(210) 과 로우-k 유전체 층 (208) 사이에 배치될 수도 있다. 하나 이상의 중간 층들, 예컨대 반사방지막 (antireflective coating) 이 로우-k 유전체 층 (208) 과 패터닝된 유기 마스크 (204) 사이에 배치될 수도 있다.
플라즈마 프로세싱 챔버 내에 기판 (210) 이 배치된다 (단계 106). 도 3 은 본 발명의 바람직한 실시형태에서 사용될 수도 있는 플라즈마 프로세싱 챔버 (300) 의 개략도이다. 본 실시형태에서, 플라즈마 프로세싱 챔버 (300) 는 한정 링 (302), 상부 전극 (304), 하부 전극 (308), 가스 소스 (310), 및 배기 펌프 (320) 를 포함한다. 가스 소스 (310) 는 탄화플루오르 가스 소스 (312), 에천트 가스 소스 (314), 및 박리 가스 소스 (316) 를 포함한다. 플라즈마 프로세싱 챔버 (300) 내에서, 기판 (210) 은 하부 전극 (308) 위에 위치된다. 하부 전극 (308) 은 기판 (210) 을 홀딩하기 위해 적합한 기판 척킹 메커니즘 (예를 들어, 정전기적, 기계적 클램핑 등) 을 포함한다. 리액터 상부 (328) 는 하부 전극 (308) 에 바로 대향되어 배치된 상부 전극 (304) 를 포함한다. 상부 전극 (304), 하부 전극 (308), 및 한정 링 (302) 은 한정된 플라즈마 체적 (340) 을 정의한다. 가스는 가스 유입구 (343) 를 통해 가스 소스 (310) 에 의해 한정된 플라즈마 체적으로 공급되고, 배기 펌프 (320) 에 의해 한정 링 (302) 및 배기 포트를 통해 한정된 플라즈마 체적으로부터 배기된다. 배기 펌프 (320) 는 플라즈마 프로세싱 챔버에 대한 가스 유출구를 형성한다. 제 1 RF 소스 (344) 는 상부 전극 (304) 에 전기적으로 접속된다. 제 2 RF 소스 (348) 는 하부 전극 (308) 에 전기적으로 접속된다. 챔버 벽 (352) 은 플라즈마 인클로저를 한정하고, 인클로저 안에 한정 링 (302), 상부 전극 (304), 및 하부 전극 (308) 이 배치된다. 제 1 RF 소스 (344) 및 제 2 RF 소스 (348) 양자 모두는 60 MHz 전원, 27 MHz 전원, 및 2 MHz 전원을 포함할 수도 있다. 전극에 대한 상이한 조합의 RF 전력 접속이 가능하다. 캘리포니아, 프레몬트의 램 리써치 코포레이션 (Lam Research Corporation™) 사의 2300® Exelan® Flex3x 유전체 에칭 시스템이 본 발명의 바람직한 실시형태에서 사용될 수도 있다. 제어기 (335) 는 제 1 RF 소스 (344), 제 2 RF 소스 (348), 배기 펌프 (320), 탄화플루오르 가스 소스 (312) 에 접속된 제 1 제어 밸브 (337), 에천트 가스 소스 (314) 에 접속된 제 2 제어 밸브 (339), 및 박리 가스 소스 (316) 에 접속된 제 3 제어 밸브 (341) 에 제어 가능하게 접속된다. 가스 유입구 (343) 는 가스 소스들 (312, 314, 316) 로부터 플라즈마 프로세싱 인클로저 안으로 가스를 제공한다. 샤워헤드가 가스 유입구 (343) 에 접속될 수도 있다. 가스 유입구 (343) 는 각각의 가스 소스에 대해 단일의 유입구이거나 각각의 가스 소스에 대해 상이한 유입구이거나 각각의 가스 소스에 대해 복수의 유입구이거나 다른 가능한 조합들일 수도 있다.
도 4a 및 도 4b 는 컴퓨터 시스템 (400) 을 나타내고, 이 시스템은 제어기 (335) 로서 사용하기에 적합하다. 도 4a 는 제어기 (335) 용으로 사용될 수도 있는 컴퓨터 시스템의 하나의 가능한 물리적 형태를 나타낸다. 물론, 컴퓨터 시스템은 집적 회로, 인쇄 회로 기판, 및 소형의 헨드헬드 디바이스에서부터 거대 슈퍼 컴퓨터까지 이르는 많은 물리적 형태들을 가질 수도 있다. 컴퓨터 시스템 (400) 은 모니터 (402), 디스플레이 (404), 하우징 (406), 디스크 드라이브 (408), 키보드 (410), 및 마우스 (412) 를 포함한다. 디스크 (414) 는 컴퓨터 시스템 (400) 으로 그리고 컴퓨터 시스템으로부터 데이터를 전송하는데 사용된 컴퓨터 판독가능 매체이다.
도 4b 는 컴퓨터 시스템 (400) 의 블록도의 일 예이다. 다양한 서브시스템이 시스템 버스 (420) 에 부착된다. 프로세서(들) (422)(중앙 처리 장치, 또는 CPU 로도 지칭됨) 은 메모리 (424) 를 포함하는 저장 디바이스에 커플링된다. 메모리 (424) 는 랜덤 액세스 메모리 (RAM) 및 판독 전용 메모리 (ROM) 를 포함한다. 당업계에 알려진 바와 같이, ROM 은 데이터 및 명령들을 일 방향으로 CPU 에 전송하도록 작용하고, RAM 은 통상적으로 데이터 및 명령들을 양 방향으로 전송하도록 사용된다. 이들 유형의 메모리들 양자 모두는 후술될 임의의 적합한 유형의 컴퓨터 판독가능 매체를 포함할 수도 있다. 고정 디스크 (426) 는 또한, CPU (422) 에 양 방향으로 커플링되고; 이것은 추가의 데이터 저장 용량을 제공하고 후술될 임의의 컴퓨터 판독가능 매체를 포함할 수도 있다. 고정 디스크 (426) 는 프로그램, 데이터 등을 저장하는데 사용될 수도 있고, 통상적으로 주 저장장치보다 더 느린 보조 저장 매체 (예컨대, 하드 디스크) 이다. 적절한 경우에, 고정 디스크 (426) 내에 보존되는 정보는 메모리 (424) 내의 가상 메모리와 같은 표준 방식으로 통합될 수도 있다. 착탈형 디스크 (414) 는 후술될 컴퓨터 판독가능 매체의 임의의 형태를 취할 수도 있다.
CPU (422) 는 또한, 각종 입/출력 디바이스, 예컨대 디스플레이 (404), 키보드 (410), 마우스 (412), 및 스피커 (430) 에 커플링된다. 일반적으로, 입/출력 디바이스는 비디오 디스플레이, 트랙 볼, 마우스, 키보드, 마이크로폰, 접촉 감지 디스플레이, 트랜스듀서 카드 판독기, 자기 또는 종이 테이프 판독기, 태블릿, 스타일러스, 음성 또는 핸드라이팅 인식기, 바이오메트릭 판독기, 또는 다른 컴퓨터 중 어느 하나일 수도 있다. CPU (422) 는 선택적으로 네트워크 인터페이스 (440) 를 사용하여 다른 컴퓨터 또는 전자통신 네트워크에 커플링될 수도 있다. 이러한 네트워크 인터페이스를 이용하여, CPU 는 네트워크로부터 정보를 수신할 수도 있고, 또는 전술한 방법 단계들을 수행하는 과정에서 네트워크로 정보를 출력할 수도 있다. 또한, 본 발명의 방법 실시형태는 오직 CPU (422) 상에서만 실행할 수도 있고, 또는 프로세싱의 일부를 공유하는 원격 CPU 와 함께 인터넷과 같은 네트워크를 통해 실행할 수도 있다.
또한, 본 발명의 실시형태는 또한 각종 컴퓨터 구현 동작들을 수행하기 위한 컴퓨터 코드를 갖는 컴퓨터 판독가능 매체를 갖는 컴퓨터 저장 제품에 관한 것이다. 이 매체 및 컴퓨터 코드는 본 발명의 목적을 위해 특별히 설계되고 구성된 것들일 수도 있으며, 또는 컴퓨터 소프트웨어 업계의 당업자에게 이용가능하고 잘 알려진 종류의 것일 수도 있다. 유형의 컴퓨터 판독가능 매체의 예들로는, 하드 디스크, 플로피 디스크 및 자기 테이프와 같은 자기 매체; CD-ROM 및 홀로그래픽 디바이스와 같은 광학 매체; 플롭티컬 디스크 (floptical disk) 와 같은 자기광학 매체; 및 주문형 집적회로 (ASIC), 프로그래머블 논리 디바이스 (PLD) 및 ROM 및 RAM 디바이스와 같이 프로그램 코드를 저장 및 실행하도록 특별히 구성되는 하드웨어 디바이스가 있으나, 이에 한정되지 않는다. 컴퓨터 코드의 예들로는 컴파일러에 의해 생성되는 것과 같은 머신 코드 및 인터프리터를 이용하여 컴퓨터에 의해 실행되는 보다 하이 레벨의 코드를 포함하는 파일들이 있다. 컴퓨터 판독가능 매체는 또한 반송파로 구현되는 컴퓨터 데이터 신호에 의해 송신되고 프로세서에 의해 실행가능한 명령들의 시퀀스를 나타내는 컴퓨터 코드일 수도 있다.
플라즈마 프로세싱 챔버 (300) 는 패터닝된 유기 마스크 (204) 를 통해 로우-k 유전체 층 (208) 안으로 피처들을 에칭하는데 사용된다 (단계 108). 로우-k 유전체 층 (208) 상에 탄화플루오르 층이 증착된다 (단계 110). 바람직한 실시형태에서, 피처들을 에칭하고 (단계 108), 탄화플루오르 층의 증착 (단계 110) 은 동시에 수행된다. 더 바람직하게는, 이들 동시적 단계들은 수평면에 대하여 에칭된 피처들의 측벽들 상에 선택적으로 증착한다. 도 2b 는 기판 (210), 기판 위에 로우-k 유전체 층 (208) 이 배치되고, 유전체 층 위에 패터닝된 유기 마스크 (204) 가 형성된 후에 로우-k 유전체 층 (208) 안으로 피처 (212) 가 에칭되고 (단계 108), 그 후 로우-k 유전체 층 (208) 의 피처 (212) 의 측벽 상에 탄화플루오르 층 (218) 이 증착된 개략적 단면도이다. 바람직한 선택적 증착은 도 2b 에 도시된 바와 같이, 피처의 측벽 상에 또한 증착하고 수평면 상에는 거의 아무것도 증착하지 않는다. 일 실시형태에서, 탄화플루오르 층은 순수 탄화플루오르이다. 다른 실시형태에서, 탄화플루오르 층은 수소, 질소, 또는 황과 같은 추가적인 첨가제를 갖는다.
그 다음에, 탄화플루오르 층이 경화된다 (단계 112). 도 2c 는 탄화플루오르 층이 경화된 것을 나타내도록 탄화플루오르 층이 셰이딩되는 개략도이다.
그 다음에, 유기 마스크가 박리된다 (단계 114). 도 2d 는 유기 마크스가 박리된 후의 개략적 단면도이다. 본 실시형태에서, 도 2d 에 도시된 바와 같이, 탄화플루오르 층 (218) 이 완전히 박리되어 없어진다. 다른 실시형태에서, 탄화플루오르 층은 완전히 박리되어 없어지지 않는다.
예:
단절된 진공 ( Broken Vacuum )
다음의 예는 램 리써치 코포레이션의 300 mm 유전체 에칭 리액터 (Flex3x) 에서 수행되었다. 에칭 및 박리 런을 통한 3 개의 비교의 로우-k 가 행해졌다. T-1 은 종래 기술의 베이스라인 프로세스였고, 여기서 웨이퍼는 통상의 방식으로 에칭 및 박리되었다. T-2 에서, 에칭 단계와 박리 단계 사이에 10 분의 경화 단계가 삽입되었다. 경화 단계는 에칭 리액터로부터 웨이퍼를 제거하고, 웨이퍼를 대기 컨디션에 노출시키며, 탄화플루오르 폴리머 필름을 경화시켜 교차 연결 (cross linking) 을 촉진시키는 것으로 이루어진다. 그 다음에, 동일한 유전체 에칭 챔버에서 박리 프로세스 단계가 재개된다. T-3 에서, 폴리머 교차 연결을 촉진시키기 위한 기간이 60 시간인 것을 제외하고, 유사한 경화 단계가 적용된다. 완료된 웨이퍼들은 그 다음에, XSEM (cross section scanning electron microscopy) 에 의해 검사되었다. 로우-k 손상을 수량화하기 위해서, (HF 침지 없이) 프로세싱된 샘플들 및 100:1 HF 용액에 45 초 동안 침지된 샘플들 양자 모두에 대해 XSEM 검사를 행하였다. 홀-대-홀 CD (critical dimension) 변화를 확인하기 위해서, 통계적 평균 분석 (statistical means analysis) 이 이용되어 각 테스트 후의 로우-k 손상을 결정하였다. 표 1 은 이 결과들을 요약한다.
표 1 로우-k 비아 에칭 및 박리 손상 감소
Figure pct00001
표 1 에서, 상부 및 중간 CD 는 상부 및 중간 위치 각각에서의 비아 사이즈이다. 보우 (bow) CD 는 중간 CD 와 상부 CD 간의 차이로서 정의된다. 손상은 HF 침지 전의 중간 CD 와 HF 침지 후의 중간 CD 간의 차이로서 정의된다. 표 1 에 나타낸 바와 같이, 에칭 단계와 박리 단계 (T-2 와 T-3) 사이에 경화 단계를 삽입함으로써, 손상이 베이스라인 (T-1) 프로세스로부터 상당히 감소된다. T-3 에서의 더 긴 경화 단계는 거의 완전히 손상을 제거하였다. 이 방법의 부가적인 이점은, 표 1 에 나타난 바와 같이, HF 침지 후의 보우 CD 가 또한 상당히 감소된다는 것이다.
결과들은 또한, 각종 샘플들의 XSEM 이미지들의 세심한 검사에 의해 확인된다. 로우-k 손상은 상부 하드 마스크 바로 아래의 언더컷 영역으로서 분명히 보인다. 이러한 언더컷은 T-2 에서 상당히 감소되고, T-3 에서 완전히 제거된다. 본 예는 보우잉 (bowing) 및 언더컷팅 (undercutting) 을 감소시키는 것으로 발견되었다.
본 예에서, 피처들은 40-50 nm 사이의 직경을 갖고, 200-250 nm 사이의 깊이이다. 이들 피처들은 2.4 이하의 유전율을 갖는 울트라로우-k 유전체에서 형성된다. 로우-k 유전체는 3.9 이하의 유전율을 갖는 것으로 정의된다.
본 예에서, 20 MHz 에서 100 Watt 그리고 27 MHz 에서 1700 Watt 의 전극에 의해 제공된 전력으로, 120 mTorr 의 압력에서, 80 sccm CF4, 160 sccm CO, 및 180 sccm Ar 의 메인 에칭이 17 초 동안 제공된다. 2 MHz 에서 500 Watt 그리고 27 MHz 에서 1000 Watt 그리고 60 MHz 에서 300 Watt 의 동일한 전극에 의해 제공된 전력으로, 20 mTorr 의 압력에서, 100 sccm CO, 6 sccm C4F8, 90 sccm N2, 및 200 sccm Ar 의 오버 에칭이 22 초 동안 제공된다. 오버 에칭 동안 C4F8 의 사용은 탄화플루오르 증착을 야기한다. 탄화플루오르 증착을 제공하기 위해 바람직하게 대안의 C4F6 이 사용될 수도 있다. 다른 더욱 일반적인 대안으로서, 탄화플루오르 증착을 제공하기 위해 CxHyFz 이 사용될 수도 있다. 메인 에칭에서 CF4 의 사용이 또한 탄화플루오르 증착에 추가될 수도 있다. 탄화플루오르 증착은 에칭된 포토레지스트로부터의 수소 성분을 가질 수도 있다. 박리 프로세스는 50 mTorr 의 압력에서 1000 sccm CO2 의 가스 및 27 MHz 에서 1200 watt 의 전력을 30 초 동안 사용한다. 본 예에서, 덜 공격적인 박리를 제공하기 위해서 O2 대신에 CO2 가 사용된다. 다른 실시형태들은 O2 박리, 또는 CO2 와 O2 의 조합, 또는 다른 각종 조합들을 사용할 수도 있다.
유지된 진공 ( maintained vacuum )
상기 파라미터들을 이용하여 테스트가 수행되었고, 여기서 테스트는 유지되는 진공으로 60 시간의 경화 시간과 단절된 진공으로 60 시간의 경화 시간을 비교하였다. 하나의 테스트에서, 진공이 단절된 경우의 손상은 6.2±2.0 이었고, 진공이 유지된 경우의 손상은 8.0±2.2 인 것이 발견되었다. 진공이 유지된 경우의 손상은 진공이 단절된 경우의 손상과 통계적으로 거의 동일하고, 경화 단계 없는 경우의 손상보다 덜하겠지만, 진공이 단절될 때 손상은 진공이 유지될 때 손상보다 적다는 것이 통계적으로 입증될 수도 있다. 진공 단절이 적은 손상을 제공할 수도 있으나, 경화 전과 후에 진공이 이용되기 때문에 프로세스를 단순화하기 위해 진공을 유지하는 것이 바람직할 수도 있다.
실리레이션 경화 ( Silylation Cure )
다른 실시형태에서, 증착과 박리 사이의 실리레이션 처리에 의한 경화가 제공된다. 일 예로, 15 분 동안 150 Torr 의 총 압력으로 59% 유기실란, 35% NH3, 및 6 % N2 의 가스 조성을 제공함으로써 실리레이션이 제공된다. 이 경화 프로세스에 의해 손상이 감소되는 것이 발견되었다.
일 실시형태에서, 플라즈마 리액터 내에서 로우-k 재료를 에칭하는 것은 원하는 패턴 전사를 달성할 뿐만 아니라 노출된 로우-k 면 위에 탄화플루오르 폴리머와 같은 탄화플루오르의 얇은 층을 증착하는 프로세스 화학반응을 선택함으로써 수행되지만, 또한, 최적의 보우 (프로파일) 제어 및 손상 감소를 위해 적합한 폴리머 증착으로 에칭 프로세스가 변형되는 것을 제공한다.
각종 실시형태들은 탄화플루오르 층을 경화시키기 위한 각종 방법들, 예컨대, 미리규정된 기간 동안 대기 컨디션 하에서 탄화플루오르의 경화를 제공하고, 또는 UV, 열 및/또는 플라즈마 활성 또는 화학적 개시에 의해 폴리머 교차 연결, 화학적 반응 등; 또는 경화를 강화시키기 위해 불포화 결합을 교차 연결시키고/시키거나 표면 자유 불포화 결합을 묶어놓도록 (끝내도록) 첨가된 자유 라디컬 개시제 및/또는 물 (습기) 와 같은 각종 표면 활성 물질을 사용함으로써; 또는 친수성으로부터 소수성 특성으로 탄화플루오르 표면의 전환을 강화하기 위해 반응물을 첨가함으로써; 또는 로우-k 유전체 표면 내의 노출된 개구를 밀봉 (seal off) 하는 경화 프로세스를 이용함으로써; 또는 박리를 견디는 밀도가 높아진 로우-k 재료의 적합한 두께를 증착하고 보우 및 손상 제어를 위해 우수한 프로파일을 남기는 경화 프로세스에 의해 각종 경화 (교차 연결 및 불포화 결합 (dangling bond) 의 제거) 를 강화하는 것을 제공한다.
일 실시형태에서, 5 분보다 많은 기간 동안 경화가 행해진다. 더 바람직한 실시형태에서는, 10 분 초과의 기간 동안 경화가 행해진다. 더 바람직한 실시형태에서, 24 시간보다 많은 기간 동안 경화가 행해진다. 경화는 진공 하에서 수행될 수도 있다. 더 바람직하게, 경화는 진공이 단절된 후에 수행될 수도 있다.
본 발명의 실시형태들 중 일부에 의해 제공된 이점들 중 일부는 에칭 및 박리 최적화로부터 보우 감소 및 손상을 분리시킨다는 것이다. 이들은 CD 제어 및 스루풋 향상을 위한 에칭 및 박리 단계들을 최적화하는 것을 허용한다. 실시형태들 중 일부는 박리 단계 전에 손상이 발생하는 것을 방지함으로써 손상을 감소시킨다. 이러한 실시형태들이 더욱 효과적이고, 최적의 컨디션 하에서 거의 손상이 없는 결과들을 달성할 수 있다. 실시형태들 중 일부는 에칭 단계 및 박리 단계 양자 모두에 대해 동일한 유전체 에칭 챔버의 사용을 허용한다. 이들 실시형태에서, 동일한 전극, 예를 들어 하부 전극 (308) 은 에칭, 증착, 및 박리 동안 사용될 수도 있다. 일부 실시형태들은 에칭 및 박리 프로세스 후에 별개의 로우-k 복구 모듈의 사용을 필요로 하지 않는다.
각종 실시형태들에서, 경화는 탄화플루오르 층을 단단히 하여 탄화플루오르 층이 박리에 더 잘 견디게 만든다.
본 발명은 여러 바람직한 실시형태들의 관점에서 설명되었으나, 본 발명의 범위 내에 있는 변경, 치환, 및 대체의 등가물이 존재한다. 또한, 본 발명의 방법 및 장치를 구현하는 많은 다른 방법들이 존재한다. 따라서, 다음의 첨부된 청구항들은 본 발명의 범위 및 사상 내에 있는 그러한 변경, 치환, 및 대체으 등가물 모두를 포함하는 것으로서 해석되도록 의도된다.

Claims (28)

  1. 유기 마스크 아래에 배치된 로우-k 유전체 층 내에 피처들을 에칭하는 방법으로서,
    상기 유기 마스크를 통해 상기 로우-k 유전체 층으로 상기 피처들을 에칭하는 단계;
    상기 로우-k 유전체 층 상에 탄화플루오르 층을 증착하는 단계;
    상기 탄화플루오르 층을 경화시키는 단계; 및
    상기 유기 마스크를 박리하는 단계를 포함하는, 피처들을 에칭하는 방법.
  2. 제 1 항에 있어서,
    상기 로우-k 유전체는 유기 성분을 갖는 실리콘 옥사이드계 유전체인, 피처들을 에칭하는 방법.
  3. 제 2 항에 있어서,
    상기 유기 마스크는 포토레지스트 마스크인, 피처들을 에칭하는 방법.
  4. 제 3 항에 있어서,
    상기 경화시키는 단계는 적어도 5 분 동안 수행되는, 피처들을 에칭하는 방법.
  5. 제 4 항에 있어서,
    상기 에칭하는 단계, 상기 증착하는 단계, 상기 경화시키는 단계, 및 상기 박리하는 단계는 단일의 플라즈마 프로세싱 챔버 내에서 수행되는, 피처들을 에칭하는 방법.
  6. 제 5 항에 있어서,
    상기 단일의 플라즈마 프로세싱 챔버 내의 공통 전극이 에칭 및 박리에 사용되는, 피처들을 에칭하는 방법.
  7. 제 1 항에 있어서,
    상기 경화시키는 단계는 적어도 10 분 동안 수행되는, 피처들을 에칭하는 방법.
  8. 제 1 항에 있어서,
    상기 경화시키는 단계는 적어도 24 시간 동안 수행되는, 피처들을 에칭하는 방법.
  9. 제 1 항에 있어서,
    상기 탄화플루오르 층은 수소, 질소, 또는 황 성분을 포함하는, 피처들을 에칭하는 방법.
  10. 제 1 항에 있어서,
    상기 탄화플루오르 증착을 제공하기 위해서 C4F8 또는 C4F6 중 적어도 하나가 사용되는, 피처들을 에칭하는 방법.
  11. 제 1 항에 있어서,
    상기 경화시키는 단계는 진공을 유지하면서 수행되는, 피처들을 에칭하는 방법.
  12. 제 1 항에 있어서,
    상기 박리하는 단계는 대다수의 CO2 를 갖는 박리 가스 비율을 이용하는, 피처들을 에칭하는 방법.
  13. 제 1 항에 있어서,
    상기 유기 마스크는 포토레지스트 마스크인, 피처들을 에칭하는 방법.
  14. 제 1 항에 있어서,
    상기 경화시키는 단계는 적어도 5 분 동안 수행되는, 피처들을 에칭하는 방법.
  15. 제 1 항에 있어서,
    상기 에칭하는 단계, 증착하는 단계, 경화시키는 단계, 및 박리하는 단계는 단일의 플라즈마 프로세싱 챔버 내에서 수행되는, 피처들을 에칭하는 방법.
  16. 제 15 항에 있어서,
    상기 단일의 플라즈마 프로세싱 챔버 내의 공통 전극이 에칭 및 박리에 사용되는, 피처들을 에칭하는 방법.
  17. 포토레지스트 마스크 아래 배치된 유기 성분을 갖는 실리콘 옥사이드계 로우-k 유전체 층 내에 피처들을 에칭하는 방법으로서,
    상기 실리콘 옥사이드계 로우-k 유전체 층을 플라즈마 프로세싱 챔버 내에 제공하는 단계;
    상기 플라즈마 프로세싱 챔버 내에서 상기 포토레지스트 마스크를 통해 상기 실리콘 옥사이드계 로우-k 유전체 층으로 피처들을 에칭하면서, 동시에 상기 실리콘 옥사이드계 로우-k 유전체 층 상에 탄화플루오르 층을 증착하는 단계;
    상기 플라즈마 프로세싱 챔버 내에서 상기 탄화플루오르 층을 경화시키는 단계; 및
    상기 플라즈마 프로세싱 챔버 내에서 상기 포토레지스트 마스크를 박리하는 단계를 포함하는, 피처들을 에칭하는 방법.
  18. 유기 마스크 아래 배치된 로우-k 유전체 층 내에 피처들을 형성하기 위한 장치로서,
    플라즈마 프로세싱 챔버로서,
    플라즈마 프로세싱 챔버 인클로저를 형성하는 챔버 벽;
    상기 플라즈마 프로세싱 챔버 인클로저 내에 기판을 지지하기 위한 기판 지지부;
    상기 플라즈마 프로세싱 챔버 인클로저 내의 압력을 조절하기 위한 압력 조절기;
    플라즈마를 유지하기 위해 상기 플라즈마 프로세싱 챔버 인클로저에 전력을 제공하기 위한 적어도 하나의 전극;
    상기 플라즈마 프로세싱 챔버 인클로저 안으로 가스를 제공하기 위한 가스 유입구; 및
    상기 플라즈마 프로세싱 챔버 인클로저로부터 가스를 배출하기 위한 가스 유출구를 포함하는, 상기 플라즈마 프로세싱 챔버;
    상기 가스 유입구와 유체 접속하는 가스 소스로서,
    탄화플루오르 증착 가스 소스;
    에칭 가스 소스; 및
    박리 가스 소스를 포함하는, 상기 가스 소스; 및
    상기 가스 소스 및 상기 적어도 하나의 전극에 제어 가능하게 접속된 제어기로서,
    적어도 하나의 프로세서; 및
    컴퓨터 판독가능 매체를 포함하는, 상기 제어기를 포함하고,
    상기 컴퓨터 판독가능 매체는,
    상기 유기 마스크를 통해 상기 로우-k 유전체 층으로 상기 피처들을 에칭하기 위한 컴퓨터 판독가능 코드로서,
    상기 에칭 가스 소스로부터 상기 플라즈마 프로세싱 챔버 안으로 에칭 가스를 제공하기 위한 컴퓨터 판독가능 코드; 및
    상기 에칭 가스를 형성하기 위해 상기 적어도 하나의 전극으로부터, 상기 로우-k 유전체 층으로 피처들을 에칭하는 플라즈마로 에너지를 제공하기 위한 컴퓨터 판독가능 코드를 포함하는, 상기 피처들을 에칭하기 위한 컴퓨터 판독가능 코드;
    상기 로우-k 유전체 층 상에 탄화플루오르 층을 증착하기 위한 컴퓨터 판독가능 코드로서,
    상기 탄화플루오르 증착 가스 소스로부터 상기 플라즈마 프로세싱 챔버 안으로 탄화플루오르 증착 가스를 제공하기 위한 컴퓨터 판독가능 코드; 및
    상기 탄화플루오르 증착 가스를 형성하기 위해 상기 적어도 하나의 전극으로부터, 상기 로우-k 유전체 층 상에 탄화플루오르 층을 증착하는 증착 플라즈마로 에너지를 제공하기 위한 컴퓨터 판독가능 코드를 포함하는, 상기 탄화플루오르 층을 증착하기 위한 컴퓨터 판독가능 코드;
    상기 탄화플루오르 층을 경화시키기 위한 컴퓨터 판독가능 코드; 및
    상기 유기 마스크를 박리하기 위한 컴퓨터 판독가능 코드로서,
    상기 박리 가스 소스로부터 상기 플라즈마 프로세싱 챔버 안으로 박리 가스를 제공하기 위한 컴퓨터 판독가능 코드; 및
    상기 박리 가스를 형성하기 위해 상기 적어도 하나의 전극으로부터, 상기 포토레지스트 마스크를 박리하는 플라즈마로 에너지를 제공하기 위한 컴퓨터 판독가능 코드를 포함하는, 상기 유기 마스크를 박리하기 위한 컴퓨터 판독가능 코드를 포함하는, 피처들을 형성하기 위한 장치.
  19. 제 1 항 또는 제 2 항에 있어서,
    상기 유기 마스크는 포토레지스트 마스크인, 피처들을 에칭하는 방법.
  20. 제 1 항, 제 2 항, 또는 제 19 항 중 어느 한 항에 있어서,
    상기 경화시키는 단계는 적어도 5 분 동안 수행되는, 피처들을 에칭하는 방법.
  21. 제 1 항, 제 2 항, 제 19 항, 또는 제 20 항 중 어느 한 항에 있어서,
    상기 에칭하는 단계, 증착하는 단계, 경화시키는 단계, 및 박리하는 단계는 단일의 플라즈마 프로세싱 챔버 내에서 수행되는, 피처들을 에칭하는 방법.
  22. 제 21 항에 있어서,
    상기 단일의 플라즈마 프로세싱 챔버 내의 공통 전극이 에칭 및 박리에 사용되는, 피처들을 에칭하는 방법.
  23. 제 1 항, 제 2 항, 제 19 항 내지 제 22 항 중 어느 한 항에 있어서,
    상기 경화시키는 단계는 적어도 10 분 동안 수행되는, 피처들을 에칭하는 방법.
  24. 제 1 항, 제 2 항, 제 19 항 내지 제 22 항 중 어느 한 항에 있어서,
    상기 경화시키는 단계는 적어도 24 시간 동안 수행되는, 피처들을 에칭하는 방법.
  25. 제 1 항, 제 2 항, 제 19 항 내지 제 24 항 중 어느 한 항에 있어서,
    상기 탄화플루오르 층은 수소, 질소, 또는 황 성분을 포함하는, 피처들을 에칭하는 방법.
  26. 제 1 항, 제 2 항, 제 19 항 내지 제 25 항 중 어느 한 항에 있어서,
    상기 탄화플루오르 증착을 제공하기 위해 C4F8 또는 C4F6 중 적어도 하나가 사용되는, 피처들을 에칭하는 방법.
  27. 제 1 항, 제 2 항, 제 19 항 내지 제 26 항 중 어느 한 항에 있어서,
    상기 경화시키는 단계는 진공을 유지하면서 수행되는, 피처들을 에칭하는 방법.
  28. 제 1 항, 제 2 항, 제 19 항 내지 제 27 항 중 어느 한 항에 있어서,
    상기 박리하는 단계는 대다수의 CO2 를 갖는 박리 가스 비율을 이용하는, 피처들을 에칭하는 방법.
KR1020117023645A 2009-04-09 2010-04-05 감소된 손상을 갖는 로우-k 유전체 에칭을 위한 방법 KR20120009443A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16811509P 2009-04-09 2009-04-09
US61/168,115 2009-04-09

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020177011024A Division KR20170048609A (ko) 2009-04-09 2010-04-05 감소된 손상을 갖는 로우-k 유전체 에칭을 위한 방법

Publications (1)

Publication Number Publication Date
KR20120009443A true KR20120009443A (ko) 2012-01-31

Family

ID=42934742

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020177011024A KR20170048609A (ko) 2009-04-09 2010-04-05 감소된 손상을 갖는 로우-k 유전체 에칭을 위한 방법
KR1020117023645A KR20120009443A (ko) 2009-04-09 2010-04-05 감소된 손상을 갖는 로우-k 유전체 에칭을 위한 방법

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020177011024A KR20170048609A (ko) 2009-04-09 2010-04-05 감소된 손상을 갖는 로우-k 유전체 에칭을 위한 방법

Country Status (6)

Country Link
US (1) US8236188B2 (ko)
KR (2) KR20170048609A (ko)
CN (1) CN102365718B (ko)
SG (1) SG174500A1 (ko)
TW (1) TWI489549B (ko)
WO (1) WO2010117964A2 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2014011382A1 (en) * 2012-07-10 2014-01-16 Applied Materials, Inc. Method of patterning a low-k dielectric film

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8283255B2 (en) * 2007-05-24 2012-10-09 Lam Research Corporation In-situ photoresist strip during plasma etching of active hard mask

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6340435B1 (en) * 1998-02-11 2002-01-22 Applied Materials, Inc. Integrated low K dielectrics and etch stops
TW420848B (en) * 1999-04-01 2001-02-01 Shr Min Method of producing dual damascene structure
US6569774B1 (en) * 2000-08-31 2003-05-27 Micron Technology, Inc. Method to eliminate striations and surface roughness caused by dry etch
TW471132B (en) * 2001-02-05 2002-01-01 United Microelectronics Corp Manufacturing method for dual damascene structure
JP2002270586A (ja) * 2001-03-08 2002-09-20 Tokyo Electron Ltd 有機系絶縁膜のエッチング方法およびデュアルダマシンプロセス
US6897154B2 (en) * 2002-06-14 2005-05-24 Applied Materials Inc Selective etching of low-k dielectrics
US7256134B2 (en) * 2003-08-01 2007-08-14 Applied Materials, Inc. Selective etching of carbon-doped low-k dielectrics
US7226852B1 (en) * 2004-06-10 2007-06-05 Lam Research Corporation Preventing damage to low-k materials during resist stripping
US7314828B2 (en) * 2005-07-19 2008-01-01 Taiwan Semiconductor Manufacturing Company, Ltd. Repairing method for low-k dielectric materials
US7273815B2 (en) * 2005-08-18 2007-09-25 Lam Research Corporation Etch features with reduced line edge roughness
KR20090012443A (ko) * 2007-07-30 2009-02-04 주식회사 하이닉스반도체 반도체 소자의 제조방법

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2014011382A1 (en) * 2012-07-10 2014-01-16 Applied Materials, Inc. Method of patterning a low-k dielectric film
US8802572B2 (en) 2012-07-10 2014-08-12 Applied Materials, Inc. Method of patterning a low-k dielectric film

Also Published As

Publication number Publication date
CN102365718B (zh) 2015-03-18
US8236188B2 (en) 2012-08-07
US20100261352A1 (en) 2010-10-14
SG174500A1 (en) 2011-10-28
TW201104751A (en) 2011-02-01
WO2010117964A3 (en) 2011-01-13
TWI489549B (zh) 2015-06-21
WO2010117964A2 (en) 2010-10-14
CN102365718A (zh) 2012-02-29
KR20170048609A (ko) 2017-05-08

Similar Documents

Publication Publication Date Title
JP5085997B2 (ja) プラズマエッチング性能強化方法及び装置
US7081407B2 (en) Method of preventing damage to porous low-k materials during resist stripping
US9330926B2 (en) Fabrication of a silicon structure and deep silicon etch with profile control
KR101029947B1 (ko) 플라즈마 에칭 성능 강화를 위한 방법
US7772122B2 (en) Sidewall forming processes
US7981699B2 (en) Method for tunably repairing low-k dielectric damage
US8815745B2 (en) Reducing damage to low-K materials during photoresist stripping
US7682516B2 (en) Vertical profile fixing
US20110097904A1 (en) Method for repairing low-k dielectric damage
WO2006020344A1 (en) Method for stripping photoresist from etched wafer
KR101723685B1 (ko) 저유전율 유전체 손상이 감소된 박리
US20070181530A1 (en) Reducing line edge roughness
US8236188B2 (en) Method for low-K dielectric etch with reduced damage
US7902073B2 (en) Glue layer for hydrofluorocarbon etch

Legal Events

Date Code Title Description
A201 Request for examination
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
AMND Amendment
A107 Divisional application of patent