CN102027572A - 使用共形等离子体增强化学气相沉积(pecvd)膜来缩减关键尺寸的方法 - Google Patents

使用共形等离子体增强化学气相沉积(pecvd)膜来缩减关键尺寸的方法 Download PDF

Info

Publication number
CN102027572A
CN102027572A CN2009801183331A CN200980118333A CN102027572A CN 102027572 A CN102027572 A CN 102027572A CN 2009801183331 A CN2009801183331 A CN 2009801183331A CN 200980118333 A CN200980118333 A CN 200980118333A CN 102027572 A CN102027572 A CN 102027572A
Authority
CN
China
Prior art keywords
layer
substrate
conforma
pattern
recess
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN2009801183331A
Other languages
English (en)
Inventor
夏立群
M·巴尔塞努
谢美晔
S·李
Z·崔
M·B·奈克
M·D·阿玛柯斯特
W·H·麦克肯克尔
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN102027572A publication Critical patent/CN102027572A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3141Deposition using atomic layer deposition techniques [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Formation Of Insulating Films (AREA)
  • Drying Of Semiconductors (AREA)
  • Weting (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

在此提出用于在基板中形成狭窄通孔的方法和设备。利用传统微影技术将图案凹部蚀入基板内。薄共形层形成在基板表面上,包括图案凹部的侧壁和底部上。共形层厚度缩减了图案凹部的有效宽度。利用非等向性蚀刻移除图案凹部底部的共形层而露出下面的基板。接着使用覆盖住图案凹部侧壁的共形层做为罩幕来蚀刻基板。然后使用湿蚀刻剂移除共形层。

Description

使用共形等离子体增强化学气相沉积(PECVD)膜来缩减关键尺寸的方法
技术领域
本发明实施例是有关半导体制造方法。更具体而言,本发明实施例是关于缩减半导体组件的关键尺寸的方法。
背景技术
半导体产业已遵循摩耳定律(Moore’s Law)超过半个世纪,摩耳定律是指集成电路上的晶体管密度约每两年会增加一倍。半导体产业依此规则持续发展,将需要在基板上图案化更小的特征结构。目前生产的堆栈晶体管尺寸为50至100纳米(nm)。现已能生产尺寸为45nm的组件,并致力于设计尺寸为20nm或更小的组件。
随着组件缩减成如此小的尺寸,现有的微影工艺面临难以制造出所需关键尺寸(critical dimension,CD)图案的困境。用于制造100nm或更宽通孔的图案化工具通常不能制造更小的通孔。
为了避免重新设计现有微影工具,需要缩减蚀入基板的通孔关键尺寸的方法。
发明内容
本发明实施例提出缩减凹部的关键尺寸的方法,凹部形成在具有场区的基板中并且具有侧壁和底部,方法包括涂覆共形层至场区、侧壁和底部上;利用方向性蚀刻工艺移除在底部处的共形层而露出基板;蚀刻在底部露出的基板;以及利用湿蚀刻工艺移除共形层。共形层具有良好的阶梯覆盖,并可利用任何用来沉积共形层的手段来沉积,且共形层对于用来蚀刻在共形层底下各膜层的蚀刻剂具高选择性。
其它实施例提出在基板的场区中形成通孔的方法,包含图案化形成在基板表面上的膜层,以形成具有侧壁和底部的凹部;经由涂覆共形膜至该膜层上而缩减凹部的宽度;移除在凹部底部的共形膜而露出部分基板,以形成关键尺寸缩减区域;以及蚀刻关键尺寸缩减区域而形成通孔。
其它实施例提出图案化一形成在基板上的介电层的方法,包含形成图案转移层至介电层上;借着涂覆光阻、图案化该光阻及将图案蚀刻入图案转移层中来图案化该图案转移层,以形成具底部的凹部;沉积第一共形层至图案转移层上;移除在凹部底部的第一共形层而露出介电层;蚀刻介电层的露出部分而形成狭窄凹部;移除图案转移层和共形层;沉积第二共形层至基板上;以及移除在该狭窄凹部的底部处的第二共形层。一些实施例提出在图案形成期间加倍缩减关键尺寸(CD)的方法。
附图说明
为更详细了解本发明的上述特征,可参阅数个实施例对本发明做更具体说明,部分实施例绘示于附图中。须注意的是,所附图式揭露的仅是本发明的代表性实施例,但其并非用以限定本发明的精神与范围,本发明可能具有其它等效实施例。
图1A为根据本发明一实施例的工艺流程图。
图1B-1F为图1A工艺的不同阶段的基板示意图。
图2A为根据本发明另一实施例的工艺流程图。
图2B-2H为图2A工艺的不同阶段的基板示意图。
图3A为根据本发明又一实施例的工艺流程图。
图3B-3D为图3A工艺的不同阶段的基板示意图。
图4A为根据本发明再一实施例的工艺流程图。
图4B-4G为图4A工艺的不同阶段的基板示意图。
图5A为根据本发明另一实施例的工艺流程图。
图5B-5H为图5A工艺的不同阶段的基板示意图。
为助于了解,尽可能地使用相同的组件符号代表各图中相同的组件。应理解某一实施例揭露的组件当可有利地应用于其它实施例中,在此不另外详述。
具体实施方式
本发明大体上是关于处理基板的方法。本发明的实施例提出在基板内形成凹部或通孔的方法,其中凹部或通孔的关键尺寸比利用传统微影工艺所得到的关键尺寸要小。
图1A为根据本发明一实施例的方法100的流程图。图1B-1F为基板150于方法100的不同阶段的示意图。将基板放到处理腔室内,例如在基板中形成有一凹部的基板150。图1B绘示基板150具有待蚀刻的特征结构层152和形成在位于特征结构层152上的图案转移层154中的凹部或开口156。特征结构层152可为任何期望蚀刻种类的介电或半导体层。图案转移层154可为硬罩幕层(hard mask layer)、抗反射层、介电层或上述膜层的任意组合。凹部156具有侧壁和露出特征结构层152的底部,并可做为后续图案化阶段的蚀刻图案。
在方法100的步骤102中,涂覆一共形层至基板表面。图1C绘示共形层158覆盖住图案转移层154的场区和凹部156的侧壁与底部。共形层158较佳是由对用来蚀刻特征结构层152的任何蚀刻剂具有低蚀刻速度的材料组成。例如,在特征结构层152是将要使用氟化学剂来蚀刻的氧化物层的实施例中,共形层158可为含氮层,例如氮化物层。在一些实施例中,共形层158为氮化硅层、氮化硼层、氮化硼硅层、掺杂硅的氮化硼层或掺杂硼的氮化硅层。此外,共形层158较佳能轻易地从基板上移除,例如利用灰化或湿蚀刻。
在一些实施例中,共形层是将于后续处理阶段中移除的牺牲层。如下所述,在其它实施例中,共形层可能是将会变成部分结构并且贡献其最终性质的介电层。在一些实施例中,共形层为密封层(hemetic layer.)。在其它实施例中,共形层为阻障层或抗反射层。共形层的阶梯覆盖较佳介于约80%至约120%之间。
如下所述,在步骤102中,共形层158将当作蚀刻罩幕,且共形层158的厚度将界定蚀入特征结构层152中的图案关键尺寸。例如,凹部156宽度为500埃
Figure BPA00001257685000031
时,宽度
Figure BPA00001257685000032
的共形层158将会使凹部156的宽度缩减成
Figure BPA00001257685000033
使得后续蚀刻程序将于特征结构层152内产生宽度
Figure BPA00001257685000034
的图案。此工艺有助于形成关键尺寸比使用特殊微影设备所能形成的关键尺寸还要小的图案。
可使用任何已知用于沉积共形层至基板上的方法来沉积共形层(如共形层158)。此类方法的例子包括化学气相沉积(CVD)、等离子体增强CVD(PECVD)、原子层外延(ALE)、原子层沉积(ALD)和等离子体增强ALD(PEALD),但不以此为限。氮化硅共形层可使用ALD或PEALD工艺来沉积,其中前体可为脉冲供应到含基板的反应器中的任何硅烷寡聚物(例如甲硅烷或二硅烷)、低级烷基硅烷(如甲基或二甲基硅烷)或低级烷氧硅烷、硅醇(silanol)或硅氮烷(silazane),且交替流入含氮化合物,例如氮气(N2)、氨气(NH3)、一氧化二氮(N2O,又名氧化亚氮或笑气)或联氨(N2H2)。载气常用来协助提供前体及净化反应器。在适当条件下,前体与基板表面反应形成沉积产物层,沉积产物层会均匀地成长在整个基板表面。可依需求重复进行工艺以达期望厚度。同样地,可利用ALD或PEALD工艺使用硼烷寡聚物(如甲硼烷或二硼烷)且交替流入含氮前体(例如N2、NH3、N2O或N2H2)来制作氮化硼层。可使用硼前体与硅前体的混合气体按照近似预期掺杂量的比例来达成掺杂。
在方法100的步骤104中,蚀刻掉覆盖在凹部底部处的共形层部分而露出底下的部分特征结构层152。图1D绘示已移除位在凹部156底部160处的共形层158的基板。可利用选择性蚀刻工艺移除在凹部156的底部160上的共形层158,在一些实施例中,选择性蚀刻工艺为方向性或非等向性(anisotropic)蚀刻工艺,用以只蚀刻基板水平表面的材料。此工艺较佳使用等离子体蚀刻剂及施加电偏压至基板来促使等离子体中的离子加速朝向基板表面。在此工艺中,加速的离子在转向侧壁之前,通常会更深入凹部156,导致大多数的反应物种撞击凹部156的底部160。同时,此工艺还可实质移除在图案转移层154的场区处的共形层158。用于本发明实施例的选择性蚀刻工艺一范例为使用氟与氧离子的反应离子蚀刻。也可实行其它蚀刻方法,例如非反应性离子蚀刻。
露出共形层158底下的部分特征结构层152后,在步骤106中,蚀刻该特征结构层152。图1E绘示处于方法100的此阶段中的基板。仍保留在凹部156侧壁上的部分共形层158缩减了凹部156宽度,并且该部分特征结构层152暴露至蚀刻剂。若共形层158是由对于用来蚀刻特征结构层152的蚀刻剂具有高蚀刻选择性的材料所组成,则在步骤106中,将缓慢或不蚀刻共形层158,而留下蚀入特征结构层152内且关键尺寸(CD)被缩减的通孔162。可利用任何已知用于蚀刻特征结构层152的材料的方法来蚀刻特征结构层152,但较佳是使用不会蚀刻共形层158的工艺。同时亦部分蚀去图案转移层154而留下厚度缩减层154。方向性蚀刻(例如在偏压下使用反应性或非反应性离子的蚀刻方法)有利于在蚀刻介电层152时保留共形层158的留存部分。
在步骤108中,如图1F所示,移除共形层158而留下具有CD缩减通孔的基板供后续处理。一般亦利用蚀刻或氧化手段来移除图案转移层154。CD缩减通孔162比传统微影技术所制得的通孔更窄。
本发明的其它实施例提出于基板的场区中形成通孔的方法。图2A为根据本发明一实施例的方法200的流程图。将待蚀刻的基板放到处理腔室内。图2B为将依据方法200进行处理的基板250示意图。示范的基板(例如基板250)具有底层252、堆栈结构254、保护层256和绝缘或介电层258。
在步骤202中,将图案转移层涂覆至基板。图案转移层将当作后续蚀刻程序的蚀刻罩幕。图案转移层可为介电层、抗反射层或阻障层,并可具备一种以上的这类性质。包含sp3(钻石状)、sp2(石墨状)与sp1(热解碳状)混成的碳原子混合物的无定形碳层可做为图案转移层,其是利用碳氢化合物前体进行CVD工艺而得。无定形碳层的其中一范例为PRODUCERSE和GT PECVD平台制造的APFAdvanced Patterning FilmTM,PRODUCER
Figure BPA00001257685000053
SE和GT PECVD平台可购自美国加州圣克拉拉的应用材料公司(Applied Materials,Inc.)。待蚀刻的基板一般放在处理腔室内来形成图案转移层。基板可置于基板支撑件上,基板支撑件当作用于产生电容耦合等离子体的电极,并控制基板温度。在另一实施例中,基板支撑件用来施加电偏压至基板,以进行等离子体的方向性沉积。经由配置除了基板支撑件以外的电极,例如侧边极板、喷头电极、扩散板等,也可在处理腔室内产生电容耦合等离子体。腔室侧壁可做为等离子体产生电极。在又一实施例中,可透过设在腔室顶部且安装有感应线圈的回流管(re-entrant tube)来诱导耦合产生等离子体。最后,在一些实施例中,可远程产生等离子体并且供给至腔室。用于形成图案转移层的示范等离子体腔室细节可参见美国专利5,855,681和6,495,233。
无定形碳为一示范的图案转移层。为区别一般用于建立图案的「软」光阻,无定形碳又称为「硬罩幕」,此将进一步说明于后,经由提供碳源至内部放置有基板的处理腔室,可形成无定形碳图案转移层。在一些实施例中,碳源为丙烯或乙炔(acetylene),但较佳为具有适合蒸气压和游离能(ionization potential)而可轻易活化的前体。通常施加RF功率以将碳前体离子化成反应性等离子体。在一些实施例中,电压施加至基板,使反应性离子加速朝向基板表面,进而促进沉积于基板表面上。
在步骤204中,形成光阻层至图案转移层上。光阻一般为对某一种电磁辐射波长敏感的聚合物材料,并可以旋涂工艺或CVD工艺涂覆而得。在一些实施例中,光阻为对于紫外光敏感的碳基聚合物,例如酚醛树脂(phenolic resin)、环氧树脂或偶氮环烷树脂(azo napthenic resin)。光阻层可为正型或负型光阻。较佳的正型光阻选自于由248纳米(nm)光阻、193nm光阻、157nm光阻和具有重氮萘酚醌(diazonapthoquinone)感光剂的酚醛树脂基质组成的群组中。较佳的负型光阻选自于由聚顺异戊二烯(poly-cis-isoprene)和聚乙烯醇肉桂酸酯(poly-vinylcinnamate)组成的群组中。在一些实施例中,光阻层更包含底部抗反射涂层(BARC层),BARC层和光阻层可利用旋涂工艺沉积而得。
在步骤204中,图案化光阻层及显影图案。图2C绘示处于此工艺阶段中的基板250。图案转移层260已形成在介电层258上。光阻层262位于图案转移层260上,并具有图案开口264而露出底下的图案转移层260。
在图2B-2H的实施例中,蚀刻光阻而得的图案呈现多个开口264。开口264最终用来形成组件254的栅极堆栈结构和源极与汲极接合面的接触通孔。使用CD缩减图案来形成接触通孔有利于减少接触点之间的电容互相作用或交叉干扰。缩减通孔的CD可加大彼此之间的距离,进而减少通孔内的接触点发生电容耦合。
在步骤208中,将图案转移到图案转移层中。可利用任何适合的工艺将图案蚀入图案转移层中。在图案转移层为无定形碳层的示范性实施例中,利用包含氧气(O2)与氮气(N2)组合物、或含甲烷(CH4)、氮气(N2)与氧气(O2)组合物的等离子体蚀刻工艺来蚀刻该图案。图2D绘示处于方法200的此阶段中的基板250。图案转移层260已蚀刻而形成有开口或凹部266。写入光阻层262中的图案开口264的宽度决定了开口266宽度。在此阶段中亦已移除光阻层。在一些实施例中,光阻和图案转移层主要为碳原子,故可使用实质相同的蚀刻化学剂来移除光阻和转移该蚀刻图案。
在步骤210中,共形层形成在基板上。图2E绘示处于此工艺阶段中的基板250。共形层268位于基板上而形成宽度缩减的凹部270。共形膜可使用任何适用于形成共形膜的工艺来形成。共形膜均匀地缩减了开口266的宽度。共形膜的阶梯覆盖较佳为介于约80%至约120%,并且是由对于用来蚀刻下方介电层258的蚀刻剂具有低蚀刻速度的材料所组成。在介电层258为氧化物层的示范实施例中,例如多孔碳氧化硅低k或超低k介电层,共形膜可为含氮膜。氮化硅、氮化硼和氮化硼硅为适合此方法的示范膜层。沉积共形膜的工艺例如为原子层外延(ALE)、原子层沉积(ALD)和化学气相沉积(CVD)。这些工艺可用等离子体增强。
一般来说,氮化硅沉积层或膜的实验式或化学式为SiNx。完全氮化的氮化硅化学式为Si3N4,此时N∶Si原子比值为约1.33。氮化程度较低的氮化硅材料的N∶Si比值可低至约0.7。因此,氮化硅材料的N∶Si比值为约0.7至约1.33,较佳约0.8至约1.3。除了硅和氮外,氮化硅材料还可含有其它元素,例如氢、碳、氧及/或硼。在一些实施例中,氮化硅材料的氢浓度为约8重量%(wt%)或更高。氮化硅材料的碳浓度为约3原子%(at%)至约5at%。氮化硅材料包括氮化硅(SiNx)、氮氧化硅(SiOxNy)、氮化碳硅(SiCxNy)和氮氧化碳硅(SiCxOyNz)。经由控制工艺条件可改变氮化硅材料的化学计量和组成。
也可形成化学计量比约1∶1的氮化硼膜。可使用本文中所述的工艺来形成含有BxNy组成的膜层,其中x∶y比值为介于约0.9至约1.1。经由控制工艺条件可调整氮化硼膜的组成。
某些膜含有硅、硼和氮。在一些实施例中,形成掺杂硼的氮化硅膜。在其它实施例中,形成掺杂硅的氮化硼膜。在又一实施例中,形成硅、硼与氮化学计量比相近(即1∶1∶1)的氮化硼硅膜。在其它实施例中,任一上述膜亦可掺杂或含有氢、碳、卤素(如氯或氟)、氧或其它掺质。
在ALE或ALD工艺中,依序提供数种化学前体至处理腔室,并于各步骤之间净化腔室。在用于沉积氮化硼共形层的示范工艺中,提供硼前体至处理腔室,例如硼烷(BH3)、其它硼烷寡聚物(如二硼烷(B2H6))、氮硼苯(borazine,B3N3H6)、烷基氮硼苯、三甲基硼(B(CH3)3)或三氯化硼(BCl3)。载气有助于脉冲输入前体至处理腔室。载气可为非反应性气体,例如氦气(He)、氩气(Ar)、氮气(N2)或氙气(Xe)。载气可持续流动,前体则脉冲供应至载气气流中;或者载气可间歇流动并脉冲输入前体。硼前体沉积后,利用净化气体脉冲或连续流动非反应性载气来净化腔室。第二含氮前体,例如氮气(N2)、氨气(NH3)、一氧化二氮(N2O)或联氨(N2H2)接着脉冲供应至腔室以进行反应。氮步骤之后跟着净化步骤。反复进行此循环,直到沉积膜达预定厚度为止。沉积氮化硅膜时,使用硅前体来代替硼前体,例如低级硅烷、硅氧烷、硅醇或硅氮烷、或上述硅前体的烷基、苯基与氨基衍生物。甲硅烷(SiH4)和甲基硅烷(MeSiH3)为例子。此外,也可采用环状衍生物(例如经取代的环硅氧烷与环硅氮烷)和卤素衍生物。在一些实施例中,共形层可额外掺杂选自于由碳(C)、氟(F)、氮(N)、氧(O)、硅(Si)、氯(Cl)和氢(H)所组成的群组中的原子。
在一些实施例中,使用超过两种的前体。以沉积氮化硼硅共形层为例,可提供例如上列的含硅前体至处理腔室以沉积含硅物种。进行净化步骤后,提供上述硼前体以将硼加入该层中,接着提供上述氮前体以将氮加入该层中。可依需求反复进行此三阶段式的循环,而建构出具有预定化学组成和厚度的共形层。
在用于沉积如本文所述共形膜的ALD工艺中,开始进行ALD工艺之前,基板先经过预洗工艺和表面预理处理。这些初步处理移除了基板上表面上的任何原生氧化物,并使表面具有设计用来促进ALD工艺的官能基末端。附接或形成于基板表面上的官能基包括羟基(OH)、烷氧基(OR,其中R=Me、Et、Pr或Bu)、卤氧基(OX,其中X=F、Cl、Br或I)、卤素(F、Cl、Br或I)、氧自由基,和酰胺基(NR或NR2,其中R=H、Me、Et、Pr或Bu)。预洗工艺可使基板接触一试剂,例如氨气(NH3)、二硼烷(B2H6)、甲硅烷(SiH4)、二硅烷(Si2H6)、水(H2O)、氢氟酸(HF)、盐酸(HCl)、氧气(O2)、臭氧(O3)、过氧化氢(H2O2)、氢气(H2)、H原子、N原子、O原子、醇、胺或上述试剂的等离子体、衍生物或组合物。官能基提供基底而让导入的化学前体连接至基板上表面。在一些实施例中,预洗工艺使基板上表面接触试剂的时间为约1秒至约2分钟。在一些实施例中,接触时间为约5秒至约60秒。预洗工艺还可包括使基板表面接触RCA溶液(SC1/SC2)、HF最终液、过氧化物溶液、酸性溶液、碱性溶液或上述物质的等离子体、衍生物或组合物。在一些实施例中,基板浸泡在氢氟酸浴中约2-15分钟。在一实施例中,基板浸泡在2%的氢氟酸浴中约2分钟。在一些实施例中,预洗工艺可在批式清洁系统或单一基板清洁系统中完成。单一基板清洁系统的其中一范例为OASIS CLEAN系统,其可购自美国加州圣克拉拉的应用材料公司。
在一些实施例中,利用湿式清洁工艺清洁基板表面,湿式清洁工艺可由得自应用材料公司的MARINERTM湿式清洁系统或TEMPEST
Figure BPA00001257685000082
湿式清洁系统执行。或者,基板可接触出自WVG系统的水蒸气约15秒。
施加RF功率来产生等离子体可促进ALE或ALD工艺。RF功率可在整个脉冲输入及净化步骤过程中连续施加或选择性施加。一般最好为诱导耦合(inductively coupled)或弱电容耦合等离子体,以免造成高方向性沉积。
在用于沉积氮化硼膜的热CVD工艺中,提供硼前体和氮前体至处理腔室,其流速分别为约每分钟5标准立方厘米(sccm)至约每分钟50标准升(slm),例如约10sccm至约1slm。在一实施例中,还可提供非反应性气体(如载气),其流速为介于约5sccm至约50slm之间,例如约10sccm至约1slm。腔室压力维持呈约10毫托耳至约760托耳,例如约2托耳至约20托耳,基板温度为介于约100℃至约1000℃,例如介于约300℃至约500℃。
在用于沉积氮化硼膜的PECVD工艺中,施加RF功率来活化前体。RF功率可以介于约2瓦(W)至约5000W(例如约30W至约1000W)的功率大小与约100kHz至约1MHz(如约300kHz至约400kHz)的单一低频来提供,或可以约2W至约5000W(如约30W至约1000W)的功率大小与大于约1MHz(如大于约1MHz至约60MHz,例如13.6MHz)的单一高频提供。或者,可使用混合频率来提供RF功率,包括以介于约100kHz至约1MHz(如约300kHz至约400kHz)的第一频率与约2W至约5000W(如约30W至约1000W)的功率大小,以及大于约1MHz(如大于约1MHz至约60MHz,例如13.6MHz)的第二频率与约2W至约5000W(如约30W至约1000W)的功率大小来提供。
在另一实施例中,含硼前体和含氮前体为同时引入,含硅前体亦可伴随含硼前体和含氮前体引入腔室而形成SiBN层。沉积SiBN层的范例处理条件包括引进流速60sccm的甲硅烷(SiH4)、流速600sccm的氨气(NH3)、流速1000sccm的氮气(N2)、流速100-1000sccm的二硼烷(B2H6),并以100W RF功率、13.6MHz产生等离子体,同时将腔室条件维持成腔室压力为6托耳、间距为480密尔。视情况而定,SiBN层可在400℃下使用紫外线(UV)固化10分钟。
在用于沉积氮化硼层的ALD工艺中,使用约4∶1至约6∶1(如约5∶1)的二硼烷和氮气做为前体,并以每循环
Figure BPA00001257685000091
的沉积速度来沉积氮化硼层。例如,在腔室压力6托耳、间距480密尔下,提供400sccm的二硼烷和2000sccm的氮气,历时5秒/循环,以及利用等离子体工艺处理所产生的膜层而将氮并入膜层内而形成氮化硼层,其中等离子体工艺包含使用100sccm的氨气和2000sccm的氮气,以300W的RF功率、13.6MHz的频率施行10秒/循环。
根据不同工艺可共形沉积含硅与氮层。在一些工艺中,基板表面被暴露至硅前体和无氨反应物。硅前体包括烷氨基硅烷,例如双叔丁基氨基硅烷(bis(tertiaryamino)silane,BTBAS),无氨反应物则例如氢、硅烷、硼烷、锗烷、烷烃、胺或联氨等化合物。其可在热CVD工艺、脉冲式CVD工艺或ALD工艺中暴露至反应物,并活化成等离子体。
在一工艺中,硅前体和反应物相继脉冲输入至内含基板的处理腔室中,以完成ALD工艺。硅前体供给至处理腔室的流速为约1sccm至约300sccm,较佳约10sccm至约100sccm。例如,BTBAS的流速为约13sccm至约130sccm,根据BTBAS分压和露出表面积,此相当于约0.1克/分钟至约1.0克/分钟的流率。反应物供给至处理腔室的流速为约100sccm至约3000sccm或更高,较佳大于约500sccm,例如约500sccm至约3000sccm,更佳约1000sccm至约2000sccm。硅前体、反应物或净化气体的脉冲持续时间各自独立为约0.05秒至约10秒,较佳约0.1秒至约1秒,例如约0.5秒。脉冲之后通常会有一段延迟时间,让脉冲的前体黏附于基板,并且在延迟时间之后,净化气体(如氮气或氩气)可持续流过或脉冲地流过该反应区。
用于形成共形氮化硅层的硅前体一般含有氮,例如氨基硅烷。可做为硅前体的具体氨基硅烷为具有化学式(RR’N)4-nSiHn的烷氨基硅烷,其中R、R’个别为氢基、甲基、乙基、丙基、丁基、戊基或芳基,且n=0、1、2或3。在一实施例中,R为氢基,R’为烷基(如甲基、乙基、丙基、丁基或戊基),例如R’为丁基(例如,叔丁基),且n=2。在另一实施例中,R、R’个别为烷基,例如甲基、乙基、丙基、丁基、戊基或芳基。可用于本文中所述沉积工艺的硅前体包括(tBu(H)N)3SiH、(tBu(H)N)2SiH2、(tBu(H)N)SiH3、(iPr(H)N)3SiH、(iPr(H)N)2SiH2、(iPr(H)N)SiH3、和其衍生物。较佳地,硅前体为双叔丁基氨基硅烷((tBu(H)N)2SiH2或BTBAS)。在其它实施例中,硅前体为具有化学式(RR’N)4-nSiR”的烷氨基硅烷,其中R、R’个别为氢基、甲基、乙基、丙基、丁基、戊基或芳基,R”个别为氢基、烷基(如甲基、乙基、丙基、丁基或戊基)、芳基或卤素(如F、Cl、Br或I),且n=0、1、2或3。
在单一晶圆处理腔室中使用BTBAS做为硅前体以形成共形含硅与氮层的工艺中,BTBAS与反应物的比值一般至少为约10,较佳介于约10至约100之间,例如介于约30至约50之间。用于批式处理腔室的比值可能较低。基板温度维持在约500℃至约800℃之间,腔室压力维持在约10毫托耳至约760托耳之间,例如约250托耳。在另一实施例中,硅前体和反应物相继脉冲输入至腔室中,以完成ALD工艺。
在一些实施例中,于预处理期间以出自UV源的能量束来曝照基板,并于沉积工艺期间使基板接触含氨基硅烷的沉积气体与能量束,有助于沉积含硅与氮的共形层。能量束可由准分子激光产生,例如氙(Xe)准分子激光。Xe准分子激光其中一范例为XERADEX
Figure BPA00001257685000111
20,其可购自位于美国麻萨诸塞州Danvers的Osram Sylvania。
预处理工艺中利用能量束曝照基板,以移除基板表面的原生氧化物。在沉积氮化硅材料之前,使用直接光激发系统产生的能量束预处理基板,以移除基板表面的原生氧化物。预处理时,使处理气体接触基板。处理气体包含氩气、氮气、氦气、氢气、组成气体(forming gas)、或其组合物。预处理工艺可持续进行约2分钟至约10分钟,以助于在光激发过程移除原生氧化物。又,光激发时,基板可加热至约100℃至约800℃之间的温度,较佳约200℃至约600℃,更佳约300℃至约500℃,以利于在工艺100中移除原生氧化物。能量束可为具约2电子伏特(eV)至约10eV的光子能的光子射束,且可产生波长约126nm至约351nm的UV辐射。
在一些实施例中,光激发过程提供能量输送气体。能量输送气体可为氖气、氩气、氪气、氙气、溴化氩、氯化氩、溴化氪、氯化氪、氟化氪、氟化氙(如XeF2)、氯化氙、溴化氙、氟、氯、溴或上述气体的准分子、自由基、衍生物或组合物。在一些实施例中,除了至少一种能量输送气体的外,处理气体还包含氮气(N2)、氢气(H2)、组成气体(如N2/H2或Ar/H2)。在其它实施例中,处理气体包含环状芳香性碳氢化合物。可用于预处理工艺的单环芳香性碳氢化合物和多环芳香性碳氢化合物包括醌(quinone)、羟基醌(氢醌)、葱(anthracene)、萘(naphthalene)、菲(phenanthracene)、其衍生物或其组合物。在另一实施例中,基板接触含其它碳氢化合物的处理气体,例如不饱和碳氢化合物,包括乙烯、乙炔、丙烯及其烷基衍生物、卤化衍生物或组合物。在又一实施例中,预处理期间,有机蒸气含有烷烃化合物。
可利用UV辅助化学气相沉积并在低温下以够快的沉积速度制造氮化硅材料的硅前体包括具有一或多个Si-N键或Si-Cl键的化合物,例如双叔丁基氨基硅烷(BTBAS或(tBu(H)N)2SiH2)或六氯二硅烷(HCD或Si2Cl6)。具有较佳键结构的硅前体化学式为:R2NSi(R’2)Si(R’2)NR2(氨基二硅烷)、(I)R3SiN3(迭氮硅烷,silylazides)或(II)R’3SiNRNR2(联氨基硅烷,silylhydrazines),(III)R和R’为一或多个官能基,其个别选自于由卤素、具一或多个双键的有机基团、具一或多个三键的有机基团、脂肪族烷基、环烷基、芳基、有机硅烷基、烷氨基、或含N或Si的环状基、或其组合物所组成的群组中。硅前体上适合的官能基例子包括氯基(-Cl)、甲基(-CH3)、乙基(-CH2CH3)、异丙基(-CH(CH3)2)、叔丁基(-C(CH3)3)、三甲基硅烷基(-Si(CH3)3)、吡咯烷基(pyrrolidine)、或其组合物。成信所述许多硅前体或氮前体可在低温下分解或解离,例如约550℃或更低。
其它适合UV激发沉积工艺的硅前体例子包括迭氮硅烷(R3SiN3)和联氨基硅烷(R3SiNRNR2)类型、具任何R基组合的直链与环状前体。R基可为H或任何有机官能基,例如甲基、乙基、丙基、丁基等(CxHy)。连接在Si上的R基或可为其它氨基(NH2或NR2)。使用硅-氮前体的好处在于可同时送入硅和氮且避免氯存在,故相较于传统Si-N前体,所生成的膜层具良好阶梯覆盖与最少图案相依性(所谓图案负载效应),又不会有形成不想要的氯化铵微粒的问题。迭氮硅烷化合物的具体范例包括三甲基迭氮硅烷((CH3)3SiN3;可购自位于美国宾州Bristol的United Chemical Technologies公司)和三(二甲胺)迭氮硅烷(((CH3)2N)3SiN3)。联氨基硅烷化合物的具体范例为1,1-二甲基-2-二甲基联氨基硅烷((CH3)2HSiNHN(CH3)2)。在另一实施例中,硅-氮前体为(R3Si)3N、(R3Si)2NN(SiR3)2和(R3Si)NN(SiR3)的至少其中一种,其中R个别为氢基或烷基(如甲基、乙基、丙基、丁基、苯基)、或其组合物。适合的硅-氮前体例子包括三硅胺((H3Si)3N)、(H3Si)2NN(SiH3)2、(H3Si)NN(SiH3)、或其衍生物。
共形层268(亦可称为共形膜)因其膜厚而缩减了开口266的宽度。因此可由期望缩减的宽度来决定共形层268的厚度。例如,开口266宽度为
Figure BPA00001257685000121
时,形成厚度
Figure BPA00001257685000122
的共形层可使凹部宽度缩减成
Figure BPA00001257685000123
此种在宽度上的缩减可制造出比目前微影工具所能制得结构更小的特征结构。
继续进行图2A的方法200,在步骤212中,移除一部分的共形层。共形层可利用蚀刻工艺移除,较佳是进行非等向性蚀刻,以免蚀刻掉位在宽度缩减凹部侧壁上的膜。非等向性蚀刻工艺的其中一范例为反应性离子蚀刻。蚀刻剂供给至处理腔室,处理腔室可为与形成共形层相同的腔室、或为不同腔室。施加RF功率来活化蚀刻剂,进而形成包含反应性离子的气体混合物。电偏压施加至基板,使反应性离子加速朝向基板表面。穿过该宽度缩减凹部的离子在转向侧壁之前将更深入凹部。大多数的离子将撞击凹部270的底部而蚀刻在凹部270底部处的共形层。未穿入凹部270的离子会撞击基板的场区而蚀刻掉在场区上的共形层268。第2F图绘示处于方法200的此阶段中的基板。
就共形层为氮化硅层、氮化硼层或氮化硼硅层的实施例而言,提供含卤素之前体至内含基板的处理腔室中可形成反应性离子。各种碳、硫和氮的卤化物可用来蚀刻这些材料。例子包括四氟化碳(CF4)、六氟化硫(SF6)、三氟化氮(NF3)和三氟甲烷(CHF3)。含氯类似物也可以较慢的速度蚀刻这些层。
在一实施例中,例如提供SF6的蚀刻剂至内含基板的处理腔室中。蚀刻剂供给流速为约20sccm至约1000sccm,例如约100sccm至约500sccm(如约300sccm)。还可提供非反应性载气,例如氦气、氩气、氖气或氙气。基板温度维持为约50℃至约500℃,例如约200℃至约400℃(如约300℃)。腔室压力维持约1毫托耳(mTorr)至约10托耳(Torr),例如约1托耳至约5托耳(如约2托耳)。可以13.56MHz的单一高频或约100kHz至约600kHz(如约400kHz)的单一低频提供约200W至约5000W的RF功率,或可以包括约400kHz的第一频率与约13.56MHz的第二频率的混合频率来提供约200W至约5000W的RF功率。RF功率可经电容或诱导耦合。经由施加功率介于约100W至约1000W之间(例如约500W)的电压至基板支撑件或气体分配板,可施加电偏压至基板。RF功率将SF6分子解离成氟离子(F-),电偏压促使离子加速朝向基板表面。离子加速往场区移动以及进入凹部。穿过凹部的离子通常行进到底部并且蚀刻位在凹部底部处的共形层。
在另一实施例中,利用非反应性离子来蚀刻凹部270的底部。经由施加电压偏压至基板,可将诸如氩气、氦气、氖气或氙气等惰性气体(noble gas)离子化成等离子体,并使之加速朝向基板表面。产生的高能离子接着撞击基板场区和宽度缩减凹部的底部,并因高能撞击而蚀刻掉基板上的共形层。
在步骤214中,利用已知工艺及使用宽度缩减凹部做为蚀刻罩幕来蚀刻底下的介电层258。图2G绘示处于方法200的此阶段中的基板。用来蚀刻介电层258的蚀刻化学剂会缓慢蚀刻或不蚀刻保留的共形层268。共形层268界定出蚀刻开口的宽度。此方法可形成比目前微影工具所能制得结构更小的开口,例如宽度小于50nm。在电偏压下结合反应性或非反应性离子的方向性蚀刻方法可用来蚀刻介电层258,同时不会影响所保留的共形层268。
在步骤216中,移除图案转移层260。可使用任何用来移除具有层260的组成的工艺来达成此步骤。在图案转移层260为含碳层(如无定形碳层)的实施例中,利用氧化作用来移除图案转移层260。较佳的氧化法是使用氧等离子体来攻击该层。此法的优点在于能快速移除碳层。然而,也可实行其它氧化法,例如热氧化法。
移除图案转移层260后,在步骤218中,移除任何残余的共形层268。图2H绘示处于方法200的本阶段中的基板。可使用任何用来移除具有共形层268的组成的工艺来移除共形层268。在共形层268为含硼与氮层的示范实施例中,可方便地使用水溶液来移除共形层268,水溶液可为氧化溶液,例如此技艺领域中已知的硫酸-双氧水混合物(sulfuric peroxide mixture,SPM)。此冲洗本质上并不会蚀刻氧化物介电质。含硅与氮层可由酸性溶液移除,例如氢氟酸或磷酸溶液。
本发明的实施例还提出于基板场区中形成CD缩减通孔的方法。图3A为根据本发明又一实施例的工艺流程图。图3B-3D为图3A方法的不同工艺阶段的基板示意图。在步骤302中,将通孔蚀入基板的一膜层内。此层可为介电层,例如氧化物层或氮化物层。可使用任何已知用来蚀刻基板的通孔的工艺来蚀刻该通孔,实际工艺视待蚀刻膜层的组成而定。图3B显示已经蚀刻的基板350。下层352上配置有介电层354,通孔356则蚀入介电层354内。
在步骤304中,形成共形层于基板上。利用类似上述图1A-2H的工艺,使共形层覆盖住场区、侧壁和通孔底部并且具有为约80%至约120%的阶梯覆盖。上述任一工艺皆可用来沉积共形层。在此实施例中,共形层的组成类似该被蚀刻的介电层的组成。图3A-3D实施例预期让部分共形层残留在完成组件中而做为组件的一部分。故在一些实施例中,共形层的介电常数通常近似介电层的介电常数。
图3C绘示具有共形层358形成其上的基板。共形层358缩减了通孔356的宽度而形成CD缩减通孔360。如图1A-2H所述,通孔356宽度缩减了两倍的共形层358厚度。
在一实施例中,共形层为氧化物层。氧化硅共形层可在使用或不使用等离子体的情况下利用CVD或ALD工艺形成于氧化物介电层上,例如低k的含碳介电层。介电层还可具多孔性。共形氧化物层具有相当小的介电常数和厚度,以维持部分组件结构,又不会不当影响组件的电性。在一些实施例中,共形层可具有更多或更少的氧与硅化学计量比。共形层的氧与硅比值范围为约1.8至约2.2。
在其它实施例中,共形层为含氮层。某些实施例中含有氮是有利的,因硅膜含氮可增强膜层的硬度及提供阻障性质。在一些实施例中,共形层为氮化硅层或氮氧化硅层。另外,在一些实施例中,共形层为完全氮化的氮化硅层、或其氮含量少于化学计量比。例如,用于方法300中的氮化硅共形层的氮与硅比值为约0.7至约1.5。
在步骤306中,移除部份的共形层306,而留下介电层354的露出场区、CD缩减通孔360的露出底部,并留下覆盖在CD缩减通孔360的侧壁上共形层358。利用非等向性蚀刻工艺并依据共形层组成调整,可移除共形层的预定部分。在共形层为氧化物层或氮化物层的实施例中,可如上所述般,在电偏压下施行上述氟离子方向性蚀刻来选择性蚀刻掉覆盖在基板350水平表面上的部分共形层。
本发明的实施例提出于基板场区中形成通孔的另一方法。图4A为根据本发明再一实施例的方法400的流程图。图4B-4G为图4A方法的不同工艺阶段的基板示意图。将具有待蚀刻层的基板放到处理腔室内。在步骤402中,将图案转移层涂覆至基板上表面。图4B绘示基板450具有底层452、蚀刻层454和图案转移层456。图案转移层可由任何对于用来蚀刻该层454的蚀刻化学剂具有抗蚀性的成分组成。如参照图2A-2H所述般,常用的图案转移层为利用PECVD和碳氢化合物前体形成的无定形碳。
在步骤404中,将实质相似于上述光阻的光阻涂覆在基板上,以及在步骤406中,图案化该光阻。图4C绘示处于方法400的本阶段的基板450。已图案化的光阻458覆盖图案转移层456,形成在光阻458中的通孔460则露出底下的图案转移层456。
在步骤408中,将图案转移到图案转移层中,如图4D所示,通孔460延伸入图案转移层456中。以无定形碳图案转移层为例,可利用上述任一工艺来转移图案,例如灰化或氧化蚀刻。
在步骤410中,如图4E所示,接着将图案转移到基板中。使用图案转移层456当作蚀刻罩幕,使通孔460延伸入蚀刻层454内。碳层已由上述工艺移除。
在步骤412中,以实质相似于上述方式来涂覆共形层于基板450上。图4F绘示已涂覆共形层462的基板450。共形层462缩减通孔460宽度而形成CD缩减通孔464。在此实施例中,共形层最好与蚀刻层454兼容,如此在进行间隙填充之前,不需先从通孔460中移除共形层。故共形层可为兼容介电质,例如氧化物或氮化物材料,且可以本文中所述方法沉积而得。
在步骤414中,利用方向性或非等向性蚀刻来移除部分共形层462。图4G绘示所产生的结构,其中在CD缩减通孔464底部处的共形层462已被移除,但仍留下侧壁上的共形层以维持缩减宽度。
在一些实施例中,图案转移层为金属层或金属氮化物层。金属层或金属氮化物层常做为需要极精确对准蚀刻特征结构的镶嵌整合工艺中的蚀刻罩幕。在此实施例中,可利用本文中所述包含氧化物或氮化物的共形层来缩减CD。金属硬罩幕经蚀刻而形成图案,如上所述,形成共形氧化物或氮化物层于其上,以及移除覆盖在图案凹部的底部处的部分,并且完成缩减CD的蚀刻工艺。接着在与移除硬罩幕层相同或不同的阶段中,移除共形层,随后进行间隙填充。
本发明的一些实施例提出图案化基板上的介电质的方法。图5A为根据本发明另一实施例的方法500的流程图。图5B-5H为在图5A方法的不同阶段时的基板示意图。在步骤502中,将待蚀刻的基板放到处理腔室内并且沉积内含图案的图案转移层至基板上。如上所述经由沉积光阻层、图案化及将图案转移到图案转移层,可达成此步骤。图5B绘示处于此工艺阶段中的基板550,其具有底层552、将被蚀刻的介电层554,以及其中形成有图案凹部558的图案转移层556。
在步骤504中,形成共形层于基板上。可以任何本文中所述方法来形成共形层,且其组成类似上述共形层。共形层形成的厚度可加以选择,用以缩减图案凹部558的宽度。图5C绘示基板550具有共形层560形成其上而产生第一CD缩减图案凹部562。
在步骤506中,移除位在CD缩减图案凹部的底部处的共形层。图5D绘示已移除在CD缩减图案凹部562底部处的共形层560后的基板550。如上所述,可使用任何非等向性手段移除共形层,例如在偏压下进行反应或非反应性离子蚀刻而露出底下的介电层554以供进行蚀刻之用。
在步骤508中,利用已知的蚀刻工艺将CD缩减图案转移到介电层中。图5E绘示CD缩减图案凹部562伸入介电层554内的基板。接着在步骤510中,如图5F所示,移除图案转移层556和共形层560,而留下已图案化的介电层554。形成在介电层554中的CD缩减图案凹部562可为细窄凹部。
在步骤512中,形成第二共形层于基板上,以进一步缩减CD。如上所述且如图5G所示,第二共形层564覆盖住介电层554的场区和CD缩减图案凹部562的侧壁与底部。CD因共形层的厚度而进一步缩减,而产生CD缩减通孔566。如上所述,在蚀刻后,用来缩减CD的共形层较佳是由与介电层554兼容的材料所组成,并可为具有低介电常数的氧化物或氮化物层。
在步骤514中,如图5H所示,移除在CD缩减通孔566底部处的第二共形层564。如图3A-3D所述,在完成的组件中,沉积在CD缩减通孔566侧壁上的第二共形层将在完成的装置中保留住部分的介电层554。由于第二共形层564与介电层554兼容,因此其具有大体上适合让组件适当运作的电性。因此,在蚀刻之前和之后均可涂覆共形层来缩减CD。
虽然本发明已以较佳实施例揭露如上,任何熟习此技艺者,在不脱离本发明的基本范围内,当可作出其它或进一步的实施例,因此本发明的保护范围当视权利要求书所界定者为准。

Claims (15)

1.一种缩减一凹部的关键尺寸的方法,该凹部形成在一具有一场区的基板中并且具有多个侧壁和一底部,该方法包含以下步骤:
涂覆一共形层至该场区、该些侧壁和该底部上;
利用一方向性蚀刻工艺移除在该底部处的该共形层而露出该基板;
蚀刻在该底部处露出的该基板;以及
利用一湿蚀刻工艺移除该共形层。
2.如权利要求1所述的方法,其中该共形层为一阻障层。
3.如权利要求1所述的方法,其中该凹部是经由图案化该基板的一图案转移层而形成。
4.如权利要求1所述的方法,其中该方向性蚀刻工艺还移除在该场区处的该共形层。
5.如权利要求1所述的方法,其中该共形层为氮化物层。
6.如权利要求1所述的方法,其中该共形层是以一等离子体增强化学气相沉积(PECVD)工艺沉积而得。
7.如权利要求1所述的方法,其中,该共形层包含一材料,该材料在接触到选择用来蚀刻该基板的蚀刻剂时具有低蚀刻速度。
8.如权利要求1所述的方法,其中利用一湿蚀刻工艺移除该共形层的步骤包含使该共形层接触一水溶液。
9.如权利要求1所述的方法,其中该方向性蚀刻工艺包含由一蚀刻气体形成一等离子体,以及施加一电偏压于该基板。
10.一种于一基板的一场区中形成一通孔的方法,该方法包含以下步骤:
将形成于该基板一表面上的一膜层图案化,以形成具多个侧壁和一底部的一凹部;
经由涂覆一共形膜至该膜层上而缩减该凹部的宽度;
移除该凹部的该底部处的该共形膜而露出一部分的该基板,以形成一关键尺寸缩减区域;以及
蚀刻该关键尺寸缩减区域而形成该通孔。
11.如权利要求10所述的方法,其中移除该共形膜的步骤包含使该共形膜暴露于一蚀刻气体的等离子体以及施加一电偏压于该基板。
12.如权利要求10所述的方法,其中移除该共形膜的步骤包含使该共形膜暴露于一水溶液。
13.一种图案化一基板上的一介电层的方法,该方法包含以下步骤:
形成一图案转移层至该介电层上;
涂覆一光阻、图案化该光阻以及将图案蚀刻入该图案转移层中而图案化该图案转移层,以形成具有一底部的一凹部;
沉积一第一共形层至该图案转移层上;
移除该凹部的该底部的该第一共形层而露出该介电层;
蚀刻该介电层的露出部分而形成一狭窄凹部;
移除该图案转移层和该第一共形层;
沉积一第二共形层至该基板上;以及
移除该狭窄凹部的底部的该第二共形层。
14.如权利要求13所述的方法,其中该第一共形层为一含氮层。
15.如权利要求14所述的方法,其中该第二共形层为一含氧层。
CN2009801183331A 2008-05-13 2009-05-04 使用共形等离子体增强化学气相沉积(pecvd)膜来缩减关键尺寸的方法 Pending CN102027572A (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US5281908P 2008-05-13 2008-05-13
US61/052,819 2008-05-13
US12/257,137 2008-10-23
US12/257,137 US20090286402A1 (en) 2008-05-13 2008-10-23 Method for critical dimension shrink using conformal pecvd films
PCT/US2009/042708 WO2009140094A2 (en) 2008-05-13 2009-05-04 Method for critical dimension shrink using conformal pecvd films

Publications (1)

Publication Number Publication Date
CN102027572A true CN102027572A (zh) 2011-04-20

Family

ID=41316588

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2009801183331A Pending CN102027572A (zh) 2008-05-13 2009-05-04 使用共形等离子体增强化学气相沉积(pecvd)膜来缩减关键尺寸的方法

Country Status (6)

Country Link
US (1) US20090286402A1 (zh)
JP (1) JP2011521452A (zh)
KR (1) KR20110016916A (zh)
CN (1) CN102027572A (zh)
TW (1) TW201007832A (zh)
WO (1) WO2009140094A2 (zh)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107735851A (zh) * 2015-06-03 2018-02-23 应用材料公司 在先进图案化工艺中用于间隔物沉积与选择性移除的设备与方法
CN107761069A (zh) * 2016-08-19 2018-03-06 弗劳恩霍夫应用研究促进协会 制造具有多孔结构的腔体的方法
CN108231549A (zh) * 2016-12-15 2018-06-29 台湾积体电路制造股份有限公司 半导体制造方法
CN109196621A (zh) * 2016-06-01 2019-01-11 应用材料公司 用于3dnand应用的隧道氧化物的高压氨氮化
US10854223B2 (en) 2016-08-19 2020-12-01 Fraunhofer-Gesellschaft Zur Foerderung Der Angewandten Forschung E.V. Method of producing a magnetic structure
US11417448B2 (en) 2014-12-16 2022-08-16 Fraunhofer-Gesellschaft Zur Foerderung Der Angewandten Forschung E.V. Method for manufacturing a device having a three-dimensional magnetic structure

Families Citing this family (406)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US7842622B1 (en) * 2009-05-15 2010-11-30 Asm Japan K.K. Method of forming highly conformal amorphous carbon layer
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8415259B2 (en) 2009-10-14 2013-04-09 Asm Japan K.K. Method of depositing dielectric film by modified PEALD method
US8173554B2 (en) * 2009-10-14 2012-05-08 Asm Japan K.K. Method of depositing dielectric film having Si-N bonds by modified peald method
NL2005657A (en) * 2009-12-03 2011-06-06 Asml Netherlands Bv A lithographic apparatus and a method of forming a lyophobic coating on a surface.
JP5466526B2 (ja) * 2010-02-15 2014-04-09 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
US8741394B2 (en) 2010-03-25 2014-06-03 Novellus Systems, Inc. In-situ deposition of film stacks
US9028924B2 (en) 2010-03-25 2015-05-12 Novellus Systems, Inc. In-situ deposition of film stacks
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
KR20110136273A (ko) * 2010-06-14 2011-12-21 삼성전자주식회사 수직형 반도체 소자의 제조 방법
KR101692389B1 (ko) 2010-06-15 2017-01-04 삼성전자주식회사 수직형 반도체 소자 및 그 제조 방법
JP5644341B2 (ja) * 2010-10-04 2014-12-24 ソニー株式会社 固体撮像素子、および、その製造方法、電子機器
TW201216331A (en) 2010-10-05 2012-04-16 Applied Materials Inc Ultra high selectivity doped amorphous carbon strippable hardmask development and integration
TWI455255B (zh) * 2011-05-23 2014-10-01 Sino American Silicon Prod Inc 圖案化基板結構、其製作方法及其發光元件
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8592327B2 (en) * 2012-03-07 2013-11-26 Tokyo Electron Limited Formation of SiOCl-containing layer on exposed low-k surfaces to reduce low-k damage
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
KR102025441B1 (ko) 2012-04-06 2019-09-25 노벨러스 시스템즈, 인코포레이티드 증착 후 소프트 어닐링
CN102709230B (zh) * 2012-05-22 2015-05-20 上海华力微电子有限公司 一种形成半导体通孔的方法
US9117668B2 (en) * 2012-05-23 2015-08-25 Novellus Systems, Inc. PECVD deposition of smooth silicon films
US8859430B2 (en) * 2012-06-22 2014-10-14 Tokyo Electron Limited Sidewall protection of low-K material during etching and ashing
KR101910499B1 (ko) 2012-06-29 2018-10-23 에스케이하이닉스 주식회사 반도체 장치의 캐패시터 제조방법
US9388491B2 (en) 2012-07-23 2016-07-12 Novellus Systems, Inc. Method for deposition of conformal films with catalysis assisted low temperature CVD
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
JP6007031B2 (ja) 2012-08-23 2016-10-12 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
JP6009870B2 (ja) 2012-09-11 2016-10-19 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
SG2013083241A (en) * 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
JP6111097B2 (ja) 2013-03-12 2017-04-05 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
JP6111106B2 (ja) * 2013-03-19 2017-04-05 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
JP6159143B2 (ja) * 2013-05-10 2017-07-05 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
US8895415B1 (en) 2013-05-31 2014-11-25 Novellus Systems, Inc. Tensile stressed doped amorphous silicon
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
JP5687328B2 (ja) * 2013-12-11 2015-03-18 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
JP6247095B2 (ja) * 2013-12-27 2017-12-13 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
KR102306612B1 (ko) 2014-01-31 2021-09-29 램 리써치 코포레이션 진공-통합된 하드마스크 프로세스 및 장치
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
JP6320129B2 (ja) * 2014-04-02 2018-05-09 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9337051B2 (en) 2014-08-14 2016-05-10 Applied Materials, Inc. Method for critical dimension reduction using conformal carbon films
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9396956B1 (en) * 2015-01-16 2016-07-19 Asm Ip Holding B.V. Method of plasma-enhanced atomic layer etching
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US10763103B2 (en) * 2015-03-31 2020-09-01 Versum Materials Us, Llc Boron-containing compounds, compositions, and methods for the deposition of a boron containing films
KR102457674B1 (ko) * 2015-04-03 2022-10-20 어플라이드 머티어리얼스, 인코포레이티드 열 cvd 동안 리간드들을 동시-유동시킴으로써 고 종횡비 트렌치들을 충진하는 프로세스
US9659771B2 (en) * 2015-06-11 2017-05-23 Applied Materials, Inc. Conformal strippable carbon film for line-edge-roughness reduction for advanced patterning
US9837304B2 (en) 2015-06-24 2017-12-05 Tokyo Electron Limited Sidewall protection scheme for contact formation
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10418243B2 (en) 2015-10-09 2019-09-17 Applied Materials, Inc. Ultra-high modulus and etch selectivity boron-carbon hardmask films
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US9620356B1 (en) 2015-10-29 2017-04-11 Applied Materials, Inc. Process of selective epitaxial growth for void free gap fill
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
CN106684139B (zh) * 2015-11-11 2020-02-04 中国科学院苏州纳米技术与纳米仿生研究所 基于Si衬底的GaN外延结构及其制备方法
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9515252B1 (en) * 2015-12-29 2016-12-06 International Business Machines Corporation Low degradation MRAM encapsulation process using silicon-rich silicon nitride film
JP6907217B2 (ja) * 2016-01-20 2021-07-21 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 横方向ハードマスク凹部縮小のためのハイブリッドカーボンハードマスク
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
JP6514138B2 (ja) 2016-03-10 2019-05-15 東芝メモリ株式会社 半導体装置の製造方法
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10177002B2 (en) * 2016-04-29 2019-01-08 Applied Materials, Inc. Methods for chemical etching of silicon
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
JP6656082B2 (ja) * 2016-05-19 2020-03-04 東京エレクトロン株式会社 酸化膜除去方法および除去装置、ならびにコンタクト形成方法およびコンタクト形成システム
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10381448B2 (en) 2016-05-26 2019-08-13 Tokyo Electron Limited Wrap-around contact integration scheme
JP6928810B2 (ja) * 2016-05-29 2021-09-01 東京エレクトロン株式会社 側壁イメージ転写の方法
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10217670B2 (en) 2016-09-07 2019-02-26 Tokyo Electron Limited Wrap-around contact integration scheme
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10517179B2 (en) * 2016-12-15 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Material composition and methods thereof
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US10566212B2 (en) 2016-12-19 2020-02-18 Lam Research Corporation Designer atomic layer etching
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
KR102067082B1 (ko) 2017-01-19 2020-01-16 삼성에스디아이 주식회사 패턴 형성 방법 및 반도체 소자
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10832909B2 (en) 2017-04-24 2020-11-10 Lam Research Corporation Atomic layer etch, reactive precursors and energetic sources for patterning applications
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
CN114875388A (zh) 2017-05-05 2022-08-09 Asm Ip 控股有限公司 用于受控形成含氧薄膜的等离子体增强沉积方法
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10796912B2 (en) * 2017-05-16 2020-10-06 Lam Research Corporation Eliminating yield impact of stochastics in lithography
US11170993B2 (en) * 2017-05-16 2021-11-09 Asm Ip Holding B.V. Selective PEALD of oxide on dielectric
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20190035036A (ko) * 2017-09-25 2019-04-03 삼성전자주식회사 박막 형성 장치 및 이를 이용한 비정질 실리콘 막 형성방법
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US11121027B2 (en) * 2017-12-08 2021-09-14 Tokyo Electron Limited High aspect ratio via etch using atomic layer deposition protection layer
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
JP2022507368A (ja) 2018-11-14 2022-01-18 ラム リサーチ コーポレーション 次世代リソグラフィにおいて有用なハードマスクを作製する方法
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP7178918B2 (ja) * 2019-01-30 2022-11-28 東京エレクトロン株式会社 エッチング方法、プラズマ処理装置、及び処理システム
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102627584B1 (ko) 2019-02-20 2024-01-22 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 주기적 증착 방법 및 장치
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
CN111627809B (zh) * 2019-02-28 2024-03-22 东京毅力科创株式会社 基片处理方法和基片处理装置
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
WO2020190941A1 (en) * 2019-03-18 2020-09-24 Lam Research Corporation Reducing roughness of extreme ultraviolet lithography resists
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
KR20220002748A (ko) 2019-05-29 2022-01-06 램 리써치 코포레이션 고 전력 펄싱된 저 주파수 rf에 의한 고 선택도, 저 응력, 및 저 수소 다이아몬드-유사 탄소 하드 마스크들
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
JP7202489B2 (ja) * 2019-06-26 2023-01-11 株式会社日立ハイテク プラズマ処理方法
KR102660694B1 (ko) * 2019-06-26 2024-04-26 주식회사 히타치하이테크 플라스마 처리 방법
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
WO2021146138A1 (en) 2020-01-15 2021-07-22 Lam Research Corporation Underlayer for photoresist adhesion and dose reduction
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11264474B1 (en) * 2020-08-18 2022-03-01 Nanya Technology Corporation Semiconductor device with boron nitride layer and method for fabricating the same
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
KR20220040074A (ko) * 2020-09-23 2022-03-30 삼성전자주식회사 에어 갭을 포함하는 반도체 소자 제조 방법
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US20230360922A1 (en) * 2020-09-25 2023-11-09 Lam Research Corporation Robust ashable hard mask
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US20220199401A1 (en) * 2020-12-18 2022-06-23 Applied Materials, Inc. Deposition of boron films
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05206085A (ja) * 1992-01-24 1993-08-13 Fujitsu Ltd 微細パターンの形成方法
JPH10189727A (ja) * 1996-12-26 1998-07-21 Sony Corp 半導体装置の製造方法
US5893748A (en) * 1997-02-10 1999-04-13 Advanced Micro Devices, Inc. Method for producing semiconductor devices with small contacts, vias, or damascene trenches
JP2001156170A (ja) * 1999-11-30 2001-06-08 Sony Corp 多層配線の製造方法
US20030064585A1 (en) * 2001-09-28 2003-04-03 Yider Wu Manufacture of semiconductor device with spacing narrower than lithography limit
FR2838866B1 (fr) * 2002-04-23 2005-06-24 St Microelectronics Sa Procede de fabrication de composants electroniques et produit electronique incorporant un composant ainsi obtenu
US7250371B2 (en) * 2003-08-26 2007-07-31 Lam Research Corporation Reduction of feature critical dimensions
US7314691B2 (en) * 2004-04-08 2008-01-01 Samsung Electronics Co., Ltd. Mask pattern for semiconductor device fabrication, method of forming the same, method for preparing coating composition for fine pattern formation, and method of fabricating semiconductor device
US7151040B2 (en) * 2004-08-31 2006-12-19 Micron Technology, Inc. Methods for increasing photo alignment margins
US7271107B2 (en) * 2005-02-03 2007-09-18 Lam Research Corporation Reduction of feature critical dimensions using multiple masks
US7361588B2 (en) * 2005-04-04 2008-04-22 Advanced Micro Devices, Inc. Etch process for CD reduction of arc material
US7828987B2 (en) * 2006-03-20 2010-11-09 Applied Materials, Inc. Organic BARC etch process capable of use in the formation of low K dual damascene integrated circuits

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11417448B2 (en) 2014-12-16 2022-08-16 Fraunhofer-Gesellschaft Zur Foerderung Der Angewandten Forschung E.V. Method for manufacturing a device having a three-dimensional magnetic structure
CN107735851A (zh) * 2015-06-03 2018-02-23 应用材料公司 在先进图案化工艺中用于间隔物沉积与选择性移除的设备与方法
CN107735851B (zh) * 2015-06-03 2021-11-05 应用材料公司 在先进图案化工艺中用于间隔物沉积与选择性移除的设备与方法
CN109196621A (zh) * 2016-06-01 2019-01-11 应用材料公司 用于3dnand应用的隧道氧化物的高压氨氮化
CN109196621B (zh) * 2016-06-01 2023-09-05 应用材料公司 用于3dnand应用的隧道氧化物的高压氨氮化
CN107761069A (zh) * 2016-08-19 2018-03-06 弗劳恩霍夫应用研究促进协会 制造具有多孔结构的腔体的方法
US10854223B2 (en) 2016-08-19 2020-12-01 Fraunhofer-Gesellschaft Zur Foerderung Der Angewandten Forschung E.V. Method of producing a magnetic structure
US11268122B2 (en) 2016-08-19 2022-03-08 Fraunhofer-Gesellschaft zur Foerderung der anaewandten Forschunq e.V. Method of producing a cavity having a porous structure
CN108231549A (zh) * 2016-12-15 2018-06-29 台湾积体电路制造股份有限公司 半导体制造方法
CN108231549B (zh) * 2016-12-15 2021-10-26 台湾积体电路制造股份有限公司 半导体制造方法

Also Published As

Publication number Publication date
KR20110016916A (ko) 2011-02-18
TW201007832A (en) 2010-02-16
JP2011521452A (ja) 2011-07-21
WO2009140094A3 (en) 2010-01-28
WO2009140094A2 (en) 2009-11-19
US20090286402A1 (en) 2009-11-19

Similar Documents

Publication Publication Date Title
CN102027572A (zh) 使用共形等离子体增强化学气相沉积(pecvd)膜来缩减关键尺寸的方法
TWI775734B (zh) 圖案化結構上之方向性沉積
US10192742B2 (en) Soft landing nanolaminates for advanced patterning
CN108122739B (zh) 拓扑限制的等离子体增强循环沉积的方法
CN100547732C (zh) 通过添加碳降低氮化硅蚀刻速率的方法
CN102017081B (zh) 氮化硼与硼-氮化物衍生材料的沉积方法
US8536068B2 (en) Atomic layer deposition of photoresist materials and hard mask precursors
CN101416293B (zh) 用于介电膜层的阶梯覆盖与图案加载
CN101690420B (zh) 氮化硼和氮化硼导出材料的沉积方法
CN100365777C (zh) 具有改进型抗蚀剂及/或蚀刻轮廓特征的介电膜用蚀刻方法
CN101595559B (zh) 形成镶嵌结构的方法
US20220216050A1 (en) Atomic layer etch and selective deposition process for extreme ultraviolet lithography resist improvement
JP2021511673A (ja) パターニングにおける酸化スズマンドレル
US20130113085A1 (en) Atomic Layer Deposition Of Films Using Precursors Containing Hafnium Or Zirconium
KR102660290B1 (ko) 다중 패터닝 프로세스에서 원자 층 증착을 사용한 스페이서 프로파일 제어
CN107799390A (zh) 用于半导体图案化应用的高干法蚀刻速率材料
CN102077324A (zh) 使用薄阻障层防止及降低溶剂与溶液渗入多孔性电介质中
CN116670802A (zh) 用于半导体装置形成的底层膜
CN117751425A (zh) 用于沉积sib膜的工艺

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication

Open date: 20110420