CN108231549A - 半导体制造方法 - Google Patents

半导体制造方法 Download PDF

Info

Publication number
CN108231549A
CN108231549A CN201710673891.0A CN201710673891A CN108231549A CN 108231549 A CN108231549 A CN 108231549A CN 201710673891 A CN201710673891 A CN 201710673891A CN 108231549 A CN108231549 A CN 108231549A
Authority
CN
China
Prior art keywords
pattern
layer
impedance
etch
corner
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201710673891.0A
Other languages
English (en)
Other versions
CN108231549B (zh
Inventor
沈育佃
林纬良
张雅惠
严永松
洪继正
王建惟
刘如淦
陈燕铭
林进祥
陈桂顺
龙元祥
丁致远
张庆裕
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN108231549A publication Critical patent/CN108231549A/zh
Application granted granted Critical
Publication of CN108231549B publication Critical patent/CN108231549B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26586Bombardment with radiation with high-energy radiation producing ion implantation characterised by the angle between the ion beam and the crystal planes or the main crystal surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

一种半导体制造方法,包括:提供基板,且于基板上提供图案层;于图案层中形成孔洞;沿着第一方向施加第一定向蚀刻至孔洞的内侧壁;以及沿着第二方向施加第二定向蚀刻至孔洞的内侧壁,其中第二方向与第一方向不同。

Description

半导体制造方法
技术领域
本公开通常有关使用光微影(或微影)制程形成集成电路的图案或装置,更有关于光微影制程时克服图案角落圆化问题和增强图案保真度的方法。
背景技术
半导体集成电路(integrated circuit,IC)工业经历了指数成长。IC材料和设计的技术进步产生了IC世代,其中每一代具有比上一代更小和更复杂的电路。在IC演进过程中,功能密度(即每晶片面积的内连装置数量)通常随着几何尺寸(即使用制程可产生的最小元件(或线))减少而增加。上述微缩化过程通常通过提高生产效率和降低相关成本以提供益处。但这种微缩化也增加了处理IC和制造IC的复杂性,并且为了实现这些进步,需要IC处理和IC制造的类似发展。
举例来说,在较小的制程节点中,更加突显图案角落圆化(pattern cornerrounding)的问题。图案角落圆化是指在光微影(如阻抗图案)和蚀刻制程(如硬罩幕图案)期间,设计图案之中的直角圆化现象。这个问题直接影响制造半导体时的制程宽裕度(process window)和临界尺寸(critical dimension)变异的控制。因此,非常需要降低图案角落圆化的方法。
发明内容
于一实施例中,本公开关于一种半导体制造方法,包括:提供基板,且于基板上提供图案层;于图案层中形成孔洞;沿着第一方向施加第一定向蚀刻至孔洞的内侧壁;以及沿着第二方向施加第二定向蚀刻至孔洞的内侧壁,其中第二方向与第一方向不同。
于另一实施例中,本公开关于一种半导体制造方法,包括:提供基板,且于基板上提供图案层;于图案层中形成图案,图案具有岛部;沿着第一方向施加第一定向处理至岛部的至少外侧壁;以及沿着第二方向施加第二定向处理至岛部的至少外侧壁,第二方向与第一方向不同,第一定向处理及第二定向处理共同地导致岛部角落部分的抗蚀刻性不同于岛部非角落部分的抗蚀刻性。
于又一实施例中,本公开关于一种半导体制造方法,包括:提供基板;于基板上形成阻抗层;以第一曝光能量施加第一曝光至阻抗层的第一部分,第一曝光能量比阻抗层的曝光阈值高,其中第一部分包围第一矩形区域的四个侧边;以第二曝光能量施加第二曝光至阻抗层的第二部分,第二曝光能量比阻抗层的曝光阈值高,其中第二部分包括延伸至第一矩形区域中的第一直角角落;以及显影阻抗层以形成阻抗图案,阻抗图案对应于第一矩形区域减去第二部分。
附图说明
以下将配合附图详述本公开的实施例。应注意的是,依据在业界的标准做法,各种特征并未按照比例绘示且仅用以说明例示。事实上,可能任意地放大或缩小元件的尺寸,以清楚地表现出本公开的特征。
图1是根据本公开一实施例绘示的集成电路设计。
图2是根据本公开一实施例绘示的如于孔洞型图案中克服角落圆化问题方法的流程图。
图3A、4A、5A、6A、7A、9A和10A是根据一些实施例及图2中的方法绘示各种制造阶段中装置的俯视图。
图3B、4B、5B、6B、7B、8A、8B、9B和10B是根据一些实施例及图2中的方法绘示各种制造阶段中装置的剖面图。
图11A、12A、13A、14A和15A是根据一些实施例及图2中的方法绘示各种制造阶段中另一装置的俯视图。
图11B、12B、13B、14B和15B是根据一些实施例及图2中的方法绘示各种制造阶段中另一装置的剖面图。
图16是根据本公开一些实施例绘示于如岛型图案中克服角落圆化问题方法的流程图。
图17是根据本公开一实施例绘示于如岛型阻抗图案中克服角落圆化问题方法的流程图。
图18A、19A、20A、21A和22A是根据一些实施例及图17中的方法绘示各种制造阶段中另一装置的俯视图。
图18B、19B、20B、21B和22B是根据一些实施例及图17中的方法绘示各种制造阶段中另一装置的剖面图。
图23是根据本公开一些实施例绘示如于岛型硬罩幕图案中克服角落圆化问题方法的流程图。
图24A、25A、26A、27A和28A是根据一些实施例及图23中的方法绘示各种制造阶段中另一装置的俯视图。
图24B、25B、26B、27B和28B是根据一些实施例及图23中的方法绘示各种制造阶段中另一装置的剖面图。
图29是根据本公开一实施例绘示于微影阶段时克服角落圆化问题方法的流程图。
图30、31和32是根据一实施例绘示根据图29中的方法的微影期间不同的曝光剂量。
图33和34绘示可从图29的方法中受益的一些示例性布局设计。
图35是根据本公开一实施例绘示于光微影阶段时另一克服角落圆化问题方法的流程图。
图36、37、38和39是根据一实施例绘示根据图35中的方法的光微影制程中的光致抗蚀剂层。
附图标记说明:
10~IC
12、c1、c2、c3、c4、c5、c6~主动区
14、r1、r2、r3、r4~栅极结构
16~阴影区
18~框
18’、204’、206’、206-4’、206-5’~外角
18”、204”、206”、220”~内角
19~轮廓
100、300、350、400、500、600~方法
102、104、106、108、110、112、302、304、306、308、310、312、352、354、356、358、360、362、402、404、406、408、410、412、502、504、506、508、510、602、604、606、608、610~操作
200~装置
202~基板
202a~图案
204~硬罩幕层/硬罩幕图案
206~阻抗层
206a、206b~侧壁
206-1~第一延长区
206-2~第二延长区
206-3~第一部分
206-4~第二部分
206-5~另一部分
206-i~交会处
206-r~矩形区域
208、214~孔洞型图案
208’、214’~角落
220~阻抗图案
250~等离子体离子束
252~水平分量
254~垂直分量
a-a~剖面线
ET~曝光阈值
X、Y、Z~方向
具体实施方式
以下公开许多不同的实施方法或是范例来实行所提供的标的的不同特征,以下描述具体的元件及其排列的实施例以阐述本公开。当然这些实施例仅用以例示,且不该以此限定本公开的范围。例如,在说明书中提到第一特征形成于第二特征之上,其包括第一特征与第二特征是直接接触的实施例,另外也包括于第一特征与第二特征之间另外有其他特征的实施例,亦即,第一特征与第二特征并非直接接触。此外,在不同实施例中可能使用重复的标号或标示,这些重复仅为了简单清楚地叙述本公开,不代表所讨论的不同实施例及/或结构之间有特定的关系。
此外,其中可能用到与空间相关用词,例如”在…下方”、”下方”、”较低的”、”上方”、”较高的”及类似的用词,这些空间相关用词为了便于描述图示中一个(些)元件或特征与另一个(些)元件或特征之间的关系,这些空间相关用词包括使用中或操作中的装置的不同方位,以及附图中所描述的方位。当装置被转向不同方位时(旋转90度或其他方位),则其中所使用的空间相关形容词也将依转向后的方位来解释。
本公开通常有关使用光微影(或微影)制程形成集成电路(integrated circuit,IC)的图案或装置,更有关于光微影制程时克服图案角落圆化问题和增强图案保真度的方法。
图1是根据本公开一实施例绘示的IC10的布局。参照图1,IC10包括朝向X方向的多个主动区12和朝向垂直于X方向的Y方向的多个栅极结构14。在每个主动区12和栅极结构14的交叉处形成场效应晶体管(field effective transistor,FET)。于一实施例中,主动区12具有鳍状的形状(fin-like shape),并且形成于其上的场效应晶体管是鳍式场效应晶体管(FinFET)。图1绘示四行主动区12(r1、r2、r3和r4)和六列栅极结构14(c1、c2、c3、c4、c5和c6),其形成24个场效应晶体管。在一些设计中,期望一些晶体管具有与其它晶体管不同的物理及/或电特性。举例来说,由框18包围的晶体管(第1型晶体管)可以具有与框18外的晶体管(第2型晶体管)不同的阈值电压(threshold voltage)。举例来说,第1型晶体管可设计为具有高阈值电压,而第2型晶体管可设计为具有低阈值电压。这在如SRAM单元设计及/或外围电路设计中可能是理想的。
为了实行IC10,一种方法是在特定制程阶段(如离子布植)处理第2型晶体管(或第1型晶体管)时形成覆盖第1型晶体管(或第2型晶体管)的罩幕元件。罩幕元件可以包括阻抗材料或硬罩幕材料,并且其可以通过光微影制程制成。由框18形成的边界可以位于相邻特征的中间,即水平地于主动区12之间和垂直地于栅极结构14之间。在图1的范例中,框18包括六个直角外角(凸角)18’和两个直角内角(凹角)18”。在各种实施例中,框18的形状可以比图1所示的形状更简单或更复杂。框18的形状表示通过光微影制程形成的目标图案。
理想上实际的罩幕元件符合目标图案(如框18)的确切尺寸。然而,由于在光微影制程期间的光学效应及/或蚀刻偏差,罩幕元件通常不精确地符合目标图案。反之,实际的罩幕元件的轮廓19具有圆化的角落,这使得罩幕元件和一些IC特征更加接近,特别是在角落处。这种减少的距离代表着失去了设计余裕(design margin)。图1进一步显示了晶体管周围的阴影区16。阴影区16表示罩幕元件的轮廓19不接触的区域。举例来说,阴影区16可以解释如临界尺寸变异和重叠变异的制程变异。轮廓19和阴影区16之间的距离代表设计余裕。随着IC的尺寸继续缩小,实际罩幕元件的轮廓19在微影图案化制程中与目标图案的边界(如框18)紧密匹配变得越来越重要。本公开提供了在微影图案化过程中解决角落圆化问题的一个或多个实施例。所提供的题材可以应用于除了IC10之外或可替代IC10的各种IC设计。
参照图2,其中绘示出方法100的流程图,其用于形成具有孔洞型图案(或孔洞)的图案,上述图案的角落具有改进的形状。方法100仅是一个范例,并不意图限制本公开在权利要求中明确叙述之外的内容。额外的方法实施例可以在方法100之前、之中和之后提供额外的操作,并且可以替换、消除或移动一些操作。
在一个实施例中,方法100通过施加两个定向蚀刻制程形成具有接近90°角落的孔洞型图案,上述定向蚀刻制程调整为沿两个垂直方向蚀刻。此外,方法100可以用于形成孔洞型阻抗图案或孔洞型硬罩幕图案。根据方法100的第一实施例,图3A-10B绘示出在各种制造步骤期间装置200的俯视图和剖面图,其中施加两个定向蚀刻制程至上述孔洞型阻抗图案。根据方法100的第二实施例,图11A-15B绘示出在各种制造步骤期间装置200的俯视图和剖面图,其中施加两个定向蚀刻制程至孔洞型硬罩幕图案。在各种实施例中,可以在光微影制程期间单独或一起应用第一及第二实施例。举例来说,根据方法100的实施例,光微影制程可以仅处理阻抗图案或硬罩幕图案之一,或一起处理阻抗图案和硬罩幕图案。随后参照图2和图3A-10B描述方法100。
在操作102,方法100(图2)提供基板202(图3A-3B)。在各种实施例中,基板202包括一或多层材料层,并且可为形成装置200制程的中间步骤。装置200可以是IC或其一部分,其可包括静态随机存取存储器(static random access memory,SRAM)及/或其他逻辑电路、无源元件如电阻、电容和电感以及主动元件如p型场效应晶体管(p-type field effecttransistors,PFET)、n型场效应晶体管(n-type field effect transistors,NFET)、金氧半场效应晶体管(metal-oxide semiconductor field effect transistors,MOSFET)、互补金氧半晶体管(complementary metal-oxide semiconductor,CMOS)、双极晶体管(bipolar transistors)、高压晶体管(high voltage transistors)、高频晶体管(highfrequency transistors)、其它记忆单元及其组合。装置200可以包括三维装置和多栅极(multigate)装置,例如双栅极场效应晶体管(double gate FETs)、鳍式场效应晶体管(FinFETs)、三栅极场效应晶体管(tri-gate FETs)、omega场效应晶体管(omega FETs)、全包覆式(Gate-All-Around,GAA)装置和垂直GAA装置。在一个实施例中,基板202是半导体基板(如晶圆)。在一个实施例中,基板202包括晶体硅。在替代实施例中,基板202包括如锗的其它元素态半导体,或如碳化硅、砷化镓、砷化铟和磷化铟的化合物半导体。基板202可以包括绝缘体上硅(silicon on insulator,SOI)基板、被施加应变/应力以增强性能、包括磊晶区域、包括隔离区域、包括掺杂区域、包括一或多个半导体装置或其部分、包括导电及/或非导电层及/或包括其它合适的特征和层。
在操作104,方法100(图2)在基板202上形成图案层。于本实施例中,如图4A-4B所示,操作104在基板202上形成硬罩幕层204以及在硬罩幕层204上形成阻抗层206。在本实施例中,阻抗层206是图案层。在各种实施例中,可以在阻抗层206和硬罩幕层204之间添加其他材料层。此外,在一些实施例中,可以在硬罩幕层204和基板202之间添加其他材料层。
硬罩幕层204可以包括非晶硅(amorphous silicon,a-Si)、氧化硅、氮化硅(SiN)、氮化钛(TiN)、氮氧化硅(SiON)、氮碳化硅(SiCN)或其它合适的材料或组成;且可以通过化学气相沉积(chemical vapor deposition,CVD)、低压化学气相沉积(low pressurechemical vapor deposition,LPCVD)、等离子体辅助化学气相沉积(plasma enhancedchemical vapor deposition,PECVD)、物理气相沉积(physical vapor deposition,PVD)、原子层沉积(atomic layer deposition,ALD)或其它合适的沉积方法形成硬罩幕层204。
在一实施例中,通过旋转涂布制程及随后的软烘烤制程形成阻抗层206。阻抗层206可为正光致抗蚀剂或负光致抗蚀剂。正光致抗蚀剂通常不溶于光致抗蚀剂显影剂,但通过曝光于如深紫外(deep ultraviolet,DUV)射线或极紫外(extreme ultraviolet,EUV)射线的辐射而变得可溶。一种示例性的正光致抗蚀剂材料是化学放大光致抗蚀剂(chemically amplified resist,CAR)。负光致抗蚀剂具有相反的特性,其通常可溶于光致抗蚀剂显影剂,但通过曝光于如DUV射线或EUV射线的辐射而变得不溶。一种示例性的负光致抗蚀剂是当照射时形成分子内及/或分子间交联(cross link)的高分子,例如(α-羟基)丙烯酸乙酯(Ethyl(α-hydroxy)acrylate,EHMA)和甲基丙烯酸(methacryl acid,MAA)的聚合。
在操作106,方法100(图2)在图案层中形成一个或多个图案,并且上述一个或多个图案包括孔洞型图案208(图5A-5B)。在本实施例中,阻抗层206是图案层,并且在阻抗层206中形成孔洞型图案208(以下称为孔洞208)。在一实施例中,图案化阻抗层206包括将阻抗层206曝光于辐射、曝光后烘烤、在光致抗蚀剂显影剂中显影阻抗层206,及硬烘烤,从而去除曝光部分(或在负阻抗层的范例中的未曝光部分)。阻抗层206的剩余部分成为具有孔洞208的阻抗图案。在本实施例中设计孔洞208为矩形。然而,由于曝光过程的光学效应等因素,圆化了孔洞208的角落208’。
在操作108,方法100(图2)沿着第一方向对孔洞208的内侧壁施加第一定向蚀刻。参照图6A和6B,在本实施例中沿X方向施加第一定向蚀刻。
在操作110,方法100(图2)沿着第二方向对孔洞208的内侧壁施加第二定向蚀刻,其中第二方向与第一方向不同。参照图7A和7B,第二定向蚀刻是沿Y方向施加。在本实施例中,X方向垂直Y方向。此外,在本实施例中第一和第二定向蚀刻对阻抗图案206是选择性的,并且不蚀刻(或不明显地蚀刻)硬罩幕204。在另一个实施例中,在同时提供第一和第二定向蚀刻的蚀刻制程中可同时施加操作108和110。
在操作108和110中,第一和第二方向是在平行基板202顶表面的一平面中。在各种实施例中,第一和第二定向蚀刻也具有垂直分量,即沿着与基板202的顶表面垂直的Z方向。在一个实施例中,操作108和操作110都可以包括偏向X或Y方向的倾斜等离子体蚀刻制程(slanted plasma etching process)。图8A和8B绘示出倾斜等离子体蚀刻制程的范例。参照图8A,等离子体离子束250从Z方向倾斜,并且具有有效的水平分量252和有效的垂直分量254。在一个实施例中,等离子体离子束250可以包括氩离子。或者等离子体离子束250可以包括氦、硅烷、甲烷、氧、氮、二氧化碳或其组合。可以在晶圆载台上装配装置200,并沿X方向、Y方向或与Z方向垂直的另一方向进行扫描。当晶圆载台移动时,离子束250保持相同的角度(或角度分布)。在图8A绘示的范例中,离子束250具有单峰(unimodal)角度分布。在图8B绘示的范例中,离子束250具有双峰(bimodal)角度分布,其中离子束250同时沿两个方向蚀刻阻抗图案206。通过调整离子束250的入射角度和角度分布,可以好好控制水平(沿X及/或Y方向)蚀刻速率。
在操作108和110期间,和侧壁的其它部分相比,更加蚀刻孔洞208靠近角落208’的侧壁部分,因为它们比其它部分接受更多的蚀刻剂或更多的离子轰击。例如,它们在操作108和110中都可以接受蚀刻剂或离子轰击,而其他部分(如侧面中心)可以在操作108和110其中之一接收蚀刻剂或离子轰击,但并非在操作108和110之中都可以接受蚀刻剂或离子轰击。因此角落208’变得更尖锐,并且每个都具有大致90°的角度。
在操作112,方法100(图1)在接下来的制程中使用经过处理的阻抗图案206。在一个实施例中,方法100将孔洞型图案208转移到基板202。在本实施例中,孔洞型图案208首先转移到硬罩幕层204(图9A-9B),然后再转移到基板202(图10A-10B)。如图9A-9B所示,使用处理过的阻抗图案206作为蚀刻罩幕以蚀刻硬罩幕层204。举例来说,可以使用湿蚀刻制程、干蚀刻制程、原子层蚀刻制程或其它合适的蚀刻制程蚀刻硬罩幕层204。在上述蚀刻过程中,可部分消耗阻抗图案206。通过如光致抗蚀剂剥离制程移除阻抗图案206的剩余部分(如果有的话)。如图10A-10B所示,用图案化的硬罩幕层204(或硬罩幕图案204)作为蚀刻罩幕蚀刻基板202,从而将孔洞型图案208转移到基板202。方法100(图1)可以执行进一步的步骤以形成最终图案或装置。举例来说,在一实施例中,孔洞208是接触孔。在另一实施例中,方法100可以通过在孔洞208的侧壁上形成阻障层并在阻障层上形成金属层,以在接触孔洞208中形成接触栓塞。
在另一个实施例中,方法100(图1)使用经过处理的阻抗图案206或其衍生物(如硬罩幕图案204)而非蚀刻罩幕作为离子布植罩幕元件。举例来说,方法100可以在没有硬罩幕层204的基板202上形成阻抗层206。在曝光和显影阻抗层206之后,通过上述双重定向蚀刻制程进一步处理阻抗层206以改善其角落部分的轮廓。此后,使用处理过的阻抗图案206作为对基板202的离子布植制程中的罩幕元件。
图11A-15B绘示出根据方法100第二实施例,在各种制造步骤期间装置200的俯视图和剖面图,其中施加两个定向蚀刻制程至孔洞型硬罩幕图案。本实施例的许多方面与图3A-10B所描述的类似。
参照图11A-11B,方法100在硬罩幕层204中形成孔洞图案214,上述硬罩幕层204是操作104和106的图案层(图1)。在一个实施例中,可以使用光微影制程形成孔洞型图案214,其中不使用上述双重定向蚀刻制程处理阻抗图案(蚀刻罩幕)。不在期望中地,孔洞型图案214的角落214’被圆化。在另一个实施例中,可以使用如上述图3A-9B所描述的光微影制程形成孔洞型图案214,其中根据本公开实施例,使用双重定向蚀刻制程处理光致抗蚀剂蚀刻罩幕(如阻抗图案206)。然而,在把图案214从阻抗图案转移到硬罩幕层204之后,孔洞型图案214的轮廓可能不理想,且需要进一步的处理以锐化角落214’。
如图12A-12B所示,方法100(图1、操作108)对孔洞型图案214的内侧壁施加第一定向蚀刻。在本实施例中,沿X方向施加第一定向蚀刻。参照图13A-13B,方法100(图1、操作110)对孔洞型图案214的内侧壁施加第二定向蚀刻。在本实施例中,沿着垂直于X方向的Y方向施加第二定向蚀刻。在一个实施例中,可以同时对孔洞型图案214施加第一和第二定向蚀刻。此外,蚀刻孔洞型图案214的第一和第二定向蚀刻都可以包括垂直分量(即沿着Z方向)。对孔洞型图案214的蚀刻对于硬罩幕层204是有选择性的,并且上述蚀刻不蚀刻基板202。在一个实施例中,可使用上述倾斜等离子体蚀刻制程实现对孔洞型图案214的蚀刻。作为对孔洞型图案214双重定向蚀刻的结果,如图12A-13B所示,孔洞型图案214的角落214’变得更锐利,并且每个都具有大致90°的角度(图14A-14B)。参照图15A-15B,方法100(图2、操作112)以图案化的硬罩幕层204作为蚀刻罩幕以蚀刻基板202。由于图案214的角落214’的改进的轮廓,也改善了基板202中的图案或装置的轮廓。
图16绘示出方法300的流程图,其用于处理岛型图案以将角落从圆角转换成直角。方法300包括用于提供基板的操作302、用于在基板上形成图案层的操作304以及用于在图案层中形成图案的操作306,上述图案具有岛型图案(或岛状图案)。方法300还包括用于沿着第一方向对岛型图案的侧壁施加第一定向处理的操作308,以及用于沿着与第一方向不同的第二方向对岛型图案的侧壁施加第二定向处理的操作310。作为两个定向处理的结果,岛状图案的角落部分和岛状图案的非角落部分相比所获得的抗蚀刻性不同。方法300还包括使用处理过的岛型图案作为蚀刻罩幕蚀刻基板的操作312。由于岛型图案的角落部分和非角落部分的抗蚀刻性不同,所以在基板202中形成的图案具有改进的轮廓,例如具有大抵上为90°的角度。在各种实施例中,方法300的图案层可以是阻抗层或硬罩幕层。图17-22B绘示出通过两个定向处理对岛状阻抗图案进行处理的方法300的实施例(称为方法350)。图23-28B绘示出通过两个定向处理对岛状硬罩幕图案进行处理的方法300的实施例(称为方法400)。
参照图17,方法350提供基板202(操作352),并如图18A-18B所示,在基板202上形成阻抗层206(操作354)。在各种实施例中,在阻抗层206和基板202之间可以存在一层或多层材料(例如硬罩幕层)。在操作356,方法350在阻抗层206中形成阻抗图案,其称为阻抗图案206。阻抗图案206设计为具有直角。然而,由于光微影制程中的各种因素(如曝光和显影),阻抗图案206的角落部分圆化。在图19A-19B中绘示出阻抗图案206的范例。在该特定范例中,阻抗图案206为具有五个外角206’和一个内角206”的L形。在各种范例中,阻抗图案206可为矩形或其它有直角的多边形形状。
在操作358,方法350沿着第一方向(例如沿X方向)对阻抗图案206的侧壁施加第一定向处理。在操作360,方法350沿着如Y方向的第二方向对阻抗图案206的侧壁施加第二定向处理。在一个实施例中,第一和第二定向处理都包括一离子布植制程,其使阻抗图案206在处理方向中的侧壁硬化。举例来说,离子布植制程可以使用气态离子源,例如氩气、氦气、硅烷、甲烷、氧气、氮气、二氧化碳或其组合。此外,除了X及/或Y分量之外,离子布植制程可以包括垂直分量(沿Z方向)。举例来说,如图8A和8B所示,离子布植制程可以从基板202的顶面的法线倾斜。离子穿透到阻抗图案206中直到特定深度,并且可能在其中造成化学反应。因此阻抗图案206的外层比阻抗图案206的内部具有更高的抗蚀刻性(变「硬」)。
如图20A所示,Y方向的侧壁206a和角落206’和206”接受第一处理并变「硬」。如图21A所示,X方向的侧壁206b和角落206’和206”接受第二处理并变「硬」。应注意的是,角落206’和206”比侧壁206a和206b接收了更多的离子处理。因此,角落206’和206”具有比侧壁206a和206b更高的抗蚀刻性,侧壁206a和206b又具有比阻抗图案206的内部更高的抗蚀刻性。在另一实施例中,外角206’具有比内角206”更高的抗蚀刻性,因为外角206’中的离子处理的重叠比内角206”中的重叠多。
在操作362,方法350通过使用阻抗图案206作为蚀刻罩幕,将阻抗图案206的形状转移到基板202,从而在基板202中形成图案202a(图22A-22B)。在平常的蚀刻制程中,由于受到蚀刻剂或等离子体离子的影响,阻抗图案在蚀刻制程中可能会收缩。此外,由于蚀刻剂或等离子体离子从两侧轰击角落,岛型阻抗图案的角落(以及从岛型阻抗图案转移的图案的角落部分)通常会圆化。在本实施例中,由于阻抗图案206的角落部分被处理成具有较高的抗蚀刻性,所以在蚀刻制程期间它们比侧壁部分206a和206b收缩得少。因此图案202a的角落具有改进的轮廓。举例来说,图案202a的角落可以大抵为90度。此外,当岛型阻抗图案的内角和外角都圆化时,方法350可以为外角提供相对于内角更好的处理。换句话说,方法350可以将圆化的外角(如206’)转换为比内角(如206”)更接近直角。因此,对于外角形状比内角的形状更重要的应用,方法350可能是特别有利的。
在另一个实施例中,如图4B所示,方法350在基板202和阻抗层206之间形成硬罩幕层。本实施例进一步来说,操作362使用经过处理的阻抗图案206作为蚀刻罩幕蚀刻硬罩幕层,并使用已蚀刻的硬罩幕层作为蚀刻罩幕蚀刻基板202。
图23绘示出方法400的流程图,其中通过两个定向处理来处理岛状硬罩幕图案。参照图23,方法400在操作402提供基板202,并且在操作404,在基板202上形成硬罩幕层204,如图24A-24B所示。在各种实施例中,在硬罩幕层204和基板202之间可以有一或多层材料。
在操作406,方法400使用一或多个光微影制程在硬罩幕层204中形成岛型硬罩幕图案。岛型硬罩幕图案被称为硬罩幕图案204。硬罩幕图案204是设计成具有直角角落。然而由于光微影制程中的各种因素,硬罩幕图案204的角落圆化。图25A-25B绘示出硬罩幕图案204的范例。在该特定范例中,硬罩幕图案204为L形,其具有五个外角204’和一个内角204”。在各种范例中,硬罩幕图案204可为矩形或其它具有直角的多边形。在本实施例中,硬罩幕图案204包括非晶硅。在替代实施例中,硬罩幕图案204可以包括其他材料,例如图4B中所讨论的材料。
在操作408,方法400沿着如X方向的第一方向,对硬罩幕图案204的侧壁施加第一定向处理(图26A-26B)。在操作410中,方法400沿着如Y方向的第二方向,对硬罩幕图案204的侧壁施加第二定向处理(图27A-27B)。在一实施例中,第一和第二定向处理都包括离子布植制程,其降低硬罩幕图案204侧壁在处理方向中的抗蚀刻性。举例来说,离子布植制程可以使用气态离子源,例如氮气、氧气或其组合。此外,除了X及/或Y分量之外,离子布植制程可以包括垂直分量(沿Z方向)。举例来说,离子布植制程可以从基板202顶面的法线倾斜。
离子穿透到硬罩幕图案204中直至特定深度,并且可能引起化学反应,以在其中产生一种或多种化合物。举例来说,在一个实施例中,硬罩幕图案204包括非晶硅,且具有氮离子的处理在硬罩幕图案204的侧壁中产生氮化硅。结果硬罩幕图案204外部的化学组成变得与硬罩幕图案204的内部不同。硬罩幕图案204中的组成的变化可以与随后的蚀刻步骤中的蚀刻化学品的选择配对,以改进蚀刻图案中角落的轮廓。举例来说,当硬罩幕图案204包括非晶硅并且用氮离子实施时,在硬罩幕图案204受到处理的部分对H3PO4的抗蚀刻性变得比未处理部分的抗蚀刻性低。
在操作412,方法400(图23)使用经处理的硬罩幕图案204作为蚀刻罩幕蚀刻基板202,从而在基板202中提供图案202a。图案202a具有分别从内角204”和外角204’转移的内角202”和外角202’。在本实施例中,蚀刻化学品对基板202是选择性的,并且可以进一步蚀刻经处理的硬罩幕图案204。如上所述,可以设计离子处理和蚀刻化学品,使硬罩幕图案204的未经处理部分对蚀刻化学品具有高抗蚀刻性,而硬罩幕图案204的经处理部分对蚀刻化学品具有相对较低的抗蚀刻性。特别来说,由于角落204’和204”比侧壁204a和204b接收更多的离子处理,角落204’和204”具有比侧壁204a和204b更低的抗蚀刻性。即使角落204’和204”圆化,这也有助于在角落202’和202”中产生大抵90°的角度。在另一个实施例中,因为外角204’中处理的重叠比内角204”中的重叠更多,所以外角204’对所选择的蚀刻化学品具有比内角204”更低的抗蚀刻性。因此内角202”比外角202’更接近90°角。因此,对于内角的形状比外角的形状更重要的应用,方法400可能是特别有利的。
图29绘示出根据本公开实施例,在光微影曝光阶段期间克服角落圆化问题方法500的流程图。以下结合图30-34讨论方法500。
在操作502,方法500提供基板,例如图3B的基板202。在操作504,方法500在基板上形成阻抗层,如图4B或18B的阻抗层206。在实施例中,方法500可以在基板和阻抗层之间形成一层或多层材料(如硬罩幕层)。
在操作506,方法500在光微影制程中对阻抗层的第一延长区206-1施加第一曝光。参照图30,第一曝光施加曝光能量(或辐射能量)剂量520到光致抗蚀剂区域206-1。曝光剂量520低于包含在阻抗层中的光致抗蚀剂材料的曝光阈值(exposure threshold,ET)。换句话说,第一曝光未完全曝光光致抗蚀剂区域206-1。图30也绘示出由于光学效应,延长光致抗蚀剂区域206-1的角落可能圆化。
在操作508,方法500于光微影制程中对阻抗层的第二延长区域206-2施加第二曝光。参照图31,第二曝光施加曝光能量剂量530到光致抗蚀剂区域206-2,曝光剂量530也低于包含在阻抗层中的光致抗蚀剂材料的曝光阈值。图31中也绘示出由于光学效应,延长光致抗蚀剂区域206-2的角落可能圆化。
在本实施例中,第一和第二光致抗蚀剂区域206-1和206-2延长度方向相互垂直。两光致抗蚀剂区域206-1和206-2的交会处(或共同区域)206-i(图32)接收曝光剂量520和530,并且变得完全曝光。换句话说,如图32所示,由交会处206-i接收的曝光剂量超过在阻抗层中光致抗蚀剂材料的曝光阈值。应注意的是,交会处206-i为矩形。当随后显影阻抗层时,交会处206-i成为角落大抵为90°的显影阻抗图案。此外,根据光致抗蚀剂显影处理的正负型态,交会处206-i可为岛型阻抗图案或孔洞型阻抗图案。举例来说,当交会处206-i在显影制程中保留时,所得到的阻抗图案是岛型阻抗图案;并且当在显影过程中去除交会处206-i时,得到的阻抗图案是孔洞型阻抗图案。方法100或方法350的实施例可以用于进一步改良阻抗图案的轮廓。
在方法500的各种实施例中,第一和第二光致抗蚀剂区域206-1和206-2都可以包括多个延长区域,并且第一和第二光致抗蚀剂区域的交会处可以形成多个矩形阻抗图案。图33绘示出一范例,其中光致抗蚀剂区域206-1和206-2都是L形光致抗蚀剂区域,并且它们形成两个交会处206-i。图34绘示出另一个范例,其中光致抗蚀剂区域206-1和206-2都包括两个(或更多个)平行的矩形,并且它们形成四个(或更多个)交会处206-i。
图35绘示出方法600的流程图,其根据本公开实施例在光微影曝光阶段期间克服角落圆化问题,特别是在L形或其他更复杂形状的内角中。图35于随后结合图36-39讨论。
在操作602,方法600提供基板,如图3B的基板202。在操作604,方法600在基板上形成阻抗层,如图4B或18B的阻抗层206。在实施例中,方法600可在基板和阻抗层之间形成一或多层材料(如硬罩幕层)。
在操作606,方法600在光微影制程中施加第一曝光至阻抗层的第一部分206-3,并且第一部分206-3包围矩形区域206-r。参照图36,第一曝光施加超过阻抗层中的光致抗蚀剂材料曝光阈值的曝光能量(或辐射能量)剂量。因此第一曝光完全曝光第一部分206-3,而未曝光矩形区域206-r。
在操作608,方法600施加第二曝光至阻抗层的第二部分206-4,并且第二部分206-4包括延伸到矩形区域206-r中的角落206-4’(图37)。在本实施例中,第二曝光也施加高于光致抗蚀剂材料阈值的曝光能量剂量。应注意的是,角落206-4’是光致抗蚀剂部分206-4的外角。在本实施例中,方法600应用光学接近修正(optical proximity correction,OPC)技术或其他技术,使得角落206-4’具有大抵90°的角度。此外,操作608可以曝光一个以上阻抗层的部分,上述部分具有延伸到矩形区域206-r中的角落。如图37和38中的范例所示,也曝光另一部分206-5,并且其具有延伸到矩形区域206-r的角落206-5’。角落206-5’也具有大抵上90°的角度。在图37中,角落206-4’和206-5’从矩形区域206-r的两个成对角相对的角落延伸到矩形区域206-r中,从而形成Z形的未曝光区域。在图38中,角落206-4’和206-5’沿着矩形区域206-r的同一侧从矩形区域206-r的两个相对的角落延伸到矩形区域206-r中,从而形成T形的未曝光区域。方法600考虑且可构建各种其它形状的未曝光区域。
在操作606和608之后,未曝光的光致抗蚀剂区域包括矩形区域206-r减去部分206-4和206-5(以及可能延伸到矩形区域206-r中的任何其它部分)。已发现由于和内角相比,光学接近修正技术对于外角通常更有效,因此通常和内角相比,更容易使形状(如L形或矩形)的外角成为直角。例如对于外角来说,光学接近修正技术通常可以扩大形状及/或在外角附近添加辅助图案,并且在外角处通常有足够的空间用于扩大形状及/或添加辅助图案。反之,对于内角,光学接近修正技术通常可收缩内角附近的形状,但是收缩通常受包括附近内角在内的附近形状限制。应注意的是,角落206-4’和206-5’是相应曝光区域的外角,但是成为未曝光区域的内角。通过实施操作606和608,方法600有效地改善作为目标图案的未曝光区域的内角轮廓。
在操作610,方法600显影阻抗层以形成阻抗图案220,其对应于矩形区域206-r减去部分206-4和206-5(以及可能延伸到矩形区域206-r的任何其他可能部分)。如图39所示,由图37造成的阻抗图案220具有大抵上为90度的内角220”。
应注意的是,本公开讨论了本公开概念的各种实施例。各种实施例不彼此独立。反之,本公开实施例的各部分可以组合形成新的实施例。举例来说,方法500的实施例可以与方法100或方法350的实施例结合以克服形成阻抗图案中的角落圆化问题。举另一例来说,可以组合方法400的实施例与方法600的实施例以克服角落圆化问题,特别是在形状的内角中。此外,方法300的实施例(图16)可以对如图17所示的阻抗图案施加双重离子处理,以改善从经处理的阻抗图案转移的硬罩幕图案的外角,并对如图23所示的硬罩幕图案施加另一种双重离子处理,以改善从处理过的硬罩幕图案转移的最终图案的内角。通过实施两个双重离子处理,最终图案的内角和外角都改善为具有大抵90°的角度。预期了实施例的各种其它组合。
尽管不旨在限制,本公开提供了许多好处。举例来说,可以在光微影制程应用本公开各种实施例,以克服图案中的角落圆化问题。本公开实施例制造的罩幕元件(蚀刻罩幕或离子布植罩幕)在其角落具有改进的轮廓,例如具有大抵90°的角落。
于一实施例中,本公开关于一种半导体制造方法,包括:提供基板,且于基板上提供图案层;于图案层中形成孔洞;沿着第一方向施加第一定向蚀刻至孔洞的内侧壁;以及沿着第二方向施加第二定向蚀刻至孔洞的内侧壁,其中第二方向与第一方向不同。
于另一实施例中,本公开关于一种半导体制造方法,包括:提供基板,且于基板上提供图案层;于图案层中形成图案,图案具有岛部;沿着第一方向施加第一定向处理至岛部的至少外侧壁;以及沿着第二方向施加第二定向处理至岛部的至少外侧壁,第二方向与第一方向不同,第一定向处理及第二定向处理共同地导致岛部角落部分的抗蚀刻性不同于岛部非角落部分的抗蚀刻性。
于又一实施例中,本公开关于一种半导体制造方法,包括:提供基板;于基板上形成光致抗蚀剂层;以第一曝光能量施加第一曝光至光致抗蚀剂层的第一部分,第一曝光能量比光致抗蚀剂层的曝光阈值高,其中第一部分包围第一矩形区域的四个侧边;以第二曝光能量施加第二曝光至光致抗蚀剂层的第二部分,第二曝光能量比光致抗蚀剂层的曝光阈值高,其中第二部分包括延伸至第一矩形区域中的第一直角角落;以及显影光致抗蚀剂层以形成阻抗图案,阻抗图案对应于第一矩形区域减去第二部分。
于又一实施例中,本公开关于一种半导体制造方法,包括:提供基板;基板包括硬罩幕层;于硬罩幕层中形成硬罩幕图案;沿着第一方向布植第一离子种类至硬罩幕图案中;沿着不同于第一方向的第二方向布植第一离子种类至硬罩幕图案中,其中第一离子种类和硬罩幕图案的侧壁形成化合物;以及使用对于化合物有选择性的蚀刻剂蚀刻硬罩幕图案。
于又一实施例中,本公开关于一种半导体制造方法,包括:提供基板;于基板上形成阻抗层;以小于阻抗层曝光阈值的第一曝光能量对阻抗层的第一延伸区域施加第一曝光;以小于阻抗层曝光阈值的第二曝光能量对阻抗层的第二延伸区域施加第二曝光,其中第一延伸区域与第二延伸区域相互延长度方向垂直且相交于矩形的共同区域;以及显影阻抗层,以形成对应于共同区域的阻抗图案。
如本公开一些实施例所述的半导体制造方法,其中该图案层包括一光致抗蚀剂。
如本公开一些实施例所述的半导体制造方法,其中该图案层包括一硬罩幕层。
如本公开一些实施例所述的半导体制造方法,其中该第二方向是垂直于该第一方向。
如本公开一些实施例所述的半导体制造方法,还包括:通过该孔洞蚀刻该基板。
如本公开一些实施例所述的半导体制造方法,其中该第一定向蚀刻及该第二定向蚀刻是同时施加。
如本公开一些实施例所述的半导体制造方法,其中该孔洞是一大抵矩形的图案。
如本公开一些实施例所述的半导体制造方法,其中该孔洞是一大抵L形的图案。
如本公开另一些实施例所述的半导体制造方法,其中该图案层包括一光致抗蚀剂。
如本公开另一些实施例所述的半导体制造方法,其中该第一定向处理与该第二定向处理使该岛部该些角落部分所增加的抗蚀刻性比该岛部该些非角落部分所增加的抗蚀刻性多。
如本公开另一些实施例所述的半导体制造方法,其中该第一定向处理及该第二定向处理包括一离子布植制程。
如本公开另一些实施例所述的半导体制造方法,其中该图案层包括非晶硅。
如本公开另一些实施例所述的半导体制造方法,其中该第一定向处理及该第二定向处理使该岛部该些角落部分所降低的抗蚀刻性比该岛部该些非角落部分所降低的抗蚀刻性多。
如本公开另一些实施例所述的半导体制造方法,其中该第一定向处理及该第二定向处理包括以氮离子处理该岛部的该些外侧壁。
如本公开另一些实施例所述的半导体制造方法,其中该岛部有一L形,该第一方向是该L形的水平方向,且该第二方向垂直该第一方向。
如本公开又一些实施例所述的半导体制造方法,其中该第二部分包括一第二直角角落,延伸至该第一矩形区域中。
如本公开又一些实施例所述的半导体制造方法,其中该第一直角角落及该第二直角角落从该第一矩形区域对角相对的两个角落延伸至该第一矩形区域中。
如本公开又一些实施例所述的半导体制造方法,其中该第一直角角落及该第二直角角落沿着该第一矩形区域的一同侧从相对的两个角落延伸至该第一矩形区域中。
上述内容概述许多实施例的特征,因此任何所属技术领域技术人员,可更加理解本公开的各面向。任何所属技术领域技术人员,可能无困难地以本公开为基础,设计或修改其他制程及结构,以达到与本公开实施例相同的目的及/或得到相同的优点。任何所属技术领域技术人员也应了解,在不脱离本公开的精神和范围内做不同改变、代替及修改,如此等效的创造并没有超出本公开的精神及范围。

Claims (1)

1.一种半导体制造方法,包括:
提供一基板,且于该基板上提供一图案层;
于该图案层中形成一孔洞;
沿着一第一方向施加一第一定向蚀刻至该孔洞的多个内侧壁;以及
沿着一第二方向施加一第二定向蚀刻至该孔洞的该些内侧壁,其中该第二方向与该第一方向不同。
CN201710673891.0A 2016-12-15 2017-08-07 半导体制造方法 Active CN108231549B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201662434997P 2016-12-15 2016-12-15
US62/434,997 2016-12-15
US15/474,522 2017-03-30
US15/474,522 US10658184B2 (en) 2016-12-15 2017-03-30 Pattern fidelity enhancement with directional patterning technology

Publications (2)

Publication Number Publication Date
CN108231549A true CN108231549A (zh) 2018-06-29
CN108231549B CN108231549B (zh) 2021-10-26

Family

ID=62561973

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201710673891.0A Active CN108231549B (zh) 2016-12-15 2017-08-07 半导体制造方法

Country Status (3)

Country Link
US (2) US10658184B2 (zh)
CN (1) CN108231549B (zh)
TW (1) TWI743150B (zh)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10504738B2 (en) * 2017-05-31 2019-12-10 Taiwan Semiconductor Manufacturing Company, Ltd. Focus ring for plasma etcher
US10861698B2 (en) 2017-08-29 2020-12-08 Taiwan Semiconductor Manufacturing Co., Ltd. Pattern fidelity enhancement
US10529617B2 (en) * 2017-09-29 2020-01-07 Taiwan Semiconductor Manufacturing Company, Ltd. Metal routing with flexible space formed using self-aligned spacer patterning
US11796922B2 (en) * 2019-09-30 2023-10-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing semiconductor devices

Citations (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS612329A (ja) * 1984-06-14 1986-01-08 Toshiba Corp 単結晶シリコンの微細加工方法
EP0409681A1 (fr) * 1989-07-21 1991-01-23 Thomson Composants Microondes Procédé de fabrication de grilles hyperfines
US5654238A (en) * 1995-08-03 1997-08-05 International Business Machines Corporation Method for etching vertical contact holes without substrate damage caused by directional etching
CN1459048A (zh) * 2000-09-18 2003-11-26 微激光系统公司 双层制模白板及其制造工艺
CN1722425A (zh) * 2004-05-28 2006-01-18 台湾积体电路制造股份有限公司 半导体结构
US20060166419A1 (en) * 2005-01-21 2006-07-27 Kazuo Shimoyama Method for manufacturing semiconductor device
US20060270068A1 (en) * 2005-05-31 2006-11-30 Taiwan Semiconductor Manufacturing Co., Ltd. Method for fabricating right-angle holes in a substrate
US20090023098A1 (en) * 2007-07-16 2009-01-22 Kanti Jain Method for fabricating dual damascene profiles using sub pixel-voting lithography and devices made by same
CN102027572A (zh) * 2008-05-13 2011-04-20 应用材料股份有限公司 使用共形等离子体增强化学气相沉积(pecvd)膜来缩减关键尺寸的方法
US20120244711A1 (en) * 2011-03-23 2012-09-27 International Business Machines Corporation Sidewall image transfer process
US20130129991A1 (en) * 2010-08-09 2013-05-23 Coumba Ndoye Multiple exposure with image reversal in a single photoresist layer
CN104025256A (zh) * 2011-12-29 2014-09-03 英特尔公司 双重图案化光刻技术
US9190498B2 (en) * 2012-09-14 2015-11-17 Varian Semiconductor Equipment Associates, Inc. Technique for forming a FinFET device using selective ion implantation

Family Cites Families (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6544721B1 (en) * 1998-06-16 2003-04-08 Canon Kabushiki Kaisha Multiple exposure method
KR100653534B1 (ko) 2005-12-26 2006-12-05 동부일렉트로닉스 주식회사 포토레지스트막패턴 형성방법 및 이를 이용한 미세패턴형성방법
US8518628B2 (en) 2006-09-22 2013-08-27 Taiwan Semiconductor Manufacturing Company, Ltd. Surface switchable photoresist
US8580117B2 (en) 2007-03-20 2013-11-12 Taiwan Semiconductor Manufactuing Company, Ltd. System and method for replacing resist filter to reduce resist filter-induced wafer defects
JP2008277318A (ja) * 2007-04-25 2008-11-13 Elpida Memory Inc パターン形成方法
US8188445B2 (en) 2009-04-03 2012-05-29 Varian Semiconductor Equipment Associates, Inc. Ion source
US8216767B2 (en) 2009-09-08 2012-07-10 Taiwan Semiconductor Manufacturing Company, Ltd. Patterning process and chemical amplified photoresist with a photodegradable base
US8153522B2 (en) * 2010-03-02 2012-04-10 Micron Technology, Inc. Patterning mask and method of formation of mask using step double patterning
US8778603B2 (en) 2010-03-15 2014-07-15 Varian Semiconductor Equipment Associates, Inc. Method and system for modifying substrate relief features using ion implantation
US8133804B1 (en) 2010-10-01 2012-03-13 Varian Semiconductor Equipment Associates, Inc. Method and system for modifying patterned photoresist using multi-step ion implantation
US8323870B2 (en) 2010-11-01 2012-12-04 Taiwan Semiconductor Manufacturing Company, Ltd. Method and photoresist with zipper mechanism
KR20130124149A (ko) 2011-03-11 2013-11-13 베리안 세미콘덕터 이큅먼트 어소시에이츠, 인크. 이온 주입을 사용하는 기판 패턴화된 특징부들의 수정 방법 및 시스템
US8647796B2 (en) 2011-07-27 2014-02-11 Taiwan Semiconductor Manufacturing Company, Ltd. Photoactive compound gradient photoresist
US8741551B2 (en) 2012-04-09 2014-06-03 Taiwan Semiconductor Manufacturing Company, Ltd. Method and composition of a dual sensitive resist
US9213234B2 (en) 2012-06-01 2015-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Photosensitive material and method of lithography
US9851636B2 (en) 2012-07-05 2017-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Materials and methods for improved photoresist performance
US20140017615A1 (en) 2012-07-11 2014-01-16 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for resist coating and developing
US9256133B2 (en) 2012-07-13 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for developing process
US9028915B2 (en) 2012-09-04 2015-05-12 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a photoresist layer
US8906595B2 (en) 2012-11-01 2014-12-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method for improving resist pattern peeling
US9012132B2 (en) 2013-01-02 2015-04-21 Taiwan Semiconductor Manufacturing Company, Ltd. Coating material and method for photolithography
US8936903B2 (en) 2013-03-09 2015-01-20 Taiwan Semiconductor Manufacturing Company, Ltd. Photo-resist with floating acid
US9223220B2 (en) 2013-03-12 2015-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Photo resist baking in lithography process
US8932799B2 (en) 2013-03-12 2015-01-13 Taiwan Semiconductor Manufacturing Company, Ltd. Photoresist system and method
US8952344B2 (en) 2013-03-14 2015-02-10 Varian Semiconductor Equipment Associates Techniques for processing photoresist features using ions
US9146469B2 (en) 2013-03-14 2015-09-29 Taiwan Semiconductor Manufacturing Company, Ltd. Middle layer composition for trilayer patterning stack
US9153478B2 (en) 2013-03-15 2015-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Spacer etching process for integrated circuit design
US9466486B2 (en) 2013-08-30 2016-10-11 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US9934981B2 (en) * 2013-09-26 2018-04-03 Varian Semiconductor Equipment Associates, Inc. Techniques for processing substrates using directional reactive ion etching
US8980108B1 (en) 2013-10-04 2015-03-17 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit fabrication
CN104562024B (zh) * 2013-10-24 2017-08-25 富泰华精密电子(郑州)有限公司 金属与树脂的复合体及其制造方法
JP2015185770A (ja) * 2014-03-25 2015-10-22 株式会社東芝 半導体装置の製造方法
US9287123B2 (en) * 2014-04-28 2016-03-15 Varian Semiconductor Equipment Associates, Inc. Techniques for forming angled structures for reduced defects in heteroepitaxy of semiconductor films
US20160064239A1 (en) 2014-08-28 2016-03-03 Taiwan Semiconductor Manufacturing Company, Ltd. Method for Integrated Circuit Patterning
US9418868B1 (en) * 2015-03-13 2016-08-16 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating semiconductor device with reduced trench distortions
US10008384B2 (en) * 2015-06-25 2018-06-26 Varian Semiconductor Equipment Associates, Inc. Techniques to engineer nanoscale patterned features using ions
US9984889B2 (en) * 2016-03-08 2018-05-29 Varian Semiconductor Equipment Associates, Inc. Techniques for manipulating patterned features using ions
US10229832B2 (en) * 2016-09-22 2019-03-12 Varian Semiconductor Equipment Associates, Inc. Techniques for forming patterned features using directional ions

Patent Citations (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS612329A (ja) * 1984-06-14 1986-01-08 Toshiba Corp 単結晶シリコンの微細加工方法
EP0409681A1 (fr) * 1989-07-21 1991-01-23 Thomson Composants Microondes Procédé de fabrication de grilles hyperfines
US5654238A (en) * 1995-08-03 1997-08-05 International Business Machines Corporation Method for etching vertical contact holes without substrate damage caused by directional etching
CN1459048A (zh) * 2000-09-18 2003-11-26 微激光系统公司 双层制模白板及其制造工艺
CN1722425A (zh) * 2004-05-28 2006-01-18 台湾积体电路制造股份有限公司 半导体结构
US20060166419A1 (en) * 2005-01-21 2006-07-27 Kazuo Shimoyama Method for manufacturing semiconductor device
US20060270068A1 (en) * 2005-05-31 2006-11-30 Taiwan Semiconductor Manufacturing Co., Ltd. Method for fabricating right-angle holes in a substrate
US20090023098A1 (en) * 2007-07-16 2009-01-22 Kanti Jain Method for fabricating dual damascene profiles using sub pixel-voting lithography and devices made by same
CN102027572A (zh) * 2008-05-13 2011-04-20 应用材料股份有限公司 使用共形等离子体增强化学气相沉积(pecvd)膜来缩减关键尺寸的方法
US20130129991A1 (en) * 2010-08-09 2013-05-23 Coumba Ndoye Multiple exposure with image reversal in a single photoresist layer
US20120244711A1 (en) * 2011-03-23 2012-09-27 International Business Machines Corporation Sidewall image transfer process
CN104025256A (zh) * 2011-12-29 2014-09-03 英特尔公司 双重图案化光刻技术
US9190498B2 (en) * 2012-09-14 2015-11-17 Varian Semiconductor Equipment Associates, Inc. Technique for forming a FinFET device using selective ion implantation

Also Published As

Publication number Publication date
TWI743150B (zh) 2021-10-21
CN108231549B (zh) 2021-10-26
US11158509B2 (en) 2021-10-26
US20180174853A1 (en) 2018-06-21
US20200279743A1 (en) 2020-09-03
TW201824342A (zh) 2018-07-01
US10658184B2 (en) 2020-05-19

Similar Documents

Publication Publication Date Title
US10276372B2 (en) Method for integrated circuit patterning
KR101504896B1 (ko) 반도체 장치 제조 방법
US11791161B2 (en) Pattern fidelity enhancement
US20180233368A1 (en) Method for Integrated Circuit Patterning
CN108231549A (zh) 半导体制造方法
US11978639B2 (en) Two-color self-aligned double patterning (SADP) to yield static random access memory (SRAM) and dense logic
US9875906B2 (en) Mechanisms for forming patterns using multiple lithography processes
US20190148162A1 (en) Mechanisms for Forming Patterns Using Multiple Lithography Processes
JP2019510253A (ja) 高分解能のフォトマスク又はレチクル及びその製造方法
US20210242013A1 (en) Patterned structure
US11635695B2 (en) Method for reducing line-end space in integrated circuit patterning
CN110707003B (zh) 图案化结构的制作方法
US20220102162A1 (en) Feature patterning using pitch relaxation and directional end-pushing with ion bombardment
JP2003008017A (ja) 半導体装置の製造方法
KR100620198B1 (ko) 반도체 소자 제조방법
CN108028283A (zh) 薄膜晶体管的制造方法和薄膜晶体管
JPH0982908A (ja) 半導体装置およびその製造方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant