CN107735851A - 在先进图案化工艺中用于间隔物沉积与选择性移除的设备与方法 - Google Patents

在先进图案化工艺中用于间隔物沉积与选择性移除的设备与方法 Download PDF

Info

Publication number
CN107735851A
CN107735851A CN201680031800.7A CN201680031800A CN107735851A CN 107735851 A CN107735851 A CN 107735851A CN 201680031800 A CN201680031800 A CN 201680031800A CN 107735851 A CN107735851 A CN 107735851A
Authority
CN
China
Prior art keywords
spacer layer
gas
substrate
deposition
steps
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201680031800.7A
Other languages
English (en)
Other versions
CN107735851B (zh
Inventor
周杰
殷正操
尚布休·N·罗伊
斯里尼瓦斯·D·内曼尼
刘菁菁
冶利.Y.叶
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN107735851A publication Critical patent/CN107735851A/zh
Application granted granted Critical
Publication of CN107735851B publication Critical patent/CN107735851B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本文的实施方式提供在多重图案化工艺中用于在间隔物层上进行具有良好轮廓控制的沉积和图案化工艺的设备和方法。在一个实施方式中,一种在多重图案化工艺期间沉积和图案化间隔物层的方法包括以下步骤:在设在基板上的图案化结构的外表面上保形地形成间隔物层,其中所述图案化结构间界定有第一组开口;选择性地处理形成在基板上的间隔物层的第一部分,而不处理间隔物层的第二部分;以及选择性地移除间隔物层经处理的第一部分。

Description

在先进图案化工艺中用于间隔物沉积与选择性移除的设备与 方法
本公开内容的背景
技术领域
本文中的实施方式大体涉及用于在平版印刷多重图案化制造工艺中形成间隔物层的设备和制造工艺。
背景技术
可靠地生产亚微米和更小的特征结构是半导体装置的超大规模集成电路(VLSI)和特大规模集成电路(ULSI)的关键要求之一。然而,随着电路技术的不断小型化,诸如互连结构(interconnect)的电路特征结构的间距和尺寸的大小对于处理能力已有额外的需求。处于此技术核心的多层互连结构要求精确地的成像和高深宽比的特征结构(例如通孔和其他互连结构)的布置。可靠地形成这些互连结构对于进一步增加装置和互连结构的密度是关键的。此外,需要形成亚微米大小的特征结构和互连结构并减少中间材料(例如抗蚀剂(resist)和硬掩模材料)的浪费。
随着下一代装置的电路密度增加,诸如通孔、沟槽、触点、栅极等互连结构和其它特征结构及上述特征结构之间的介电材料的宽度或间距正减少到45nm和32nm及更小的尺寸。由于装置的缩放(scaling)延伸到进一步低于平版印刷术扫描仪的分辨极限,故采用了多重图案化来满足当今的集成装置的特征结构密度要求。多重图案化是进行若干抗蚀剂涂布、平版印刷图案化及蚀刻操作以在多个步骤中最终图案化膜层的工艺。当组合时,重复的图案化操作在下方的硬模层中形成特征结构,当被完全图案化时,所述硬模层可被用来图案化下面的层,或作为注入或扩散掩模(implant or diffusion mask)。
在一个实例中,多重图案化工艺被广泛用于在硬模层中而形成小的特征结构。自对准双重图案化(SADP)是用于将光刻技术的能力延伸到超越最小间距的双重图案化工艺。图1A-1D描绘用于蚀刻硬模层的自对准双重图案化(SADP)或自对准三重图案化(SATP)或甚至自对准四重图案化(SAQP)的常规现有技术的循环100的实例。常规的循环100被描绘在从图1A至图1D的快照中,图1A至图1D是对基板进行本文所述的处理时基板的相同部分的描绘。在实例中,低介电常数(low-K)层103设在基板101上。硬模层105可设在低介电常数层103上并且在硬模层105上形成有图案化结构108(例如通过介电层、光刻胶层、或任何适用于图案化的材料形成的图案化结构),图案化结构108中界定开口118。值得注意的是,在图案化结构108与硬模层105之间可以形成附加的牺牲层来协助下层的图案化。在图1B中,可以将间隔物层126保形地形成在图案化结构108的侧壁111和顶表面109上,以将开口118的尺寸(在图1A)进一步减小到开口125。在图1C中,进行蚀刻处理以从基板101蚀刻一部分间隔物层126,直到图案化结构108的顶表面109露出并且下方硬模层105的表面露出。在图1D中,进行最终的蚀刻处理以从基板101移除图案化结构108,而留下界定新开口145的间隔物层126,在基板101上的间隔物层116中的开口145具有减小的尺寸。在使用图案化间隔物层126作为蚀刻掩模进一步图案化硬模层105之后,则认为自对准双重图案化(SADP)已完成。在某些情况下,可以继续进行此工艺以形成附加的间隔物层来视需要将开口145的尺寸进一步缩小到更窄的开口。值得注意的是,可以形成数量如希望多的间隔物层,只要间隔物层之间界定的开口不会闭合并且间隔物层由开口界定为分开即可。
在图1C的间隔物层126的蚀刻过程中,基板101上的不同材料(例如图案化结构108、间隔物层126、及下方硬模层105)的不同蚀刻速率可能导致在所得结构的不同地方形成不同的蚀刻尺寸或不对称的蚀刻轮廓。特别地,在蚀刻处理之后,间隔物层126的角部132(如圆圈130所指)经常遭受圆形顶肩侵蚀(rounded top shoulder errosion)、肩小面化(shoulder faceting)或不希望的非垂直侧壁蚀刻轮廓,导致临界尺寸(CD)损失或变形的轮廓。图案化结构的不准确临界尺寸或轮廓变形可能会在后续的平版印刷术曝光处理期间导致光束失焦问题、覆盖误差及明显的分辨率损失。在一些情况下,不准确的轮廓或结构尺寸可能会导致装置结构瓦解,最终导致装置失效和产物低产量。
因此,需要在多重图案化处理期间进行准确轮廓控制的改良方法。
发明内容
本文的实施方式提供在多重图案化工艺中用于形成间隔物层及在间隔物层上原位进行选择性移除工艺且具有良好轮廓控制的设备和方法,以形成用于半导体应用的纳米线。在一个实施方式中,一种在多重图案化处理期间沉积和图案化间隔物层的方法包括以下步骤:在设在基板上的图案化结构的外表面上保形地形成间隔物层,其中所述图案化结构间界定有第一组开口;选择性地处理形成在基板上的间隔物层的第一部分,而不处理间隔物层的第二部分;以及选择性地移除间隔物层经处理的第一部分。
在另一个实施方式中,一种在多重图案化工艺期间沉积和图案化间隔物层的方法包括以下步骤:在基板上进行前处理工艺,所述基板被提供在处理腔室中;通过在沉积工艺期间使用电感耦合等离子体进行间隔物层沉积工艺,以在基板上形成间隔物层;在沉积后处理工艺期间通过在没有电感耦合等离子体的情况下使用射频偏压电源进行沉积后处理工艺,以选择性地处理所述间隔物层的一部分;以及在选择性移除工艺期间通过远程等离子体源进行选择性移除工艺,以选择性移除所述间隔物层的所述部分。
在又一个实施方式中,一种在多重图案化工艺期间形成和图案化间隔物层的方法包括一种用于沉积和图案化设在基板上的间隔物层的方法,包括以下步骤:供应沉积气体混合物到处理腔室中,所述沉积气体混合物包括硅基气体和氮,以使用沉积气体混合物中形成的电感耦合等离子体在基板上沉积间隔物层;供应包括惰性气体的沉积后处理气体混合物,以选择性地处理设在基板上的间隔物层的第一部分,而不处理间隔物层的第二部分;以及供应选择性移除气体混合物,以使用由选择性移除气体混合物形成的远程等离子体源从基板只移除间隔物层的经处理的第一部分。
附图说明
为了得到并可以详细理解本文实施方式的上述特征,可参照附图中图示的实例而对以上简要概述的公开内容有更详细的描述。
图1A-1D绘示利用间隔物层来减小开口尺寸的多重图案化工艺的常规循环;
图2绘示可被用于形成间隔物层和进行选择性移除工艺的处理腔室;
图3绘示用于进行间隔物层沉积和图案化工艺的流程图;以及
图4A-4E绘示在利用图3绘示的工艺沉积和图案化在基板上的间隔物层的多重图案化工艺期间处于各个阶段的膜堆叠结构(stack)。
为了便于实施方式的理解,已尽可能使用相同的参考数字来指称附图中共有的相同元件。设想可以将一个实施方式的元件和特征结构有益地并入其他的实施方式中而无需另外详述。
然而,应注意的是,附图只图示出示例性的实例,因此不应将附图视为对本发明范围的限制,因为本发明可认可其他同样有效的实施方式。
实施方式
本申请的实施方式包括在多重图案化工艺中用以沉积和图案化间隔物层的工艺,多重图案化工艺可提供具有所需的准确尺寸和轮廓而没有变圆(rounded)、变形或受侵蚀角部及/或肩部的图案化间隔物层。间隔物层可被用于多重图案化工艺,多重图案化工艺最终可被用于图案化和蚀刻介电互连材料以形成具有高深宽比及/或具有小尺寸的含金属特征结构(例如纳米线)。在一个实施方式中,间隔物层沉积和图案化工艺可以包括形成间隔物层的沉积工艺,之后进行选择性移除工艺来有效塑形并控制得到的轮廓,以提供具有所需轮廓和尺寸的间隔物层。沉积和选择性移除工艺可以通过利用电感耦合等离子体源(ICP)沉积工艺进行,而且随后利用远程等离子体源进行选择性移除工艺。电感耦合等离子体源(ICP)沉积工艺和远程等离子体源选择性移除工艺可以在配备有ICP源和RPS源的设备中原位进行,所述设备提供需要的能力来进行沉积工艺和选择性移除工艺。如此,可以在形成并图案化间隔物层之后在间隔物层中获得具有所需膜轮廓的受控沉积和选择性移除工艺。
图2是用于进行沉积工艺以形成间隔物层随后进行选择性移除工艺的设备200的截面图。可适于与本文公开的教导一起使用的合适的处理腔室包括例如可购自加利福尼亚州圣克拉拉市的应用材料公司的HDP-处理腔室。虽然将设备200图示为包括使优异的沉积和选择性移除性能变为可能的多个特征结构,但设想可以改造其他的处理腔室来从本文公开的一个或多个发明特征结构中获益。
设备200包括处理腔室202及耦接到处理腔室202的远程等离子体源204。远程等离子体源204可以是能够产生自由基的任何合适的来源。远程等离子体源204可以是远程等离子体源例如射频(RF)或甚高射频(VHRF)电容耦合等离子体(CCP)源、电感耦合等离子体(ICP)源、微波诱导(MW)等离子体源、电子回旋共振(ECR)腔室、或高密度等离子体(HDP)腔室。远程等离子体源204可以包括一个或多个气源206,而且远程等离子体源204可以通过自由基管道208耦接到处理腔室202。一种或多种处理气体(可以是形成自由基的气体)可以经由一个或多个气源206进入远程等离子体源204。所述一种或多种处理气体可以包含含氯气体、含氟气体、惰性气体、含氧气体、含氮气体、含氢气体、或上述气体的任意组合。在远程等离子体源204中产生的自由基通过耦接到处理腔室202的自由基管道208行进到处理腔室202中,从而到达在处理腔室202中界定的内部处理区域251。
自由基管道208是盖组件212的一部分,盖组件212还包括自由基腔210、顶板214、盖缘216、及喷头218。自由基管道208可以包含大体上不与自由基反应的材料。例如,自由基管道208可以包含AlN,SiO2,Y2O3,MgO,阳极化Al2O3,蓝宝石,含有Al2O3、蓝宝石、AlN、Y2O3、MgO、或塑胶中的一种或多种的陶瓷。合适的SiO2材料的代表性实例是石英。自由基管道208可设在自由基管道支撑构件220内并由自由基管道支撑构件220支撑。自由基管道支撑构件220可设在顶板214上,顶板214静置在盖缘216上。
自由基腔210位于自由基管道208下方并耦接到自由基管道208,而且在远程等离子体源204中产生的自由基通过自由基管道208行进到自由基腔210。自由基腔210由顶板214、盖缘216、及喷头218界定。可选地,自由基腔210可以包括衬垫222。衬垫222可以覆盖顶板214和盖缘216暴露于自由基腔210的表面。来自远程等离子体源204的自由基穿过设在喷头218中的多个管224而进入内部处理区域251中。喷头218进一步包括多个开口226,多个开口226的直径比多个管224的直径更小。多个开口226连接到不与多个管224流体连通的内部空间(未示出)。可以将一个或多个流体源219耦接到喷头218以将流体混合物引入处理腔室202的内部处理区域251中。流体混合物可以包括前驱物、成孔剂(porogen)、及/或载流体(carrier fluid)。流体混合物可以是气体和液体的混合物。
处理腔室202可以包括盖组件212、腔室主体230及支撑组件232。支撑组件232可以至少部分地设在腔室主体230内。腔室主体230可以包括狭缝阀235以提供到处理腔室202的内部空间的通道。腔室主体230可以包括衬垫234,衬垫234覆盖腔室主体230的内表面。衬垫234可以包括形成在其中并与真空系统240流体连通的一个或多个孔236和泵送通道238。孔236为气体提供进入泵送通道238的流动路径,泵送通道238为处理腔室202内的气体提供出口。
真空系统240可以包括真空口242、阀244及真空泵246。真空泵246经由真空口242与泵送通道238流体连通。孔236允许泵送通道238与腔室主体230内的内部处理区域251流体连通。内部处理区域251由喷头218的下表面248和支撑组件232的上表面250界定,而且内部处理区域251被衬垫234包围。
支撑组件232可以包括支撑构件252,以支撑基板(未示出)用于在腔室主体230内进行处理。基板可以具有任何标准的晶片尺寸,例如300mm。或者,基板可以大于300mm,例如450mm或更大。支撑构件252可以根据操作温度包含氮化铝(AlN)或铝。支撑构件252可经配置以将基板装卡(chuck)到支撑构件252。例如,支撑构件252可以是静电吸盘或真空吸盘。
支撑构件252可通过轴256耦接到升举机构254,轴256延伸穿过形成在腔室主体230的底表面中位于中心的开口258。升举机构254可以通过波纹管260弹性地密封到腔室主体230,此举防止轴256周围的真空泄漏。升举机构254允许支撑构件252在腔室主体230内、在处理位置与较低的移送位置之间垂直移动。移送位置略低于狭缝阀235的开口。在操作过程中,可以将基板与喷头218之间的间距最小化,以最大化在基板表面的自由基通量。例如,所述间距可以介于约100mm和约5,000mm之间。升举机构254可以是能够转动轴256的,轴256接着转动支撑构件252,从而使设在支撑构件252上的基板在操作过程中被转动。
可以将一个或多个加热元件262和冷却通道264嵌入支撑构件252中。在操作过程中可以使用加热元件262和冷却通道264来控制基板的温度。加热元件262可以是任何合适的加热元件,例如一个或多个电阻加热元件。可以将加热元件262连接到一个或多个电源(未示出)。加热元件262可以被单独控制,以在多区域的加热或冷却上具有独立的加热及/或冷却控制。有了在多区域的加热和冷却上具有独立控制的能力,可以在任何给定的工艺条件下改善基板的温度分布。冷却剂可以流经冷却通道264来冷却基板。支撑构件252可以进一步包括延伸到上表面250的气体通路,以使冷却气体流到基板的背侧。
射频电源243可以通过射频电源匹配箱247耦接到喷头218。射频电源243可以是低频、高频、或甚高频的。在一个实施方式中,射频电源243是高频射频产生器,所述高频射频产生器可以产生用于沉积高密度膜层的高密度等离子体。在一个实例中,射频电源243可以作为电感耦合射频能量发送装置,所述电感耦合射频能量发送装置可以产生并控制在支撑构件252上方的内部处理区域251中产生的电感耦合等离子体(ICP)。当产生电感耦合等离子体(ICP)时,可以提供来自射频电源匹配箱247的动态阻抗匹配。
除了射频电源243之外,可以将射频偏压电源245耦接到支撑构件252。支撑构件252被配置为阴极,并包括耦接到射频偏压电源245的电极263。射频偏压电源245被耦接在设在支撑构件252中的电极263与另一个电极之间,另一个电极例如喷头218或腔室主体230的顶板(顶板214)。从射频偏压电源245产生的射频偏压功率激发并维持由设在腔室主体230的内部处理区域251中的气体形成的等离子体放电。
在一种操作模式中,基板101设在处理腔室202中的支撑构件252上。处理气体及/或气体混合物从气源206通过喷头218被引入腔室主体230中。真空泵246在移除沉积副产物的同时保持腔室主体230内部的压力。
控制器270被耦接到处理腔室202,以控制处理腔室202的操作。控制器270包括用以控制处理顺序并调节来自气源206的气流的中央处理单元(CPU)272、存储器274、及支持电路276。CPU 272可以是任何形式的可在工业环境中使用的通用计算机处理器。可以将软件例程储存在存储器274中,存储器274例如随机存取存储器、只读存储器、软盘、或硬盘驱动器、或其他形式的数字储存器。支持电路276常规地耦接到CPU 272,而且可以包括高速缓存、时钟电路、输入/输出系统、电源、及类似物。通过大量的信号电缆对控制器270与处理腔室202的各种部件之间的双向通信进行处理。
图3图示用于在基板上沉积和图案化间隔物层的方法300,方法300稍后可被用于在半导体装置的多重图案化工艺期间形成图案化结构。图4A-4E为对应于方法300的各种阶段的部分基板402的截面图。在一个实例中,在多重图案化工艺期间/中可以利用间隔物层来减小在基板表面上的图案化结构中形成的开口的尺寸。或者,可以有利地利用方法300来为其他类型的结构蚀刻或移除残余物。
方法300通过提供基板而开始于操作302,所述基板例如图4A中描绘的基板402,基板402上形成有膜堆叠结构400,如图4A所示。基板402可被转移进入处理腔室中,例如图2中描绘的用于沉积和图案化间隔物层的处理腔室202。基板402可以是诸如结晶硅(例如Si<100>或Si<111>)、氧化硅、应变硅、硅锗、锗、掺杂的或未掺杂的多晶硅、掺杂的或未掺杂的硅晶片和图案化或未图案化的绝缘体上硅(SOI)晶片、掺杂碳的硅氧化物、氮化硅、掺杂的硅、锗、砷化镓、玻璃、或蓝宝石等材料。基板402可以具有各种尺寸,例如200mm、300mm、450mm、或其他直径,也可作为矩形或方形板。除非另有说明,否则本文描述的实例是在直径200mm、直径300mm的基板、或直径450mm的基板上进行。
膜堆叠机构400至少包括设在基板402上的介电层404,介电层404稍后可被用于形成另一个图案化结构,以便将特征结构转入基板402。在图4A示出的实施方式中,介电层404在基板402上形成。应注意,可以视需要将介电层404形成为直接接触或非直接接触(例如在介电层404与基板402之间形成附加的层或结构)。
在一个实施方式中,介电层404为氧化硅、氮化硅、氧氮化硅、高介电常数材料、或上述材料的组合及类似物。本文提及的高介电常数材料是介电常数大于4.0的介电材料。高介电常数材料层的合适的实例包括二氧化铪(HfO2)、二氧化锆(ZrO2)、铪硅氧化物(HfSiO2)、铪铝氧化物(HfAlO)、锆硅氧化物(ZrSiO2)、二氧化钽(TaO2)、氧化铝、掺杂铝的二氧化铪、铋锶钛(BST)、及铂锆钛(PZT)等等。在图4A描绘的实施方式中,介电层404为厚度介于约10nm和约300nm之间的氧化硅层。
其中形成有多个开口410(例如第一组开口)的图案化结构408可设在介电层404上,从而暴露出介电层404的部分406,以便于将特征结构传入介电层404中,以便视需要在设在基板402上的膜堆叠结构400中形成所需的半导体结构。图案化结构408可以视需要为单层或复合层。在一个实施方式中,图案化结构408可以由含硅材料制成,所述含硅材料例如多晶硅、结晶硅、非晶硅、掺杂的硅材料、无定形碳材料、氮化硅、二氧化硅碳化硅、或任何合适的含硅材料。在一个特定的实例中,图案化结构408由非晶硅层或多晶硅层制成。
在操作304处,间隔物层416在图案化结构408的侧壁415和上表面418及介电层404的部分406上保形地形成,如图4B所示。间隔物层416在等离子体处理腔室中形成,例如图2描绘的处理腔室202。间隔物层416可以是与为介电层404选择的材料不同的介电材料。在一个实例中,间隔物层416是含硅层,例如多晶硅层、微晶硅层、纳米晶层、非晶硅层及类似物。间隔物层416可以通过HDP-CVD工艺,外延沉积工艺,炉工艺,ALD工艺或在PVD、CVD、ALD、或其他合适的等离子体处理腔室中的任何合适的沉积技术形成。在一个特定的实例中,间隔物层416是通过在处理腔室202中进行的高密度等离子体(HDP)CVD工艺形成的、具有介于约7nm和约25nm之间的厚度的非晶硅层(a-Si)。间隔物层416的合适的示例性材料包括非晶硅、多晶硅、无定形碳材料、氮化硅、二氧化硅或碳化硅。
在一个实例中,在间隔物层416的沉积过程中,可以供应沉积气体混合物到处理腔室202中用于进行处理。在一个实施方式中,所述气体混合物可以至少包括硅烷基气体。所述硅烷基气体的合适的实例包括硅烷(SiH4)、乙硅烷(Si2H6)、四氟化硅(SiF4)、四氯化硅(SiCl4)、及二氯硅烷(SiH2Cl2)、以及类似物。
在一些实例中,也可以在沉积过程中将载气供应到气体混合物中。载气的合适的实例包括N2、N2O、NO2、NH3、CO、CO2、O2、O3、H2O及类似物。可选地,还可以在气体混合物中供应诸如He、Ar、Kr、Ne或类似物的惰性气体。在一个特定的实例中,气体混合物中使用的硅烷基气体为硅烷(SiH4)或乙硅烷(Si2H6),并且载气为N2,而且所述惰性气体为He,以形成非晶硅(a-Si)层或氮化硅层。
在一个实例中,保持硅烷基气体与N2气体的气体比,以控制气体混合物的反应行为,而产生所需的膜性质。在一个实施方式中,可以将硅烷基气体与N2气体的气体比控制在约1:3和约1:5之间。
在沉积过程中,可以利用诸如电感耦合等离子体(ICP)电源的射频电源来沉积间隔物层416。用以沉积间隔物层416的射频电源(即ICP电源)可以有助于形成具有所需的膜性质的间隔物层416,所述所需的膜性质例如伴随所需蚀刻速率的所需密度或应力。在一个实例中,在沉积过程中可以供应相对低的射频电源到处理腔室202,所述相对低的射频电源例如小于650瓦,例如介于约200瓦和约600瓦之间,例如约500瓦。在沉积过程中还可以供应相对低的射频偏压功率到处理腔室202中,所述相对低的射频偏压功率例如约小于600瓦、介于100瓦和约500瓦之间。相信在沉积工艺期间利用具有电感耦合等离子体(ICP)功率的相对较低射频电源(例如小于650瓦)连同相对低的射频偏压功率可以提供可增强离子从气体混合物解离的较低等离子体密度和较低离子轰击。然而,约束在各个晶格单元中的过量的硅元素不仅增大膜密度,而且还会不利地产生高应力,高应力可能会在随后的图案化工艺期间提供良好的膜蚀刻/侵蚀抗性。
在沉积过程中,基板温度可被控制在约摄氏200度和约摄氏450度之间,例如约摄氏350度。硅烷基气体(例如硅烷(SiH4))可被以介于约8sccm和约60sccm之间(例如介于约30sccm和约40sccm之间)的速率供应在气体混合物中。诸如He气的惰性气体可被以介于约200sccm和约2000sccm(例如介于约600sccm和约1200sccm)之间的速率供应在气体混合物中。诸如N2气的载气可被以介于60sccm和约200sccm(例如介于约120sccm和约160sccm)之间的速率供应在气体混合物中。可以施加介于约400瓦至约2000瓦(例如450瓦至约1000瓦)之间的射频电源来保持由气体混合物形成的等离子体。可以将处理压力保持在约5毫托至约100毫托,例如约15毫托和约50毫托。可以将基板与喷头之间的间距控制在约200密耳至约6000密耳。沉积工艺可以在约2秒和约100秒之间进行,例如约5秒和约10秒之间。
另外,在基板402上沉积间隔物层416之前,可以在操作304处进行的沉积工艺之前进行基板前处理工艺。前处理工艺可以在同一处理腔室(例如进行操作304的沉积工艺的处理腔室202)中原位进行。在操作304处的前处理工艺和沉积工艺可以被全部调整并设置在储存于控制器270中用于进行处理的单一方法中。或者,在操作304处的前处理工艺和沉积工艺可以被储存在不同的方法中,并视需要没有中断地连续进行。
在前处理工艺期间,可以通过加热上面放置基板402的支撑构件252来将基板402预热到所需的温度范围。在一个实施方式中,基板的温度可以被控制在从约摄氏25度(即室温)到介于约摄氏200度和约摄氏450度之间,例如约摄氏350度。可以在前处理工艺期间施加诸如ICP电源的射频电源。可以供应射频电源到处理腔室以具有介于约1500瓦和约2500瓦之间、例如约2000瓦的顶部电源,以及介于约3000瓦和约5000瓦之间、例如约4000瓦的侧电源。在前处理工艺期间可以保持介于约5毫托和约15毫托之间的处理压力。前处理气体混合物至少包括可以在前处理工艺期间供应的惰性气体,例如Ar、He、Ne、或Kr。在一个实例中,前处理气体混合物可以包括在前处理工艺期间以介于约5:1和约1:5之间(例如介于约1:1和约1:2之间)的比例供应到处理腔室的Ar气和He气。前处理工艺可以进行约30秒和约90秒之间,例如约50秒。
在操作306处,进行沉积后处理工艺以选择性地处理间隔物层的某些部分。相信由于来自在沉积后处理工艺期间生成的等离子体的离子的受控的轨迹和方向性,可以得到受控的处理作用,以便以可产出所需的生成膜轮廓的方式有效地刻出间隔物层416。在一个实施方式中,在操作306期间进行的沉积后处理工艺主要可以特别在间隔物层416的顶表面424和底表面425上形成经处理层,如图4C所示。结果,来自等离子体的活性处理物种可以选择性地只处理某些部分,例如间隔物层416的顶表面424和底表面425,而不会明显攻击、侵蚀或损坏其他部分,例如间隔物层416的角部422和侧壁415,以便在处理工艺之后获得良好的间隔物层416的轮廓。通过这样做,可以有效地降低或消除在间隔物层416上形成圆角、小平面角(facet corner)、受侵蚀侧壁、或变形轮廓的可能性。
在操作306处的从沉积后处理工艺中产生的等离子体提供的离子/自由基改变及/或修改间隔物层416的部分膜性质,特别是在顶表面424或底表面425,以便生成与其他区域(例如间隔物层416的侧壁415和角部422)不同的经处理区域(例如顶表面424或底表面425)的膜接合结构。在经处理区域(例如顶表面424或底表面425)与未处理区域(例如侧壁415和角部422)之间的膜性质的不相似可以在经处理区域与间隔物层416余下的其他材料之间提供自然的蚀刻阻挡物,从而在后续的选择性移除工艺中的操作期间提供高的选择性。
沉积后处理工艺可以改变接合结构,以形成经处理区域,例如顶表面424或底表面425,以视需要形成所需的轮廓/膜接合结构变化,从而提供具有改变的膜性质的经处理区域,例如顶表面424或底表面425,从而能够在后续的选择性移除工艺期间获得不同的处理结果。
由于在操作306处的在沉积后处理工艺期间施加到等离子体的功率可向来自沉积后处理气体混合物(例如惰性气体)的原子提供动量,所以相较于未处理区域,例如间隔物层416的侧壁415和角部422,当来自沉积后处理气体混合物的原子与来自顶表面424或底表面425的原子碰撞时,顶表面424或底表面425中的接合结构可能会被损坏和重新排列,从而对选择而进行处理的区域造成受损/松动的接合结构。由于来自惰性气体的原子使存在于顶表面424或底表面425的接合结构损坏并松动,故处理后的顶表面424或底表面425可具有损坏的接合结构,所述损坏的接合结构可被移除工艺轻易地移除。
在一个实例中,可以在操作306处的沉积后处理工艺期间供应沉积后处理气体混合物。沉积后处理气体混合物可以至少包括惰性气体,例如具有受控的方向性(例如通过定向偏压功率控制)的Ar、Ne、He、或Kr、及/或H2气体,以进行沉积后处理工艺。来自惰性气体的原子可以提供所需的碰撞动力来撞击间隔物层416的顶表面424或底表面425,以便提供有效的碰撞来改变并损坏间隔物层416的顶表面424或底表面425的晶格/接合结构,以促进在操作306处进行的后续选择性移除工艺。
可以在在操作306处的沉积后处理工艺期间控制几个处理参数。可以将诸如He气的惰性气体以介于约200sccm和约1200sccm之间的流速供应到处理腔室中。通常将腔室的压力保持在约10毫托和约100毫托之间,例如约20毫托。可以将基板的温度控制在约摄氏100度和约摄氏450度之间,例如约摄氏350度。射频偏压功率,例如电容或电感射频功率、直流功率、电磁能、或磁控溅射可以被供应到处理腔室202中,以协助在处理过程中沉积后处理气体混合物的解离。可以使用通过施加射频偏压功率到支撑构件252所产生的电场使由解离能量产生的离子朝向基板加速。在一个实施方式中,提供频率约13.56MHz的射频偏压功率来以介于约50瓦和约1500瓦之间、例如约100瓦的功率水平对支撑构件252加偏压。如此产生的离子通常将通过如上所述的对基板或气体分配器加偏压而被在所需区域(例如顶表面424和底表面425)朝向基板加速。在一个实施方式中,在操作306处可以可选地在沉积后处理工艺期间施加射频电源到处理腔室202。在一个特定的实例中,在没有施加射频电源的情况下将射频偏压功率控制在约100瓦。
在操作308处,接着进行残余物移除工艺以从基板402移除经处理区域,例如顶表面424和底表面425,如图4D所示,从而形成具有期望轮廓和尺寸的间隔物层416。将移除气体混合物供应到具有远程等离子体源的处理腔室中,例如图2所描绘的在处理腔室202中的远程等离子体源204,以移除经处理区域,例如顶表面424和底表面425,直到图案化结构408的上表面418和介电层404的部分406被露出。如以上所讨论的,经处理区域(例如顶表面424和底表面425)具有与间隔物层416的侧壁415和角部422相比不同的膜性质,间隔物层416的侧壁415和角部422在移除工艺期间作为具有高选择性的蚀刻阻挡物,以便只选择性地移除经处理区域,例如顶表面424和底表面425,而不会损坏或攻击间隔物层416的侧壁415和角部422。
选择用来移除经处理区域(例如顶表面424和底表面425)的移除气体混合物包括从远程等离子体源供应的气体混合物,以从基板402移除顶表面424和底表面425。远程等离子体移除工艺是一种被进行以缓慢和选择性地移除基板402上的顶表面424和底表面425且大体上不攻击其他表面的温和移除工艺。远程等离子体移除工艺是通过供应移除气体混合物到处理腔室202中以由移除气体混合物形成远程等离子体来进行的。
在一个实施方式中,用以移除经处理区域(例如顶表面424和底表面425)的移除气体混合物是氨(NH3)气和三氟化氮(NF3)气体的气体混合物。移除气体混合物中使用的氨(NH3)气可以视需要由N2气体取代。还可以添加额外的气体(例如H2、Ar、He)到移除气体混合物中以提高移除效率。可以改变和调整每种被引入处理腔室的气体的量,以适应例如待移除间隔物层416的厚度、被处理的基板的几何形状、等离子体腔的空间容量、腔室主体的空间容量、及耦接到腔室主体的真空系统的能力。
由于等离子体是在远程等离子体源204中远程产生的,故从来自远程等离子体的移除气体混合物解离的蚀刻剂是相对温和且和缓的,以便缓慢地、温和地、且逐步地与经处理区域(例如顶表面424和底表面425)发生化学反应,直到图案化结构408和介电层404被露出,如图4D所示。相信在远程等离子体源中,氨(NH3)气和三氟化氮(NF3)气体在远程等离子体源204中解离,从而形成氟化铵(NH4F)及/或带有HF的氟化铵(NH4F.HF)。一旦氟化铵(NH4F)和带有HF的氟化铵(NH4F.HF)的蚀刻剂被引入处理腔室202的内部处理区域251中,氟化铵(NH4F)和带有HF的氟化铵(NH4F.HF)的蚀刻剂可以在到达基板时与经处理区域(例如顶表面424和底表面425)反应,从而形成将被从基板402移除的含NH4的盐。氟化铵(NH4F)和带有HF的氟化铵(NH4F.HF)的蚀刻剂与经处理区域(例如顶表面424和底表面425)发生化学反应,从而形成被从处理腔室泵送出的处于气态的含NH4的盐、或稍后将通过使用低温升华工艺被从基板表面移除的处于固态的含NH4的盐。
在一个或多个实施方式中,添加气体以提供具有至少1:1的氨(NH3)对三氟化氮(NF3)摩尔比的移除气体混合物。在一个或多个实施方式中,移除气体混合物的摩尔比为至少约3:1(氨对三氟化氮)。气体被以约5:1(氨对三氟化氮)至约20:1的摩尔比引入处理腔室202中。在又一个实施方式中,蚀刻气体混合物的摩尔比为约5:1(氨对三氟化氮)至约10:1。
在一个实施方式中,还可以在移除气体混合物中供应其他类型的气体,例如惰性气体或载气,以有助于携带移除气体混合物进入处理腔室202的内部处理区域251。惰性气体或载气的合适的实例包括Ar、He、N2、H2、O2、N2O、NO2、NO、及类似物中的至少一种。在一个实施方式中,可被供应到处理腔室202中的惰性气体或载气是体积流动速速介于约500sccm和约2000sccm之间的Ar或He及H2或N2
在供应移除气体混合物来进行远程等离子体源蚀刻/移除工艺时,可以将基板的温度保持在介于约摄氏40度和约摄氏150度之间的范围中,例如约摄氏100度。值得注意的是,与例如约摄氏350度的高温相比,在前处理工艺、在操作304处的沉积工艺、及在操作306处的沉积后处理工艺约摄氏100度的相对低温可以有助于稳定以稳定的方式进行的经处理区域移除工艺,使得经处理区域可被以温和/和缓的方式移除而不会攻击或损坏未处理区域,以便使成功的选择性移除工艺变为可能。
将移除气体混合物供应到处理腔室中之后,接着可以蚀刻并移除经处理区域,例如顶表面424和底表面425,在基板表面上留下固体蚀刻副产物,例如铵盐(若有的话)。残留在基板402上的蚀刻副产物(例如铵盐)具有相对低的熔点,例如约摄氏100度,这允许通过在操作308处的选择性移除工艺之后进行的升华工艺从基板移除副产物。升华工艺可以与以上讨论的在操作304处进行的沉积工艺之前的前处理工艺相同。由于在操作304处的沉积工艺、在操作306处的沉积后工艺及在操作308处的选择性移除工艺可以按需要的次数被重复进行,如图3图示的环路310所指示,在操作308处用以移除蚀刻副产物的升华工艺可以是如以上所讨论的在操作304处的沉积工艺之前进行的前处理工艺。在一个实施方式中,进行操作304至操作308的循环的次数可以是约2至约10次。
在操作308处的蚀刻/移除工艺期间,可以调整几个处理参数来控制蚀刻/移除工艺。在一个示例性实施方式中,处理腔室202中的处理压力被调整到介于约500毫托至约5000毫托之间,例如约1500毫托。可以施加频率约70KHz的RPS来供应远程等离子体。例如,可以向蚀刻气体混合物施加约20瓦至约60瓦、例如约40瓦的RPS功率。
在基板402上形成具有期望轮廓的间隔物层416之后,接着可以进行蚀刻后工艺来从基板402移除图案化结构408,如图4E所示,留下间隔物层416,在间隔物层416之间界定尺寸减小的开口440(例如第二组开口),将间隔物层416作为用于后续制造工艺的蚀刻掩模。可以使用蚀刻后气体混合物以选择性地主要蚀刻图案化结构408,而大体上不蚀刻或损坏间隔物层416。
应当注意的是,前处理工艺、在操作304处的沉积工艺、在操作306处的沉积后处理工艺、在操作308处的选择性移除工艺、及在选择性移除工艺之后进行的用以移除图案化结构408的可选的蚀刻后工艺可以全部都在相同的处理腔室中进行(例如在原位)。
因此,提供图案化间隔物层的用于进行多重图案化工艺的实施方式,以产生具有所需边缘/角部轮廓的图案化间隔物层。通过在间隔物层沉积和图案化工艺期间利用前处理工艺、沉积工艺、沉积后处理工艺、及选择性蚀刻工艺,可以得到受控的离子轨迹/方向性,以便以期望的方式图案化间隔物层,从而产生具有期望的准确直角角部及垂直侧壁轮廓的图案化间隔物层。
虽然前文是针对本发明的实施方式,但在不偏离本发明的基本范围的情况下,可以设计出本发明的其他和进一步的实施方式,而且本发明的范围由以下的权利要求书决定。

Claims (15)

1.一种在多重图案化工艺期间沉积和图案化间隔物层的方法,包含以下步骤:
在设在基板上的图案化结构的外表面上保形地形成间隔物层,其中所述图案化结构间界定有第一组开口;
选择性地处理形成在所述基板上的所述间隔物层的第一部分,而不处理所述间隔物层的第二部分;以及
选择性地移除所述间隔物层经处理的所述第一部分。
2.如权利要求1所述的方法,其中所述图案化结构包含无定形碳材料、氮化硅、二氧化硅或碳化硅。
3.如权利要求1所述的方法,其中所述间隔物层包含多晶硅或非晶硅。
4.如权利要求1所述的方法,进一步包含以下步骤:
在所述基板上保形地形成间隔物层之前,前处理所述基板。
5.如权利要求1所述的方法,其中前处理所述基板进一步包含以下步骤:
供应前处理气体混合物到所述基板,所述前处理气体混合物包括惰性气体;以及
将所述基板的温度保持在介于约摄氏200度和约摄氏400度之间。
6.如权利要求5所述的方法,进一步包含以下步骤:
施加约2000瓦的顶部电感耦合电源及约4000瓦的侧电感耦合电源。
7.如权利要求1所述的方法,其中保形地形成所述间隔物层进一步包含以下步骤:
供应沉积气体混合物,所述沉积气体混合物包括硅基气体和N2气体。
8.如权利要求7所述的方法,其中供应所述沉积气体混合物进一步包含以下步骤:
施加小于6500瓦的电感耦合电源到所述气体混合物;以及
施加介于100瓦和约500瓦之间的射频偏压功率到所述气体混合物。
9.如权利要求1所述的方法,其中选择性地处理所述间隔物层的所述第一部分进一步包含以下步骤:
供应沉积后处理气体混合物到所述基板,所述沉积后处理气体混合物包括惰性气体。
10.如权利要求9所述的方法,其中供应所述沉积后处理气体混合物进一步包含以下步骤:
在没有射频电源的情况下施加介于250瓦和约1500瓦之间的射频偏压功率到所述沉积后处理气体混合物。
11.如权利要求1所述的方法,其中选择性地处理所述间隔物层的所述第一部分进一步包含以下步骤:
选择性地处理所述间隔物层的顶表面和底表面,而不处理所述间隔物层的侧壁和角部。
12.如权利要求1所述的方法,其中选择性地移除所述间隔物层经处理的所述第一部分进一步包含以下步骤:
供应选择性移除气体混合物,所述选择性移除气体混合物包括氨(NH3)气和三氟化氮(NF3)气体;以及
施加远程等离子体源到所述气体混合物而到所述基板。
13.如权利要求1所述的方法,其中选择性地移除所述间隔物层经处理的所述第一部分进一步包含以下步骤:主要蚀刻所述间隔物层的所述第一部分而大体上不攻击所述第二部分,所述第一部分包括所述间隔物层的顶表面和底表面,所述第二部分包括所述间隔物层的所述侧壁和角部。
14.如权利要求1所述的方法,进一步包含以下步骤:
从基板移除所述图案化结构;以及
在经蚀刻的间隔物层中形成第二组开口,所述第二组开口具有小于第一组开口的尺寸的尺寸。
15.如权利要求13所述的方法,其中前处理工艺、保形沉积工艺、选择性处理工艺、及选择性移除工艺全部都在单一处理腔室中进行。
CN201680031800.7A 2015-06-03 2016-05-24 在先进图案化工艺中用于间隔物沉积与选择性移除的设备与方法 Active CN107735851B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US14/729,932 US9484202B1 (en) 2015-06-03 2015-06-03 Apparatus and methods for spacer deposition and selective removal in an advanced patterning process
US14/729,932 2015-06-03
PCT/US2016/033882 WO2016196073A1 (en) 2015-06-03 2016-05-24 Apparatus and methods for spacer deposition and selective removal in an advanced patterning process

Publications (2)

Publication Number Publication Date
CN107735851A true CN107735851A (zh) 2018-02-23
CN107735851B CN107735851B (zh) 2021-11-05

Family

ID=57189280

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201680031800.7A Active CN107735851B (zh) 2015-06-03 2016-05-24 在先进图案化工艺中用于间隔物沉积与选择性移除的设备与方法

Country Status (6)

Country Link
US (1) US9484202B1 (zh)
JP (1) JP6810059B2 (zh)
KR (1) KR102483741B1 (zh)
CN (1) CN107735851B (zh)
TW (1) TWI627724B (zh)
WO (1) WO2016196073A1 (zh)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109979816A (zh) * 2019-03-26 2019-07-05 上海华力集成电路制造有限公司 改善隔离侧墙形貌的方法
CN111312588A (zh) * 2018-12-12 2020-06-19 东京毅力科创株式会社 以自对准多重图案化对间隔物轮廓进行再成形的方法
CN112086433A (zh) * 2019-06-13 2020-12-15 南亚科技股份有限公司 半导体元件及其制备方法
CN112514068A (zh) * 2018-08-01 2021-03-16 应用材料公司 用于dram sti有源切割图案化的多色方法
CN112673457A (zh) * 2018-09-17 2021-04-16 应用材料公司 沉积介电材料的方法
CN112786436A (zh) * 2019-11-06 2021-05-11 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
CN113496895A (zh) * 2020-04-01 2021-10-12 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20170345673A1 (en) * 2016-05-29 2017-11-30 Tokyo Electron Limited Method of selective silicon oxide etching
JP6928810B2 (ja) * 2016-05-29 2021-09-01 東京エレクトロン株式会社 側壁イメージ転写の方法
US10858727B2 (en) 2016-08-19 2020-12-08 Applied Materials, Inc. High density, low stress amorphous carbon film, and process and equipment for its deposition
US10276379B2 (en) * 2017-04-07 2019-04-30 Applied Materials, Inc. Treatment approach to improve film roughness by improving nucleation/adhesion of silicon oxide
CN117293018A (zh) * 2017-07-24 2023-12-26 应用材料公司 改善在氧化硅上的超薄非晶硅膜的连续性的预处理方法
US10147611B1 (en) 2017-08-28 2018-12-04 Nanya Technology Corporation Method for preparing semiconductor structures
US10607852B2 (en) * 2017-09-13 2020-03-31 Tokyo Electron Limited Selective nitride etching method for self-aligned multiple patterning
KR20190035036A (ko) * 2017-09-25 2019-04-03 삼성전자주식회사 박막 형성 장치 및 이를 이용한 비정질 실리콘 막 형성방법
US10636675B2 (en) 2017-09-27 2020-04-28 Applied Materials, Inc. Methods of etching metal-containing layers
US10734228B2 (en) * 2017-12-19 2020-08-04 Tokyo Electron Limited Manufacturing methods to apply stress engineering to self-aligned multi-patterning (SAMP) processes
CN111384172B (zh) * 2018-12-29 2024-01-26 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
US10629451B1 (en) * 2019-02-01 2020-04-21 American Air Liquide, Inc. Method to improve profile control during selective etching of silicon nitride spacers

Citations (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1417643A (zh) * 2001-10-29 2003-05-14 旺宏电子股份有限公司 减小图案间隙或开口尺寸的方法
US20030164354A1 (en) * 1999-12-28 2003-09-04 Applied Materials, Inc. System level in-situ integrated dielectric etch process particularly useful for copper dual damascene
CN101164143A (zh) * 2005-02-03 2008-04-16 兰姆研究有限公司 使用多个掩模步骤减小临界尺寸的方法
CN101339361A (zh) * 2007-06-01 2009-01-07 应用材料公司 利用间隔物掩模的频率加倍
CN101542685A (zh) * 2006-11-29 2009-09-23 美光科技公司 减小半导体装置的临界尺寸的方法和具有减小的临界尺寸的部分制造的半导体装置
CN102027572A (zh) * 2008-05-13 2011-04-20 应用材料股份有限公司 使用共形等离子体增强化学气相沉积(pecvd)膜来缩减关键尺寸的方法
CN102709230A (zh) * 2012-05-22 2012-10-03 上海华力微电子有限公司 一种形成半导体通孔的方法
CN103779211A (zh) * 2012-10-18 2014-05-07 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制造方法
CN104040704A (zh) * 2011-12-16 2014-09-10 朗姆研究公司 氮化硅干修整而没有顶部下拉
TW201503228A (zh) * 2012-12-14 2015-01-16 Lam Res Corp 用於多重圖案化之利用可灰化硬遮罩間隙塡充的影像反轉
US20150118850A1 (en) * 2013-10-25 2015-04-30 Taiwan Semiconductor Manufacturing Company, Ltd. Lithography using Multilayer Spacer for Reduced Spacer Footing
CN104658980A (zh) * 2013-11-21 2015-05-27 力晶科技股份有限公司 存储器线路结构以及其半导体线路制作工艺

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101573949B1 (ko) * 2007-11-08 2015-12-02 램 리써치 코포레이션 산화물 스페이서를 이용한 피치 감소
US8232212B2 (en) * 2008-07-11 2012-07-31 Applied Materials, Inc. Within-sequence metrology based process tuning for adaptive self-aligned double patterning
US8361338B2 (en) * 2010-02-11 2013-01-29 Taiwan Semiconductor Manufacturing Company, Ltd. Hard mask removal method
US20110256734A1 (en) * 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
US9390909B2 (en) * 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
KR20120121795A (ko) * 2011-04-27 2012-11-06 에스케이하이닉스 주식회사 에어 갭을 포함하는 스페이서를 구비한 반도체 소자의 제조방법
US8609548B2 (en) * 2011-06-06 2013-12-17 Lam Research Corporation Method for providing high etch rate
KR101835114B1 (ko) 2011-09-07 2018-03-06 삼성전자주식회사 3차원 반도체 장치 및 그 제조 방법
US20130189845A1 (en) * 2012-01-19 2013-07-25 Applied Materials, Inc. Conformal amorphous carbon for spacer and spacer protection applications
US8980111B2 (en) 2012-05-15 2015-03-17 Tokyo Electron Limited Sidewall image transfer method for low aspect ratio patterns
US20150014772A1 (en) 2013-07-11 2015-01-15 International Business Machines Corporation Patterning fins and planar areas in silicon
US9318412B2 (en) 2013-07-26 2016-04-19 Nanya Technology Corporation Method for semiconductor self-aligned patterning

Patent Citations (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030164354A1 (en) * 1999-12-28 2003-09-04 Applied Materials, Inc. System level in-situ integrated dielectric etch process particularly useful for copper dual damascene
CN1417643A (zh) * 2001-10-29 2003-05-14 旺宏电子股份有限公司 减小图案间隙或开口尺寸的方法
CN101164143A (zh) * 2005-02-03 2008-04-16 兰姆研究有限公司 使用多个掩模步骤减小临界尺寸的方法
CN101542685A (zh) * 2006-11-29 2009-09-23 美光科技公司 减小半导体装置的临界尺寸的方法和具有减小的临界尺寸的部分制造的半导体装置
CN101339361A (zh) * 2007-06-01 2009-01-07 应用材料公司 利用间隔物掩模的频率加倍
CN102027572A (zh) * 2008-05-13 2011-04-20 应用材料股份有限公司 使用共形等离子体增强化学气相沉积(pecvd)膜来缩减关键尺寸的方法
CN104040704A (zh) * 2011-12-16 2014-09-10 朗姆研究公司 氮化硅干修整而没有顶部下拉
CN102709230A (zh) * 2012-05-22 2012-10-03 上海华力微电子有限公司 一种形成半导体通孔的方法
CN103779211A (zh) * 2012-10-18 2014-05-07 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制造方法
TW201503228A (zh) * 2012-12-14 2015-01-16 Lam Res Corp 用於多重圖案化之利用可灰化硬遮罩間隙塡充的影像反轉
US20150118850A1 (en) * 2013-10-25 2015-04-30 Taiwan Semiconductor Manufacturing Company, Ltd. Lithography using Multilayer Spacer for Reduced Spacer Footing
CN104658980A (zh) * 2013-11-21 2015-05-27 力晶科技股份有限公司 存储器线路结构以及其半导体线路制作工艺

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112514068A (zh) * 2018-08-01 2021-03-16 应用材料公司 用于dram sti有源切割图案化的多色方法
CN112673457A (zh) * 2018-09-17 2021-04-16 应用材料公司 沉积介电材料的方法
CN111312588A (zh) * 2018-12-12 2020-06-19 东京毅力科创株式会社 以自对准多重图案化对间隔物轮廓进行再成形的方法
CN109979816A (zh) * 2019-03-26 2019-07-05 上海华力集成电路制造有限公司 改善隔离侧墙形貌的方法
CN112086433A (zh) * 2019-06-13 2020-12-15 南亚科技股份有限公司 半导体元件及其制备方法
US12009212B2 (en) 2019-06-13 2024-06-11 Nanya Technology Corporation Semiconductor device with reduced critical dimensions
CN112786436A (zh) * 2019-11-06 2021-05-11 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
CN113496895A (zh) * 2020-04-01 2021-10-12 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法

Also Published As

Publication number Publication date
KR102483741B1 (ko) 2023-01-03
JP2018516463A (ja) 2018-06-21
WO2016196073A1 (en) 2016-12-08
US9484202B1 (en) 2016-11-01
JP6810059B2 (ja) 2021-01-06
TWI627724B (zh) 2018-06-21
KR20180005265A (ko) 2018-01-15
CN107735851B (zh) 2021-11-05
TW201705428A (zh) 2017-02-01

Similar Documents

Publication Publication Date Title
CN107735851A (zh) 在先进图案化工艺中用于间隔物沉积与选择性移除的设备与方法
JP6440716B2 (ja) 周期的エッチング工程を用いたエッチング停止層のエッチング方法
TWI605503B (zh) 利用主要蝕刻及循環蝕刻製程之組合在材料層中形成特徵之方法
TWI657499B (zh) 蝕刻方法
CN101064244B (zh) 形成用于高孔径比应用的各向异性特征图形的蚀刻方法
US20100330805A1 (en) Methods for forming high aspect ratio features on a substrate
US20040072446A1 (en) Method for fabricating an ultra shallow junction of a field effect transistor
KR20170098189A (ko) 트렌치들의 측벽들 또는 평탄 표면들 상에 선택적으로 실리콘 질화물 막을 형성하는 방법
JP2023179679A (ja) 粗さを低減するための原子層堆積及びエッチング
KR101106882B1 (ko) 높은―k 물질 게이트 구조물을 고온 에칭하는 방법
US7056830B2 (en) Method for plasma etching a dielectric layer
US20070202700A1 (en) Etch methods to form anisotropic features for high aspect ratio applications
JP2005129906A (ja) エッチングプロセスの精度及び反復性を制御する装置及び方法
KR20050028781A (ko) 선택적 측벽 폴리머 증착에 의해 포토레지스트 트리밍공정의 임계크기 미세로딩을 제어하는 방법
CN107017162B (zh) 具有高产量的超高选择比的多晶硅蚀刻
US10256076B2 (en) Substrate processing apparatus and methods
TW201724205A (zh) 使用傾角離子束填孔穴的裝置與技術
JP2017152531A (ja) 基板処理方法
US20150064921A1 (en) Low temperature plasma anneal process for sublimative etch processes
TW202004902A (zh) 基板處理方法及基板處理裝置
JP6920309B2 (ja) エッチングハードウェアに対する水素プラズマベース洗浄処理
US11201063B2 (en) Substrate processing method and substrate processing apparatus
CN116457919A (zh) 用于半导体图案化应用的氧化锡及碳化锡材料
CN113496890A (zh) 基板处理方法和基板处理装置

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant