KR20050028781A - 선택적 측벽 폴리머 증착에 의해 포토레지스트 트리밍공정의 임계크기 미세로딩을 제어하는 방법 - Google Patents

선택적 측벽 폴리머 증착에 의해 포토레지스트 트리밍공정의 임계크기 미세로딩을 제어하는 방법 Download PDF

Info

Publication number
KR20050028781A
KR20050028781A KR1020040067318A KR20040067318A KR20050028781A KR 20050028781 A KR20050028781 A KR 20050028781A KR 1020040067318 A KR1020040067318 A KR 1020040067318A KR 20040067318 A KR20040067318 A KR 20040067318A KR 20050028781 A KR20050028781 A KR 20050028781A
Authority
KR
South Korea
Prior art keywords
gas
photoresist
sccm
hydrocarbon gas
substrate
Prior art date
Application number
KR1020040067318A
Other languages
English (en)
Inventor
웨이 리우
다비드 무이
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20050028781A publication Critical patent/KR20050028781A/ko

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/427Stripping or agents therefor using plasma means only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)

Abstract

공정 시스템에서 반도체 기판 상의 포토레지스트 피쳐들을 트리밍하기 위한방법이 개시된다. 이 방법은 탄화수소(hydrocarbon) 가스, 산소 가스 및 비활성 가스를 포함하는 공정 혼합 가스를 이용한다. 밀집 영역들 및 고립 영역들의 임계크기(CD) 미세로딩이 제거될 수 있으며 포토레지스트 트리밍 속도 또한 감소될 수 있어 임계크기(CD)를 더 잘 제어할 수 있다.

Description

선택적 측벽 폴리머 증착에 의해 포토레지스트 트리밍 공정의 임계크기 미세로딩을 제어하는 방법{Method of controlling critical dimension microloading of photoresist trimming process by selective sidewall polymer deposition}
본 발명은 반도체 기판 상의 포토레지스트 마스크를 트리밍하는 공정에 관한 것이다.
집적된 미세전자 회로들에서 소자들(예컨대, 트랜지스터들, 커패시터들 등)의 동작 속도를 증가시키기 위해서, 소자 피쳐들이 더욱 더 작아져 왔다. 그러한 소자 피쳐들의 최소 크기들이 본 기술분야에서 통상 임계크기들(critical dimensions) 또는 CD들로 불린다. CD들은 일반적으로 배선들, 원기둥들(columns), 개구부들, 배선들 사이의 간격들 등과 같은 피쳐들의 최소폭들을 포함한다.
그러한 피쳐들을 제조하는 하나의 방법은 물질층 상에 패터닝된 마스크(예컨대, 포토레지스트 마스크)를 형성하고, 그 후 패터닝된 마스크를 식각마스크로 사용하여 상기 물질층을 식각하는 것을 포함한다.
패터닝된 마스크는 통상적으로 리소그래픽(lithographic) 공정을 사용하여 제조되며, 이때 형성될 피쳐의 패턴이 포토레지스트 층에 광학적으로 전사된다. 그 후, 포토레지스트는 현상되어 상기 포토레지스트의 노출되지 않은 부분들이 제거되며, 잔존하는 포토레지스트는 패터닝된 마스크를 형성한다.
식각 마스크는 일반적으로, 평면도에서, 하지층에 형성될(즉, 식각될) 피쳐의 복제이다. 따라서, 식각마스크는 형성될 피쳐와 동일한 임계크기들을 갖는 요소들을 포함한다. 요소의 CD가 리소그래픽 공정의 광학적 해상도보다 작을 때, 리소그래픽 공정의 광학적 한계들에 기인하여 치수적으로 피쳐의 정확한 이미지가 포토레지스트층에 전사되지 않을 수 있다.
리소그래픽 공정의 한계들을 극복하기 위해, 2단계 공정을 사용하여 포토레지스트 마스크를 제조할 수 있다. 제1 단계 동안, 형성될 피쳐들의 치수들 보다 일정한 비율로 더 큰 치수들을 구비하는 요소들을 갖는 마스크를 형성하기 위해 상기 리소그래픽 공정이 사용된다. 제2 단계 동안, 그러한 "확장된(scaled-up)" 요소들이 소정 치수들로 트리밍된다(즉, 등방성 식각된다). 트리밍된 포토레지스트 마스크는 그후 하지층 또는 하지층들을 식각하는 동안 식각 마스크로 사용된다.
그와 같은 포토레지스트 마스크를 트리밍하는 데 있어서 하나의 문제는 임계크기(CD) 미세로딩(microloading)이 발생하는 것인데, 이는 포토레지스트 트리밍 후 기판의 밀집(dense) 및 고립(isolated) 영역들 사이의 임계크기 편차량이다.
밀집 영역은 피쳐들의 높은 패턴 밀도를 가지며, 고립 영역은 피쳐들의 낮은 패턴 밀도를 갖는다. 종래의 포토레지스트 트리밍 공정들은, 고립 영역들이 밀집 영역들 보다 아주 빠른 속도로 트리밍되어, 종종 상당한 CD 트리밍 미세로딩의 결과를 낳는다.
그러므로, 반도체 기판 공정 시스템에서 반도체 소자들을 제조하는 동안, 포토레지스트 트리밍 공정을 제어하여 미세로딩 효과를 감소시키기 위한 개선된 방법이 요구된다.
본 발명이 이루고자 하는 기술적 과제는, 반도체 기판 공정 시스템에서 반도체 소자들을 제조하는 동안, 포토레지스트 트리밍 공정을 제어하여 미세로딩 효과를 감소시킬 수 있는 개선된 방법을 제공하는 것이다.
본 발명은 반도체 기판 공정 시스템에서 기판 상의 포토레지스트 마스크를 트리밍하는 방법이다. 이 방법은 챔버 내에 포토레지스트 마스크를 구비하는 기판을 위치시키고, 탄화수소(hydrocarbon) 가스, 산소 가스(O2) 및 비활성 가스를 포함하는 공정 가스들에 의해 형성된 플라즈마를 사용하여 상기 포토레지스트를 트리밍하는 단계들을 포함한다. 일 실시예에서, 상기 탄화수소 가스는 할로겐으로 처리된다.
본 발명의 실시예들은 미세로딩 및 트림 속도를 감소시키기 위해 반도체 기판 상의 포토레지스트 피쳐들을 트리밍하기 위한 플라즈마 식각 공정을 제공한다. 이 방법은 제1 소정폭을 구비하는 적어도 하나의 요소를 갖는 패터닝된 포토레지스트층을 상부에 구비하는 기판을 공정 시스템에 위치시키고, 공정챔버에 할로겐 처리된 탄화수소, 산소 가스, 및 비활성 가스를 포함하는 공정 혼합 가스를 공급하고, 상기 혼합 가스를 활성화시키어 상기 패터닝된 포토레지스트층을 식각하고, 상기 식각 공정을 종료하여 상기 기판 상에 제2 소정폭을 갖는 적어도 하나의 요소를 구비하는 패터닝된 포토레지스트층을 남기는 것을 포함한다.
이하, 첨부된 도면들을 참조하여 본 발명의 바람직한 실시예들을 상세히 설명한다. 이해를 돕기 위해, 동일한 참조번호들은 도면들에 공통인 동일한 구성요소들을 나타내기 위해 사용된다.
그러나, 첨부된 도면들은 단지 본 발명의 전형적인 실시예들을 도시하고, 따라서 본 발명의 범위를 한정하는 것으로 고려되지 않는다. 본 발명은 다른 동등하게 효과적인 실시예들을 허용할 수 있다.
도 1은 트리밍하기 전 포토레지스트 마스크를 갖는 복합 구조체의 일부를 나타내는 단면도이다. 복합 구조체는 기판(100)을 포함하며, 그 상에 박막 적층(102)이 있고, 그 내부에 구조체, 예컨대 게이트가 형성될 것이다. 박막 적층(102)은 일반적으로 게이트 전극층(106)과 게이트 유전층(104)을 포함한다. 상기 게이트 전극은 포토레지스트 마스크(예컨대, 포토레지스트가 패터닝된 마스크, 112), 선택사양의 반사 방지 코팅(anti-reflective coating; ARC, 113, 도 1에만 파선으로 도시함) 뿐만 아니라 그 아래의 하드 마스크(114)에 의해 패터닝된다.
하드 마스크(114)는 게이트 전극층(106) 및 게이트 유전층(104) 모두를 식각하기 위한 식각마스크로 일반적으로 사용되며, 예로써 이산화규소(SiO2), 산질화규소(SiON), 비결정 탄소(즉, α-carbon), Advanced Patterning Film™(APF, 미국 캘리포니아 산타클라라의 어플라이드 머티어리얼즈 사로부터 입수가능) 등을 포함할 수 있다.
하나의 대표적인 실시예에서, 게이트 전극층(106)은 도핑된 폴리실리콘(Si)으로 약 500 내지 3000 옹스트롬 두께로 형성되며, 게이트 유전층(104)은 이산화규조(SiO2)와 같은 유전물질로 약 10 내지 60 옹스트롬의 두께로 형성된다. 선택적으로, 게이트 유전층(104)은 질화규소, 산질화규소 또는 4.0 보다 큰 유전 상수를 갖는 하나 이상의 고유전(high-k dielectric) 물질, 예컨대 이산화하프늄(HfO2), 이산화 하프늄 규소(HfSiO4), 산질화 하프늄 규소(HfSiOxNy), 바륨 스트론튬 타이타네이트(BaSrTiO3; BST), 리드 지르코네이트 타이타네이트(Pb(ZrTi)O3; PZT) 등을 포함할 수 있다. 그러나, 박막 적층(102)은 다른 두께를 갖는 층들 뿐만 아니라 다른 물질들로 형성된 층들을 포함할 수 있다.
박막 적층(102)을 포함하는 층들은 통상의 증착 기술, 예컨대 원자층 증착(atomic layer deposition; ALD), 물리기상증착(physical vapor deposition; PVD), 화학기상증착(chemical vapor deposition; CVD), 플라즈마 강화 CVD(plasma enhanced CVD; PECVD) 등을 사용하여 형성될 수 있다. CMOS 전계효과 트랜지스터의 제조는 미국 캘리포니아 산타클라라의 어플라이드 머티어리얼즈 사(Applied Materials, Inc)로부터 입수가능한 CENTURA?, ENDURA?, 및 다른 반도체 웨이퍼 공정 시스템들의 각 공정 모듈들을 사용하여 수행될 수 있다.
패터닝된 마스크(112)는 일반적으로 폭(109)을 갖는 포토레지스트 마스크이다. 포토레지스트 마스크(112)는 일반적으로 리소그래픽 공정을 사용하여 형성된다. 상기 리소그래픽 공정의 광학적 한계들에 기인하여, 상기 포토레지스트 마스크는 하지층 또는 하지층들 내에 식각형성될 구조체의 확장된 복제를 포함할 수 있다. 따라서, 상기 리소그래픽 공정 후, 상기 마스크가 식각마스크로 사용되기 전, 그러한 포토레지스트 마스크(112)는 리소그래픽으로 패터닝된 마스크(112)의 폭(119) 보다 더 작은 폭(111)으로 선택적으로(optionally) 트리밍될 수 있다.
일반적으로, 상기 트리밍 공정은 그것의 폭(109)을 감소시키기 위해 포토레지스트 마스크(112) 상에 수행되는 등방성 식각 공정(예컨대, 등방성 플라즈마 식각 공정)이다. 두개의 잘 알려진 트리밍 공정들이 있다. 하나는 HBr, O2, Ar을 수반하며, 다른 하나는 Cl2, O2 및 Ar과 같은 비활성 희석 가스를 수반한다. 이들 공정들의 상세한 내용들은 미국특허 제6,121,155호, 미국특허 제6,423,457호, 미국특허 제6,514,871호 및 미국특허 제6,174,818호에 개시되어 있다.
이들 포토레지스트 트리밍 공정들에서 하나의 공통 문제는 상당한 임계크기(CD) 미세로딩이 발생하는 것인데, 미세로딩은 포토레지스트 트리밍 후에 상기 기판의 밀집(dense) 및 고립(isolated) 영역들 사이의 임계크기들의 편차량이다. 종래의 포토레지스트 트리밍 공정들에 의한 CD 미세로딩은 상기 고립 영역들이 밀집 영역들 보다 더 빠른 속도로 트리밍되는 것에 기인한다. 이것은 상기 밀집 영역들에 비해 상기 고립 영역들 근처에서 포토레지스트 표면 면적 당 식각 물질들(species)의 더 많은 양에 의해, 그리고 또한 상기 피쳐(포토레지스트) 표면들에 증착되어 상기 포토레지스트 식각률을 감소시키는 패시번트 물질들(passivant species)의 결핍에 의해 유발되는 것으로 사료된다. 도 2는 상기 밀집 영역에서 전체 포토레지스트(PR) 표면 면적(굵은 선으로 도시함)이 상기 고립 영역에서 전체 PR 표면 면적(굵은 선으로 도시함) 보다 큰 것을 보여준다. 양 영역들에서, 가스 반응물들로부터 생성된, 식각 물질들의 양은 동일하기 때문에, 이들 두 영역들에서 전체 PR 표면 면적의 차이에 기인하여 포토레지스트 표면 면적 당 식각 물질들의 양이 고립 영역에 비해 밀집 영역에서 더 적다.
상기 밀집영역 내의 포토레지스트 표면들은 상기 고립 영역들 내의 표면들에 비해 표면 면적 당 더 적은 식각 물질들(species)을 수용한다. 이들 두 영역들 사이의 표면 면적 당 반응물의 차이는 패턴 밀도 차이가 증가함에 따라 증가한다. 비록 종래의 포토레지스트 트리밍 공정들 중 하나에서 HBr이 폴리머 패시베이션(또는 재증착)으로 하여금 자기-제한(self-limiting) 포토레지스트 트리밍을 달성하게 하는 것으로 예상될 지라도, 폴리머 패시베이션의 정도가 CD 미세로딩 효과를 저지하기에 충분하지 않다. 상기 밀집 및 고립 영역 사이에서 식각 반응물 농도의 큰 차이 및 불충분한 패시베이션 조절의 조합에 기인하여, 상기 밀집 영역 및 고립 영역 사이의 CD 미세로딩의 백분율은 40% 정도일 수 있다. 통상적으로, CD 미세로딩의 백분율은, 상기 고립 영역에서 트리밍된 양 및 상기 밀집 영역에서 트리밍된 양 사이의 차이를 이들 두 영역들의 평균 트리밍 양으로 나누고, 그 결과에 100%를 곱하는 것에 의해 정의된다.
본 발명은 할로겐 처리되지 않은 탄화수소(CxHy) 가스, 예컨대 CH4, C 2H6 등 및/또는 할로겐 처리된 탄화수소 가스, 예컨대 CHF3, CH2F2, CH3 F, C2H2F4, CHBr3 등을 수반하는 포토레지스트 트리밍 공정을 설명한다. 상기 할로겐 처리된 탄화수소 가스, 예컨대 CHF3는 플라즈마 내에서 CF2, CF3 및 CHF로 해리되며, 이들은 폴리머 전구체들(precursors)로 작용하여 측벽 상에 폴리머층을 형성한다. 상기 할로겐 처리되지 않은 탄화수소, 예컨대 CH4는 플라즈마 내에서 CH, CH2 및 CH3로 해리되며, 이들은 또한 폴리머 전구체들로 작용하여 상기 포토레지스트 마스크의 측벽 상에 폴리머를 형성한다. 본 발명의 설명 편의상, CHF3가 이제부터 예로써 사용될 것이다. 상기 폴리머 전구체 생성 가스에 더하여, 상기 포토레지스트 트리밍 공정은 또한 산소(O2) 및 비활성 가스, 예컨대 아르곤(Ar)을 수반한다. 상기 산소 가스는 식각 물질들(species)을 제공하기 위해 사용되며, 상기 비활성 가스는 플라즈마를 유지하고 반응 혼합 가스를 희석시키기 위해 사용된다. 상기 트리밍 공정의 압력은 2 내지 50 mTorr이다. 소오스 파워는 200 내지 1500 와트이다. 바이어스 파워는 0 내지 400 와트이다. CHF3 유량은 20 내지 400 sccm이다. 산소 유량은 5 내지 100 sccm이고, 아르곤과 같은 비활성 가스 유량은 20 내지 400 sccm이다. 상기 트리밍 공정은 플라즈마 식각 반응기, 예컨대 상기 CENTURA? 시스템의 디커플드 플라즈마 소오스(Decoupled Plasma Source; DPS) II를 사용하여 수행될 수 있다.
CHF3로부터 생성된 상기 폴리머 전구체들은 HBr 보다 폴리머 패시번트(passivant)를 더 잘 형성한다. 도 3은 양 영역들 근처에서 산소함유 라디칼 식각 물질들 및 CHF3로부터 생성된 폴리머 전구체들을 포함하는 반응성 물질들(r)과 함께 밀집 영역 및 고립 영역을 갖는 복합 구조체의 일 부분을 나타내는 단면도이다. 식각 물질들 및 폴리머 전구체들의 상대적인 양은 가스 유량들 및 공정 조건들을 조정함으로써 조절될 수 있다. 상기 밀집 영역 내의 포토레지스트 표면들은 큰 전체 면적에 기인하여 고립 영역 내의 표면에 비해 표면 면적 당 더 적은 식각 물질들 및 폴리머 전구체를 수용한다. 이들 두 영역들 사이에서 표면 면적 당 식각 물질들 및 폴리머 전구체의 차이는 패턴 밀도가 증가함에 따라 증가한다. 상기 식각 반응물은 상기 포토레지스트를 식각하며, 상기 폴리머 전구체는 포토레지스트가 식각되는 것을 방지하는 폴리머를 생성한다. 이들 두 물질들이 포토레지스트 제거에 서로 상반된 효과를 갖기 때문에, 패턴 밀도 차이에 기인한 상기 CD 미세로딩 효과가 제거될 수 있다. O2에 대한 CHF3의 비율을 조정함으로써, 미세로딩 효과가 역전될 수 있으며, 이는 밀집 영역의 트리밍 후 CD가 고립 영역 보다 더 클 수도 있음을 의미한다.
50초 동안 4 mTorr, 500 와트 소오스 파워, 0 바이어스 파워, Ar 120 sccm 및 O2 25 sccm의 조건 하에에서 수행된 포토레지스트 트리밍이 하나의 실험예이다. 표 1은 그 결과를 보여준다. CD 미세로딩이 종래의 HBr/O2/Ar 공정으로 21.5%에서 새로운 CHF3/O2/Ar 공정으로 3.6% 까지 감소된다. 상기 HBr/O2/Ar 공정은 4 mTorr, 500 와트 소오스 파워, 0 와트 바이어스 파워, HBr 80 sccm, O2 25 sccm, 및 Ar 20 sccm 조건하에서 수행된다.
제안된 케미스트리를 사용한 미세로딩 결과
트림 양 밀집(nm) 고립(nm) 미세로딩(nm) 미세로딩(%)
HBr/O2/Ar공정 34.49 42.80 8.31 21.5
CHF3/O2/Ar공정 16.70 17.31 0.61 3.6
제안된 케미스트리는 트리밍 속도가 더 느린 또 다른 장점을 갖는다. 전형적인 HBr/O2/Ar 트림 공정은 약 1 내지 2 nm/초의 트림 속도를 갖는다. 이에 반해, CHF3/O2/Ar 케미스트리를 사용할 경우, 트림 속도는 0.3 nm/초 만큼 느릴 수 있으며 트림 후 포토레지스트 프로파일이 양호하다. 느린 트림 속도는 더 정확한 공정 시간 및 더 양호한 CD 조절을 허용한다.
본 발명의 공정은 웨이퍼 패터닝에 사용되는 모든 포토레지스트에 적용될 수 있다. 한 예가 미국 매사추세츠주 말보로(Marlborough)에 위치한 쉬플리(Shipley)에 의해 제조된 DUV 레지스트 Apex-E?이다.
상기 DPS II 모듈(도 4를 참조하여 설명됨)은 파워 소오스(즉, 유도 결합 안테나)를 사용하여 고밀도 유도 결합 플라즈마를 생성한다. 상기 식각 공정의 종료점(endpoint)을 결정하기 위해, 상기 DPS II 모듈은, 특정 파장에서 플라즈마 방출을 모니터하거나, 공정시간을 제어하거나, 레이저 간섭측정 등을 수행하는 종료점 탐지 시스템을 또한 포함한다.
도 4는 본 발명의 부분들을 수행하는 데 사용될 수 있는 대표적인 디커플드 플라즈마 소오스(DPS) II 식각 반응기(400)의 개략도를 나타낸다. 상기 DPS II 반응기는 미국 캘리포니아주 산타클라라의 어플라이드 머티어리얼즈 사(Applied Materials, Inc.)로부터 입수가능한 CENTURA? 공정 시스템의 공정 모듈로서 일반적으로 사용된다.
반응기(400)는 도전 본체(벽, 430) 내에 웨이퍼 지지 페데스탈(416)을 갖는 공정 챔버(410)와 제어기(440)을 포함한다. 챔버(410)에는 거의 평평한 유전 천장(dielectric ceiling, 420)이 마련된다. 챔버(410)의 다른 변형예들은 다른 유형의 천장들, 예컨대 돔 모양의 천장을 가질 수 있다. 천장(420) 상부에 적어도 하나의 유도 코일 요소(412, 두개의 동축 요소들(412)이 도시됨)를 포함하는 안테나가 배치된다. 유도 코일 요소(412)는, 제1 매칭 네트워크(matching network, 419)를 통해, 플라즈마 소오스 파워(418)에 결합된다. 플라즈마 소오스(418)은 전형적으로 50kHz 내지 13.56MHz의 범위 내의 가변 주파수에서 3000W 까지 생성할 수 있다.
지지 페데스탈(416, 음극)은, 제2 매칭 네트워크(424)를 통해, 바이어스 파워 소오스(422)에 결합된다. 바이어스 파워 소오스(422)는 일반적으로 대략 13.56MHz의 주파수에서 10kW 까지 생성할 수 있다. 상기 바이어스 파워는 연속적 또는 펄스 파워일 수 있다. 다른 실시예들에서, 바이어스 파워 소오스(422)는 DC 또는 펄스화된 DC 소오스일 수 있다.
제어기(440)는 중앙 처리 유닛(CPU, 444), 메모리(442), 및 CPU(444)를 위한 지지 회로들(446)을 포함하며, 챔버(410)의 상기 구성요소들 및 따라서, 논의된 바와 같이, 상기 식각 공정을 제어한다.
동작시, 반도체 웨이퍼(414)가 페데스탈(416) 상에 놓여지고, 공정 가스들이 가스 패널(438)로부터 입구들(entry ports, 426)을 통해 공급되어 혼합 가스(450)을 형성한다. 플라즈마 소오스(418) 및 바이어스 소오스 파워(422)로부터 유도 코일 요소(412) 및 음극(416)으로 각각 파워를 인가함으로써 혼합 가스(450)가 챔버(410) 내에서 플라즈마(455)로 점화된다. 챔버(410)의 내부 압력은 트로틀 밸브(throttle valve, 427) 및 진공 펌프(436)를 사용하여 제어된다. 전형적으로, 챔버 벽(430)은 전기적 접지(434)에 연결된다. 상기 벽(430)의 온도는 벽(430)을 통해 설치된 액체를 담는 도관들(도시하지 않음)을 사용하여 제어된다.
웨이퍼(414)의 온도는 지지 페데스탈(416)의 온도를 안정화시킴으로써 제어된다. 일 실시예에서, 가스 소오스(448)로부터 헬륨 가스가, 가스 도관(449)을 통해, 웨이퍼(414) 하부의 페데스탈 표면 내에 형성된 채널들(도시하지 않음)에 공급된다. 상기 헬륨 가스는 페데스탈(416)과 웨이퍼(414) 사이에서 열전달을 돕기 위해 사용된다. 공정이 진행되는 동안, 페데스탈(416)은 상기 페데스탈 내의 저항 히터(도시하지 않음)에 의해 정상 온도까지 가열되고, 그 후 상기 헬륨 가스가 웨이퍼(414)의 균일한 가열을 돕는다. 그러한 열 제어를 사용하여, 웨이퍼(414)가 약 20 내지 350 ℃ 사이의 온도에 유지된다.
당업자는 다른 식각 챔버들이 본 발명을 수행하는 데 사용될 수 있음을 이해할 것이며, 이 챔버들은 원거리(remote) 플라즈마 소오스들을 구비하는 챔버들, 전자 싸이클로트론 공명(electron cyclotron resonance; ECR) 플라즈마 챔버들, 등을 포함한다.
상술한 바와 같이 공정 챔버(410)의 제어를 용이하게 하기 위해, 제어기(440)는 다양한 챔버들 및 서브-프로세서들을 제어하기 위한 산업설비에서 사용될 수 있는 일종의 다목적 컴퓨터 프로세서일 수 있다. 상기 CPU(444)의 메모리(442), 또는 컴퓨터-판독 매개체는 랜덤 억세스 메모리(RAM), 리드 온리 메모리(ROM), 플로피 디스크, 하드 디스크, 또는 다른 형태의 근거리 또는 장거리의 디지털 저장매체와 같은 하나 또는 둘 이상의 즉시 사용가능한 메모리일 수 있다. 상기 지지 회로들(346)은 통상의 방식으로 상기 프로세서를 지지하기 위해 상기 CPU(444)에 결합된다. 이러한 회로들은 캐쉬(cache), 파워 서플라이들, 클록 회로들(clock circuits), 입출력 회로 및 서브시스템들 등을 포함한다. 본 발명의 방법은 소프트웨어 루틴으로서 상기 메모리(442)에 저장된다. 이러한 소프트웨어 루틴은 또한 상기 CPU(444)에 의해 제어되고 있는 하드웨어로부터 원거리에 위치하는 제2 CPU(도시하지 않음)에 의해 저장 및/또는 실행될 수 있다.
CD들과 필름 두께를 측정하는 성능을 갖는 엑스-시투 계측 기구와 통합된 식각 시스템의 일 예는 어플라이드 머티어리얼즈의 트랜스포마(Transforma) 시스템(500, 도 5 참조)이다. 어플라이드 머티어리얼즈의 Transforma 시스템을 상술하는 정보는 2003년 5월 1일에 출원된 미국 특허 출원 제10/428,145호에 "반도체 소자의 제조중 식각 공정을 제어하기 위한 방법 및 장치(Method and Apparatus for Controlling Etch Processes During Fabrication of Semiconductor Devices)"라는제목으로 개시된 바 있다. 이 시스템은 복수의 공정 챔버들, 예컨대 DPS II™ 실리콘 식각 챔버들과 같은 종래의 식각 반응기들(502)과 "로드 록"(load locks)이라고도 불리는 하나 이상의 이송 챔버들(503)을 장착하기 위한 Centura™ 공정 시스템과 같은 챔버 또는 "메인프레임"(501)을 포함한다. 본 발명의 일 실시예에 있어서, 4개의 식각 반응기들(502)이 메인프레임(501)에 장착된다. 전형적인 일 실시예에 있어서, 3개의 식각기들(etchers, 502)이 식각을 위해 사용되고 하나가 후식각(post-etch) 세정(즉, 식각 후에 포토레지스트 폴리머와 다른 잔류물을 웨이퍼로부터 제거)을 위해 선택적으로 사용된다. 로보트(504)가 공정 반응기들(502)과 이송 챔버들(503) 사이에서 웨이퍼를 전달하기 위해 메인프레임(501) 내에 마련된다. 이송 챔버들(503)은 조절된 환경을 유지시키는, "소규모 환경"(mini environment)으로도 알려진, 제조 인터페이스(factory interface, 505)에 연결된다. 계측(또는 측정) 기구(506)가 로드 록 영역(505) 내에 고속의 데이터 수집 및 분석 능력을 갖고서 통합될 수 있으며, 시스템(500)에 진입하는 모든 웨이퍼의 두께가 식각 공정 전후에 측정될 수 있다. 계측 기구(506)는 공정 시스템(500) 내의 다른 위치에 위치될 수 있다. 본 발명의 개념이 증착 공정에도 적용될 수 있으므로, 하나 이상의 공정 챔버(502)는 증착 챔버들일 수 있다.
본 발명은 금속 배선들과 같은 다른 유형의 피쳐들에 대한 식각 마스크로 사용되는 포토레지스트를 트리밍하기 위해 실시될 수 있다. 본 발명은 또한 여기에 개시된 내용들을 이용하여 본 발명의 사상을 벗어나지 않으면서 수용가능한 특징들을 달성하기 위해 당업자에 의해 공정 파라미터들이 조정될 수 있는 다른 반도체 웨이퍼 공정 시스템들을 사용하여 실시될 수 있다. 비록 식각 공정 공정 동안 사용되는 패터닝된 마스크들을 트리밍하는 것을 참조하여 논의하였지만, 집적회로들을 제조하기 위해 사용되는 다른 공정들이 본 발명으로부터 이익을 얻을 수 있다.
본 발명의 실시예들에 따르면, 반도체 기판 공정 시스템에서 반도체 소자들을 제조하는 동안, 포토레지스트 트리밍 공정을 제어하여 미세로딩 효과를 감소시킬 수 있다.
도 1은 트리밍하기 전 포토레지스트 마스크를 갖는 복합 구조체의 일 부분을 나타내는 단면도이다.
도 2는 밀집 영역 및 고립 영역을 갖는 복합 구조체의 일 부분을 나타내는 단면도이다.
도 3은 양 영역들 근처의 반응성(식각 및 보호막 형성) 물질들(species)과 함께 밀집 영역 및 고립영역을 갖는 복합 구조체의 일 부분을 나타내는 단면도이다.
도 4는 본 발명의 부분들을 수행하는 데 사용되는 종류의 대표적인 통합 반도체 기판 공정 시스템의 개략도를 나타낸다.
도 5는 통합 식각 시스템의 일 실시예를 나타내는 도면이다.

Claims (17)

  1. 플라즈마 공정 시스템에서 반도체기판 상의 포토레지스트 피쳐들을 트리밍하기 위한 방법에 있어서,
    패터닝된 포토레지스트층을 구비하는 기판을 상기 플라즈마 공정 시스템에 위치시키되, 상기 패터닝된 포토레지스트층은 기판 상에 제1 소정폭을 구비하는 적어도 하나의 요소를 갖고,
    상기 공정 챔버에 탄화수소 가스, 산소 가스, 및 비활성 가스를 포함하는 공정 혼합 가스를 공급하고,
    상기 공정 혼합 가스를 해리시키어 상기 패터닝된 포토레지스트층을 식각하는 것을 포함하는 포토레지스트 피쳐들을 트리밍하기 위한 방법.
  2. 제 1 항에 있어서,
    상기 식각 공정을 종료하여 상기 기판 상에 제2 소정폭을 구비하는 적어도 하나의 요소를 갖는 상기 패터닝된 포토레지스트층을 남기는 것을 더 포함하는 포토레지스트 피쳐들을 트리밍하기 위한 방법.
  3. 제 1 항에 있어서,
    상기 탄화수소 가스는 할로겐 처리된 탄화수소 가스 또는 할로겐 처리되지 않은 탄화수소 가스 중 어느 하나, 또는 이들의 조합인 것을 특징으로 하는 포토레지스트 피쳐들을 트리밍하기 위한 방법.
  4. 제 3 항에 있어서,
    상기 할로겐 처리된 탄화수소 가스는 CHF3인 것을 특징으로 하는 포토레지스트 피쳐들을 트리밍하기 위한 방법.
  5. 제 3 항에 있어서,
    상기 할로겐 처리되지 않은 탄화수소 가스는 CH4인 것을 특징으로 하는 포토레지스트 피쳐들을 트리밍하기 위한 방법.
  6. 제 1 항에 있어서,
    상기 탄화수소 가스의 유량은 약 20 sccm 내지 약 400 sccm 이고, 상기 산소 가스에 대한 상기 탄화수소 가스의 유량비는 약 1 내지 약 20인 것을 특징으로 하는 포토레지스트 피쳐들을 트리밍하기 위한 방법.
  7. 제 1 항에 있어서,
    상기 산소 유량은 약 5 sccm 내지 약 100 sccm인 것을 특징으로 하는 포토레지스트 피쳐들을 트리밍하기 위한 방법.
  8. 제 1 항에 있어서,
    상기 비활성 가스는 아르곤인 것을 특징으로 하는 포토레지스트 피쳐들을 트리밍하기 위한 방법.
  9. 제 1 항에 있어서,
    상기 비활성 가스의 유량은 약 20 sccm 내지 약 400 sccm이고, 산소 가스에 대한 상기 비활성 가스의 유량비는 약 1 내지 약 20인 것을 특징으로 하는 포토레지스트 피쳐들을 트리밍하기 위한 방법.
  10. 제 1 항에 있어서,
    공정 압력은 약 2 mTorr 내지 약 50 mTorr인 것을 특징으로 하는 포토레지스트 피쳐들을 트리밍하기 위한 방법.
  11. 제 1 항에 있어서,
    소오스 파워는 200 와트 내지 1500 와트인 것을 특징으로 하는 포토레지스트 피쳐들을 트리밍하기 위한 방법.
  12. 제 1 항에 있어서,
    바이어스 파워는 0 와트 내지 400 와트인 것을 특징으로 하는 포토레지스트 피쳐들을 트리밍하기 위한 방법.
  13. 제 1 항에 있어서,
    상기 기판은 밀집 영역들 및 고립 영역들의 패턴들을 갖는 패터닝된 포토레지스트층을 갖는 것을 특징으로 하는 포토레지스트 피쳐들을 트리밍하기 위한 방법.
  14. 제 13 항에 있어서,
    상기 밀집 영역의 패턴밀도는 20% 이상인 것을 특징으로 하는 포토레지스트 피쳐들을 트리밍하기 위한 방법.
  15. 제 13 항에 있어서,
    상기 고립 영역의 패턴밀도는 10% 이하인 것을 특징으로 하는 포토레지스트 피쳐들을 트리밍하기 위한 방법.
  16. 제 13 항에 있어서,
    상기 밀집 영역들 및 고립영역들의 식각률들은 상기 산소 가스에 대한 탄화수소 가스의 비에 의해 제어되는 것을 특징으로 하는 포토레지스트 피쳐들을 트리밍하기 위한 방법.
  17. 제 13 항에 있어서,
    상기 밀집 영역들 및 고립 영역들의 식각률 비들은 상기 산소 가스에 대한 탄화수소 가스의 비에 의해 제어되는 것을 특징으로 하는 포토레지스트 피쳐들을 트리밍하기 위한 방법.
KR1020040067318A 2003-09-19 2004-08-26 선택적 측벽 폴리머 증착에 의해 포토레지스트 트리밍공정의 임계크기 미세로딩을 제어하는 방법 KR20050028781A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/665,934 US6911399B2 (en) 2003-09-19 2003-09-19 Method of controlling critical dimension microloading of photoresist trimming process by selective sidewall polymer deposition
US10/665,934 2003-09-19

Publications (1)

Publication Number Publication Date
KR20050028781A true KR20050028781A (ko) 2005-03-23

Family

ID=34312979

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020040067318A KR20050028781A (ko) 2003-09-19 2004-08-26 선택적 측벽 폴리머 증착에 의해 포토레지스트 트리밍공정의 임계크기 미세로딩을 제어하는 방법

Country Status (4)

Country Link
US (1) US6911399B2 (ko)
KR (1) KR20050028781A (ko)
CN (1) CN1624865A (ko)
TW (1) TW200512792A (ko)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100571629B1 (ko) * 2004-08-31 2006-04-17 주식회사 하이닉스반도체 반도체 소자 제조 방법
KR100844029B1 (ko) * 2006-12-15 2008-07-04 에이피티씨 주식회사 플라즈마 식각방법
KR101217778B1 (ko) * 2007-06-08 2013-01-02 도쿄엘렉트론가부시키가이샤 패터닝 방법
KR101403832B1 (ko) * 2010-05-07 2014-06-03 도쿄엘렉트론가부시키가이샤 반도체 장치의 제조 방법 및 반도체 장치의 제조 장치
KR101534883B1 (ko) * 2007-08-20 2015-07-07 램 리써치 코포레이션 마스크 트리밍
CN108885977A (zh) * 2016-03-04 2018-11-23 东京毅力科创株式会社 在集成方案的各个阶段期间进行图案化的修整方法

Families Citing this family (365)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6573030B1 (en) * 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
KR100521050B1 (ko) * 2003-12-30 2005-10-11 매그나칩 반도체 유한회사 반도체 소자의 금속 배선 형성 방법
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
JP4579611B2 (ja) * 2004-07-26 2010-11-10 株式会社日立ハイテクノロジーズ ドライエッチング方法
US7431795B2 (en) * 2004-07-29 2008-10-07 Applied Materials, Inc. Cluster tool and method for process integration in manufacture of a gate structure of a field effect transistor
KR20070107017A (ko) * 2004-12-30 2007-11-06 어플라이드 머티어리얼스, 인코포레이티드 트리밍과 호환되는 라인 에지 조도 감소 방법
US7566900B2 (en) * 2005-08-31 2009-07-28 Applied Materials, Inc. Integrated metrology tools for monitoring and controlling large area substrate processing chambers
US20070161255A1 (en) * 2006-01-06 2007-07-12 Wilfred Pau Method for etching with hardmask
US7837838B2 (en) 2006-03-09 2010-11-23 Applied Materials, Inc. Method of fabricating a high dielectric constant transistor gate using a low energy plasma apparatus
US7645710B2 (en) 2006-03-09 2010-01-12 Applied Materials, Inc. Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
US7678710B2 (en) 2006-03-09 2010-03-16 Applied Materials, Inc. Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
US7582549B2 (en) 2006-08-25 2009-09-01 Micron Technology, Inc. Atomic layer deposited barium strontium titanium oxide films
US7544521B1 (en) * 2006-09-11 2009-06-09 Lam Research Corporation Negative bias critical dimension trim
TWI435376B (zh) 2006-09-26 2014-04-21 Applied Materials Inc 用於缺陷鈍化之高k閘極堆疊的氟電漿處理
US7807064B2 (en) * 2007-03-21 2010-10-05 Applied Materials, Inc. Halogen-free amorphous carbon mask etch having high selectivity to photoresist
US20080254233A1 (en) * 2007-04-10 2008-10-16 Kwangduk Douglas Lee Plasma-induced charge damage control for plasma enhanced chemical vapor deposition processes
US20090004875A1 (en) * 2007-06-27 2009-01-01 Meihua Shen Methods of trimming amorphous carbon film for forming ultra thin structures on a substrate
US7981812B2 (en) * 2007-07-08 2011-07-19 Applied Materials, Inc. Methods for forming ultra thin structures on a substrate
JP5297615B2 (ja) * 2007-09-07 2013-09-25 株式会社日立ハイテクノロジーズ ドライエッチング方法
KR101564473B1 (ko) * 2007-11-21 2015-10-29 램 리써치 코포레이션 텅스턴 함유층에 대한 에칭 마이크로로딩을 제어하는 방법
US20090191711A1 (en) * 2008-01-30 2009-07-30 Ying Rui Hardmask open process with enhanced cd space shrink and reduction
JP5102653B2 (ja) * 2008-02-29 2012-12-19 東京エレクトロン株式会社 プラズマエッチング方法、プラズマエッチング装置及びコンピュータ記憶媒体
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
KR20100104861A (ko) * 2009-03-19 2010-09-29 삼성전자주식회사 반도체 소자의 패턴 형성 방법
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
KR101662702B1 (ko) * 2009-12-31 2016-10-06 삼성전자 주식회사 반도체 소자의 제조 방법
US20110183269A1 (en) * 2010-01-25 2011-07-28 Hongbin Zhu Methods Of Forming Patterns, And Methods For Trimming Photoresist Features
CN102194678B (zh) * 2010-03-11 2013-07-24 中芯国际集成电路制造(上海)有限公司 刻蚀栅极的方法
US8507380B2 (en) * 2010-06-15 2013-08-13 Micron Technology, Inc. Methods of forming contact openings and methods of increasing contact area in only one of X and Y axes in the fabrication of integrated circuitry
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US8852964B2 (en) 2013-02-04 2014-10-07 Lam Research Corporation Controlling CD and CD uniformity with trim time and temperature on a wafer by wafer basis
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9281190B2 (en) * 2013-03-13 2016-03-08 Applied Materials, Inc. Local and global reduction of critical dimension (CD) asymmetry in etch processing
CN103295883B (zh) * 2013-06-04 2016-03-30 上海华力微电子有限公司 改善关键尺寸负载效应的方法
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9960050B2 (en) 2013-08-05 2018-05-01 Taiwan Semiconductor Manufacturing Company Limited Hard mask removal method
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9269587B2 (en) 2013-09-06 2016-02-23 Applied Materials, Inc. Methods for etching materials using synchronized RF pulses
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9343308B2 (en) * 2013-10-28 2016-05-17 Asm Ip Holding B.V. Method for trimming carbon-containing film at reduced trimming rate
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
JP6289996B2 (ja) * 2014-05-14 2018-03-07 東京エレクトロン株式会社 被エッチング層をエッチングする方法
US9419107B2 (en) 2014-06-19 2016-08-16 Applied Materials, Inc. Method for fabricating vertically stacked nanowires for semiconductor applications
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US9640385B2 (en) 2015-02-16 2017-05-02 Applied Materials, Inc. Gate electrode material residual removal process
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
KR102307233B1 (ko) * 2017-08-01 2021-09-30 어플라이드 머티어리얼스, 인코포레이티드 금속 산화물 후처리를 위한 방법들
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
US10359699B2 (en) * 2017-08-24 2019-07-23 Taiwan Semiconductor Manufacturing Company, Ltd. Self-adaptive halogen treatment to improve photoresist pattern and magnetoresistive random access memory (MRAM) device uniformity
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10643858B2 (en) 2017-10-11 2020-05-05 Samsung Electronics Co., Ltd. Method of etching substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11114306B2 (en) 2018-09-17 2021-09-07 Applied Materials, Inc. Methods for depositing dielectric material
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
CN111403270B (zh) * 2019-01-03 2023-04-07 中芯国际集成电路制造(上海)有限公司 光刻胶图形及其形成方法
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (51)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5795056A (en) 1980-12-05 1982-06-12 Hitachi Ltd Appearance inspecting process
JPS61290312A (ja) 1985-06-19 1986-12-20 Hitachi Ltd 断面形状測定装置
US5109430A (en) 1986-07-22 1992-04-28 Schlumberger Technologies, Inc. Mask alignment and measurement of critical dimensions in integrated circuits
US4767496A (en) 1986-12-11 1988-08-30 Siemens Aktiengesellschaft Method for controlling and supervising etching processes
US4911103A (en) 1987-07-17 1990-03-27 Texas Instruments Incorporated Processing apparatus and method
US5171393A (en) 1991-07-29 1992-12-15 Moffat William A Wafer processing apparatus
US5653894A (en) 1992-12-14 1997-08-05 Lucent Technologies Inc. Active neural network determination of endpoint in a plasma etch process
US5452521A (en) 1994-03-09 1995-09-26 Niewmierzycki; Leszek Workpiece alignment structure and method
US5607800A (en) 1995-02-15 1997-03-04 Lucent Technologies Inc. Method and arrangement for characterizing micro-size patterns
US5711849A (en) 1995-05-03 1998-01-27 Daniel L. Flamm Process optimization in gas phase dry etching
US6001699A (en) 1996-01-23 1999-12-14 Intel Corporation Highly selective etch process for submicron contacts
US5798529A (en) 1996-05-28 1998-08-25 International Business Machines Corporation Focused ion beam metrology
US5944940A (en) 1996-07-09 1999-08-31 Gamma Precision Technology, Inc. Wafer transfer system and method of using the same
US6143081A (en) 1996-07-12 2000-11-07 Tokyo Electron Limited Film forming apparatus and method, and film modifying apparatus and method
US5948203A (en) 1996-07-29 1999-09-07 Taiwan Semiconductor Manufacturing Company, Ltd. Optical dielectric thickness monitor for chemical-mechanical polishing process monitoring
US5913102A (en) 1997-03-20 1999-06-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming patterned photoresist layers with enhanced critical dimension uniformity
US5926690A (en) 1997-05-28 1999-07-20 Advanced Micro Devices, Inc. Run-to-run control process for controlling critical dimensions
US5976740A (en) 1997-08-28 1999-11-02 International Business Machines Corporation Process for controlling exposure dose or focus parameters using tone reversing pattern
US5965309A (en) 1997-08-28 1999-10-12 International Business Machines Corporation Focus or exposure dose parameter control system using tone reversing patterns
US6161054A (en) 1997-09-22 2000-12-12 On-Line Technologies, Inc. Cell control method and apparatus
US6124212A (en) 1997-10-08 2000-09-26 Taiwan Semiconductor Manufacturing Co. High density plasma (HDP) etch method for suppressing micro-loading effects when etching polysilicon layers
US5963329A (en) 1997-10-31 1999-10-05 International Business Machines Corporation Method and apparatus for measuring the profile of small repeating lines
US6148239A (en) 1997-12-12 2000-11-14 Advanced Micro Devices, Inc. Process control system using feed forward control threads based on material groups
US6054710A (en) 1997-12-18 2000-04-25 Cypress Semiconductor Corp. Method and apparatus for obtaining two- or three-dimensional information from scanning electron microscopy
US6452677B1 (en) 1998-02-13 2002-09-17 Micron Technology Inc. Method and apparatus for detecting defects in the manufacture of an electronic device
US6033814A (en) 1998-02-26 2000-03-07 Micron Technology, Inc. Method for multiple process parameter matching
US6067357A (en) 1998-03-04 2000-05-23 Genesys Telecommunications Laboratories Inc. Telephony call-center scripting by Petri Net principles and techniques
IL125338A0 (en) 1998-07-14 1999-03-12 Nova Measuring Instr Ltd Method and apparatus for monitoring and control of photolithography exposure and processing tools
JP4601744B2 (ja) 1998-07-14 2010-12-22 ノバ メジャリング インスツルメンツ リミテッド フォトリソグラフィープロセスを制御するための方法およびシステム
JP3090139B1 (ja) 1999-03-05 2000-09-18 ミノルタ株式会社 プロジェクタ用光学系
US6455437B1 (en) 1999-04-07 2002-09-24 Applied Materials Inc. Method and apparatus for monitoring the process state of a semiconductor device fabrication process
KR100702741B1 (ko) 1999-06-29 2007-04-03 어플라이드 머티어리얼스, 인코포레이티드 반도체 장치 제조를 위한 집적식 임계치수 제어
US6368975B1 (en) 1999-07-07 2002-04-09 Applied Materials, Inc. Method and apparatus for monitoring a process by employing principal component analysis
US6583065B1 (en) 1999-08-03 2003-06-24 Applied Materials Inc. Sidewall polymer forming gas additives for etching processes
US6225639B1 (en) 1999-08-27 2001-05-01 Agere Systems Guardian Corp. Method of monitoring a patterned transfer process using line width metrology
US6707544B1 (en) 1999-09-07 2004-03-16 Applied Materials, Inc. Particle detection and embedded vision system to enhance substrate yield and throughput
US6413867B1 (en) 1999-12-23 2002-07-02 Applied Materials, Inc. Film thickness control using spectral interferometry
US6245581B1 (en) 2000-04-19 2001-06-12 Advanced Micro Devices, Inc. Method and apparatus for control of critical dimension using feedback etch control
US6689519B2 (en) 2000-05-04 2004-02-10 Kla-Tencor Technologies Corp. Methods and systems for lithography process control
US6625512B1 (en) 2000-07-25 2003-09-23 Advanced Micro Devices, Inc. Method and apparatus for performing final critical dimension control
IL139368A (en) 2000-10-30 2006-12-10 Nova Measuring Instr Ltd Process control for microlithography
US6625497B2 (en) 2000-11-20 2003-09-23 Applied Materials Inc. Semiconductor processing module with integrated feedback/feed forward metrology
US6501555B1 (en) 2001-02-01 2002-12-31 Advanced Micro Devices, Inc. Optical technique to detect etch process termination
US6653231B2 (en) * 2001-03-28 2003-11-25 Advanced Micro Devices, Inc. Process for reducing the critical dimensions of integrated circuit device features
US6606738B1 (en) * 2001-03-30 2003-08-12 Advanced Micro Device, Inc. Analytical model for predicting the operating process window for lithographic patterning techniques based on photoresist trim technology
US6479309B1 (en) 2001-05-25 2002-11-12 Advanced Micro Devices, Inc. Method and apparatus for determining process layer conformality
US20030000922A1 (en) 2001-06-27 2003-01-02 Ramkumar Subramanian Using scatterometry to develop real time etch image
US6649426B2 (en) 2001-06-28 2003-11-18 Advanced Micro Devices, Inc. System and method for active control of spacer deposition
US20030045098A1 (en) 2001-08-31 2003-03-06 Applied Materials, Inc. Method and apparatus for processing a wafer
US20030092281A1 (en) * 2001-11-13 2003-05-15 Chartered Semiconductors Manufactured Limited Method for organic barc and photoresist trimming process
US6762130B2 (en) * 2002-05-31 2004-07-13 Texas Instruments Incorporated Method of photolithographically forming extremely narrow transistor gate elements

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100571629B1 (ko) * 2004-08-31 2006-04-17 주식회사 하이닉스반도체 반도체 소자 제조 방법
US7338906B2 (en) 2004-08-31 2008-03-04 Hynix Semiconductor, Inc. Method for fabricating semiconductor device
KR100844029B1 (ko) * 2006-12-15 2008-07-04 에이피티씨 주식회사 플라즈마 식각방법
KR101217778B1 (ko) * 2007-06-08 2013-01-02 도쿄엘렉트론가부시키가이샤 패터닝 방법
US8383522B2 (en) 2007-06-08 2013-02-26 Tokyo Electron Limited Micro pattern forming method
KR101534883B1 (ko) * 2007-08-20 2015-07-07 램 리써치 코포레이션 마스크 트리밍
KR101403832B1 (ko) * 2010-05-07 2014-06-03 도쿄엘렉트론가부시키가이샤 반도체 장치의 제조 방법 및 반도체 장치의 제조 장치
CN108885977A (zh) * 2016-03-04 2018-11-23 东京毅力科创株式会社 在集成方案的各个阶段期间进行图案化的修整方法
CN108885977B (zh) * 2016-03-04 2023-08-08 东京毅力科创株式会社 在集成方案的各个阶段期间进行图案化的修整方法

Also Published As

Publication number Publication date
TW200512792A (en) 2005-04-01
US20050064719A1 (en) 2005-03-24
US6911399B2 (en) 2005-06-28
CN1624865A (zh) 2005-06-08

Similar Documents

Publication Publication Date Title
US6911399B2 (en) Method of controlling critical dimension microloading of photoresist trimming process by selective sidewall polymer deposition
US7094613B2 (en) Method for controlling accuracy and repeatability of an etch process
US6767824B2 (en) Method of fabricating a gate structure of a field effect transistor using an alpha-carbon mask
US10658181B2 (en) Method of spacer-defined direct patterning in semiconductor fabrication
US7431795B2 (en) Cluster tool and method for process integration in manufacture of a gate structure of a field effect transistor
US6759286B2 (en) Method of fabricating a gate structure of a field effect transistor using a hard mask
US6924191B2 (en) Method for fabricating a gate structure of a field effect transistor
US6806095B2 (en) Method of plasma etching of high-K dielectric materials with high selectivity to underlying layers
JP5577530B2 (ja) 六フッ化硫黄(sf6)および炭化水素ガスを用いた反射防止層のパターニング方法
US20040209468A1 (en) Method for fabricating a gate structure of a field effect transistor
US20040229470A1 (en) Method for etching an aluminum layer using an amorphous carbon mask
US20070295455A1 (en) Method and apparatus for etching material layers with high uniformity of a lateral etch rate across a substrate
US6902681B2 (en) Method for plasma etching of high-K dielectric materials
US7094704B2 (en) Method of plasma etching of high-K dielectric materials
US10497578B2 (en) Methods for high temperature etching a material layer using protection coating
WO2020176181A1 (en) A film stack for lithography applications
US20050064714A1 (en) Method for controlling critical dimensions during an etch process
CN113614880A (zh) 多间隔图案化方案
US20060060565A9 (en) Method of etching metals with high selectivity to hafnium-based dielectric materials
US11127599B2 (en) Methods for etching a hardmask layer
US7217665B2 (en) Method of plasma etching high-K dielectric materials with high selectivity to underlying layers
US20050176191A1 (en) Method for fabricating a notched gate structure of a field effect transistor
US20050009342A1 (en) Method for etching an organic anti-reflective coating (OARC)
US20050098536A1 (en) Method of etching oxide with high selectivity

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid