KR20180005265A - 진보된 패터닝 프로세스에서의 스페이서 퇴적 및 선택적 제거를 위한 장치 및 방법들 - Google Patents

진보된 패터닝 프로세스에서의 스페이서 퇴적 및 선택적 제거를 위한 장치 및 방법들 Download PDF

Info

Publication number
KR20180005265A
KR20180005265A KR1020177037639A KR20177037639A KR20180005265A KR 20180005265 A KR20180005265 A KR 20180005265A KR 1020177037639 A KR1020177037639 A KR 1020177037639A KR 20177037639 A KR20177037639 A KR 20177037639A KR 20180005265 A KR20180005265 A KR 20180005265A
Authority
KR
South Korea
Prior art keywords
spacer layer
substrate
rti
gas
gas mixture
Prior art date
Application number
KR1020177037639A
Other languages
English (en)
Other versions
KR102483741B1 (ko
Inventor
지에 조우
첸차우 잉
샴부 엔. 로이
스리니바스 디. 네마니
징징 리우
엘리 와이. 이에
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20180005265A publication Critical patent/KR20180005265A/ko
Application granted granted Critical
Publication of KR102483741B1 publication Critical patent/KR102483741B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

본 명세서의 실시예들은 다수의 패터닝 프로세스들에서 양호한 프로파일 제어를 갖고서 스페이서 층에 대해 퇴적 및 패터닝 프로세스를 수행하기 위한 장치 및 방법을 제공한다. 일 실시예에서, 다수의 패터닝 프로세스 동안 스페이서 층을 퇴적하고 패터닝하기 위한 방법은 기판 상에 배치된 패터닝된 구조물의 외측 표면 상에 스페이서 층을 형상추종적으로 형성하는 단계 - 패터닝된 구조물은 그 사이에 정의된 제1 그룹의 개구들을 가짐 - ; 스페이서 층의 제2 부분을 트리트먼트하지 않고서, 기판 상에 형성된 스페이서 층의 제1 부분을 선택적으로 트리트먼트하는 단계; 및 스페이서 층의 트리트먼트된 제1 부분을 선택적으로 제거하는 단계를 포함한다.

Description

진보된 패터닝 프로세스에서의 스페이서 퇴적 및 선택적 제거를 위한 장치 및 방법들
본 명세서의 실시예들은 일반적으로 리소그래피 멀티 패터닝 제조 프로세스에서 이용하기 위한 스페이서 층을 형성하기 위한 장치 및 제조 프로세스에 관한 것이다.
서브미크론 및 더 작은 피쳐들을 신뢰가능하게 생성하는 것은 반도체 디바이스들의 VLSI(very large scale integration) 및 ULSI(ultra large scale integration)를 위한 핵심적인 요건들 중 하나이다. 그러나, 회로 기술의 계속된 소형화와 함께, 상호접속부들과 같은 회로 피쳐들의 크기 및 피치의 치수들은 처리 능력에 대한 추가의 요구들을 발생시켰다. 이러한 기술의 핵심에 있는 멀티레벨 상호접속부들은 비아들 및 다른 상호접속부들과 같은 높은 종횡비 피쳐들의 정밀한 이미징 및 배치를 요구한다. 이러한 상호접속부들의 신뢰가능한 형성은 디바이스 및 상호접속부 밀도를 더 증가시키는 데에 있어서 매우 중요하다. 추가로, 서브미크론 크기 피쳐들 및 상호접속부들을 중간 재료들, 예컨대 레지스트들 및 하드마스크 재료들의 낭비를 감소시키면서 형성하는 것이 요구된다.
차세대 디바이스들에 대하여 회로 밀도가 증가함에 따라, 비아들, 트렌치들, 컨택트들, 디바이스들, 게이트들, 및 다른 피쳐들과 같은 상호접속부들은 물론, 그들 사이의 유전체 재료들의 폭 또는 피치가 45nm 내지 32nm 치수로, 그리고 그보다 더 감소하고 있다. 디바이스 스케일링이 리소그래피 스캐너들의 해상도 한계보다 더 아래로 확장됨에 따라, 최신 집적 디바이스들의 피쳐 밀도 요구조건들을 만족시키는 것을 가능하게 하기 위해, 멀티패터닝이 이용되었다. 멀티패터닝은 수 개의 레지스트 코팅, 리소그래피 패터닝, 및 에칭 동작들을 수행하여, 궁극적으로는 필름 층을 복수의 단계로 패터닝하는 프로세스이다. 중첩되는 패턴 동작들(overlapping pattern operations)이 조합되면, 하부 하드마스크 층 내에 피쳐들이 형성되고, 그러한 하부 하드마스크 층은 완전하게 패터닝된 때에 하부 층을 패터닝하기 위해 이용되거나 주입(implant) 또는 확산 마스크로서 기능할 수 있다.
일례에서, 하드마스크 층 내에 작은 피쳐들을 형성하는 데에 있어서, 다수의 패터닝 프로세스가 광범위하게 이용된다. 자기 정렬식 이중 패터닝(SADP: self-aligned double patterning)은 포토리소그래피 기술들의 능력을 최소 피치를 넘어서 확장하기 위해 이용되는 이중 패터닝 프로세스이다. 도 1의 (a) - 도 1의 (d)는 하드마스크 층을 에칭하기 위해 이용되는 자기 정렬식 이중 패터닝(SADP), 또는 자기 정렬식 삼중 패터닝(SATP: self-aligned triple patterning), 또는 심지어는 자기 정렬식 사중 패터닝(SAQP: self-aligned quadruple patterning)을 위한 통상적인 종래 기술의 사이클(100)의 예를 도시한다. 통상적인 사이클(100)이 도 1의 (a) 내지 도 1의 (d)로부터의 스냅샷들에 도시되어 있으며, 이들은 처리가 진행됨에 따라 기판의 동일한 부분들을 도시한 것이다. 본 예에서, 로우-K 층(103)이 기판(101) 상에 배치된다. 하드마스크 층(105)이 로우-K 층(103) 상에 배치되고, 패터닝된 구조물(108)(예를 들어, 유전체 층, 포토레지스트 층, 또는 패터닝을 위한 임의의 적절한 재료들에 의해 형성된 패터닝된 구조물)이 그 위에 형성되어 내부에 개구들(118)을 정의한다. 하부 층들의 패터닝을 돕기 위해, 패터닝된 구조물(108)과 하드마스크 층(105) 사이에, 추가의 희생 층들이 형성될 수 있다는 점이 주목된다. 도 1의 (b)에서, 개구들(118)(도 1의 (a))의 치수들을 개구들(125)로 더 감소시키기 위해, 패터닝 구조물(108)의 측벽들(111) 및 최상부면(109) 상에 스페이서 층(126)이 형상추종적으로 형성될 수 있다. 도 1의 (c)에서, 패터닝된 구조물(108)의 최상부면(109)이 노출되고 하부 하드마스크 층(105)의 표면이 노출될 때까지 스페이서 층(126)의 일부를 기판(101)으로부터 에칭하기 위해, 에칭 프로세스가 수행된다. 도 1의 (d)에서, 기판(101)으로부터 패터닝된 구조물(108)을 제거하기 위해 최종 에칭 프로세스가 수행되어, 기판(101) 상의 스페이서 층(116) 내에 감소된 치수들을 갖는 새로운 개구들(145)을 정의하는 스페이서 층(126)을 남긴다. 하드마스크 층(105)이 패터닝된 스페이서 층(126)을 에칭 마스크로서 더 패터닝되고 나면, 자기 정렬식 이중 패터닝(SADP)은 완료된 것으로 고려된다. 일부 경우들에서, 필요에 따라 개구들(145)의 치수를 훨씬 더 좁은 개구들까지 더 좁히도록 추가의 스페이서 층을 형성하기 위해, 프로세스가 계속될 수 있다. 스페이서 층들의 개수들은, 스페이서 층들 사이에 정의되는 개구들이 그 개구들에 의해 정의되는 떨어져 있는 스페이서 층들을 막히게 하지 않는 한, 필요한 만큼 많이 형성될 수 있다는 점이 주목된다.
도 1의 (c)에서 스페이서 층(126)을 에칭하는 동안, 기판(101) 상의 상이한 재료들[예를 들어, 패터닝된 구조물들(108), 스페이서 층(126), 및 하부 하드마스크 층(105)]의 상이한 에칭율들은 결과적인 구조물의 상이한 장소들에서 상이한 에칭 치수들 또는 비대칭적인 에칭 프로파일이 형성되게 할 수 있다. 구체적으로, 에칭 프로세스 후에, 원(130)에 나타난 것과 같은 스페이서 층(126)의 코너들(132)은 종종 둥글게 된 최상부 쇼울더 부식(rounded top shoulder erosion), 쇼울더 패싯화(shoulder faceting), 또는 원하지 않는 비수직 측벽 에칭 프로파일(undesired non-vertical sidewall etched profile)로 인한 어려움을 겪으며, 그에 의해 임계 치수(CD: critical dimension) 손실 또는 변형된 프로파일들을 야기한다. 패터닝된 구조물의 부정확한 임계 치수 또는 프로파일 변형은 후속하는 리소그래피 노출 프로세스 동안 광빔 아웃-오브-포커스 문제들(light beam out-of focus issues), 오버레이 에러들(overlay errors), 및 상당한 해상도 손실을 야기할 수 있다. 일부 경우들에서, 부정확한 프로파일 또는 구조적 치수들은 디바이스 구조물의 붕괴(collapse)를 야기할 수 있고, 결국에는 디바이스 고장 및 저 생산 수율(product low yield)로 이어진다.
그러므로, 멀티 패터닝 프로세스 동안 정확한 프로파일 제어를 위한 개선된 방법이 필요하다.
본 명세서의 실시예들은 반도체 응용들을 위해 나노와이어들을 형성하기 위한 다수의 패터닝 프로세스(multiple patterning process)에서 양호한 프로파일 제어를 갖고서 스페이서 층을 형성하고 스페이서 층에 대해 선택적 제거 프로세스를 인-시튜(in-situ)로 수행하기 위한 장치 및 방법을 제공한다. 일 실시예에서, 다수의 패터닝 프로세스 동안 스페이서 층을 퇴적하고 패터닝하기 위한 방법은 기판 상에 배치된 패터닝된 구조물의 외측 표면 상에 스페이서 층을 형상추종적으로 형성하는 단계 - 패터닝된 구조물은 그 사이에 정의된 제1 그룹의 개구들을 가짐 - ; 스페이서 층의 제2 부분을 트리트먼트하지 않고서, 기판 상에 형성된 스페이서 층의 제1 부분을 선택적으로 트리트먼트하는 단계; 및 스페이서 층의 트리트먼트된 제1 부분을 선택적으로 제거하는 단계를 포함한다.
다른 실시예에서, 다수의 패터닝 프로세스 동안 스페이서 층을 퇴적하고 패터닝하기 위한 방법은 처리 챔버 내에 제공된 기판에 대해 예비 트리트먼트 프로세스(pre-treating process)를 수행하는 단계; 퇴적 프로세스 동안 유도 결합 플라즈마를 이용하여 기판 상에 스페이서 층을 형성하기 위해 스페이서 층 퇴적 프로세스를 수행하는 단계; 퇴적 후 트리트먼트 프로세스(post deposition treatment process) 동안 유도 결합 플라즈마 없이 RF 바이어스 전력을 이용하여 스페이서 층의 일부분을 선택적으로 트리트먼트하기 위해 퇴적 후 트리트먼트를 수행하는 단계; 및 선택적 제거 프로세스 동안 원격 플라즈마 소스에 의해 스페이서 층의 일부분을 선택적으로 제거하기 위해 선택적 제거 프로세스를 수행하는 단계를 포함한다.
또 다른 실시예에서, 다수의 패터닝 프로세스 동안 스페이서 층을 형성하고 패터닝하기 위한 방법은 기판 상에 배치되는 스페이서 층을 퇴적하고 패터닝하기 위한 방법을 포함하고, 그러한 방법은 실리콘계 가스 및 질소를 포함하는 퇴적 가스 혼합물을 처리 챔버 내에 공급하여, 퇴적 가스 혼합물 내에 형성된 유도 결합 플라즈마를 이용하여 기판 상에 스페이서 층을 퇴적하는 단계; 불활성 가스를 포함하는 퇴적 후 트리트먼트 가스 혼합물을 공급하여, 스페이서 층의 제2 부분을 트리트먼트하지 않고서, 기판 상에 퇴적된 스페이서 층의 제1 부분을 선택적으로 트리트먼트하는 단계; 및 선택적 제거 가스 혼합물을 공급하여, 선택적 제거 가스 혼합물로부터 형성된 원격 플라즈마 소스를 이용하여 기판으로부터 스페이서 층의 트리트먼트된 제1 부분만을 제거하는 단계를 포함한다.
위에서 언급된 본 명세서의 실시예들의 특징들이 달성되고 상세하게 이해될 수 있도록, 위에 간략하게 요약된 본 개시내용의 더 구체적인 설명은 예들을 참조할 수 있으며, 그들은 첨부 도면들에 도시되어 있다.
도 1의 (a) - 도 1의 (d)는 개구들의 치수들을 감소시키기 위해 스페이서 층을 이용하는 멀티패터닝 프로세스를 위한 통상적인 사이클을 도시한다.
도 2는 스페이서 층을 형성하고 선택적 제거 프로세스를 수행하기 위해 이용될 수 있는 처리 챔버를 도시한다.
도 3은 스페이서 층 퇴적 및 패터닝 프로세스를 수행하기 위한 흐름도를 도시한다.
도 4a - 도 4e는 도 3에 도시된 프로세스를 이용하여 기판 상에 스페이서 층을 퇴적하고 패터닝하기 위한 다수의 패터닝 프로세스 동안의 다양한 스테이지들에서의 필름 스택을 도시한다.
실시예들의 이해를 용이하게 하기 위해서, 가능한 경우에, 도면들에 공통인 동일한 요소들을 지시하는 데에 동일한 참조 번호들이 이용되었다. 일 실시예의 요소들 및 특징들은 추가 언급 없이도 다른 실시예들에 유익하게 통합될 수 있을 것으로 예상된다.
그러나, 본 발명은 동등한 효과의 다른 실시예들을 허용할 수 있으므로, 첨부 도면들은 예시적인 예들만을 도시하며, 따라서 그것의 범위를 제한하는 것으로 간주되어서는 안 된다는 점에 주목해야 한다.
본 출원의 실시예들은 멀티패터닝 프로세스에서 이용되는 스페이서 층을 퇴적하고 패터닝하기 위한 프로세스를 포함하며, 그 프로세스는 둥글게 되거나 변형되거나 부식된 코너들 및/또는 쇼울더들 없이, 요구되는 정확한 치수 및 프로파일을 갖는 패터닝된 스페이서 층을 제공할 수 있다. 다수의 패터닝 프로세스에서 스페이서 층이 이용될 수 있고, 그 스페이서 층은 높은 종횡비들 및/또는 작은 치수들을 갖는 금속 함유 피쳐들(예를 들어, 나노 와이어들)의 형성을 위해 유전체 상호접속 재료를 패터닝하고 에칭하기 위해 궁극적으로 이용될 수 있다. 일 실시예에서, 스페이서 층 퇴적 및 패터닝 프로세스는 결과적인 프로파일을 효율적으로 성형(shape)하고 제어하여, 요구되는 프로파일 및 치수를 갖는 스페이서 층을 제공하기 위해, 스페이서 층을 형성하는 퇴적 프로세스, 및 그에 후속하는 선택적 제거 프로세스를 포함할 수 있다. 퇴적 및 선택적 제거 프로세스는 유도 결합 플라즈마 소스(ICP: inductive couple plasma source) 퇴적 프로세스, 및 그에 후속하는 원격 플라즈마 소스를 이용한 선택적 제거 프로세스를 이용하여 수행될 수 있다. 유도 결합 플라즈마 소스(ICP) 퇴적 프로세스 및 원격 플라즈마 소스 선택적 제거 프로세스는 퇴적 프로세스 및 선택적 제거 프로세스 둘 다를 수행하기 위해 요구되는 능력을 제공하는 RPS 소스 및 ICP 소스 둘 다를 구비하는 장치 내에서 인-시튜로 수행될 수 있다. 그와 같이, 요구되는 필름 프로파일을 갖는 제어된 퇴적 및 선택적 제거 프로세스는 스페이서 층이 형성되고 패터닝된 후에 스페이서 층 내에서 획득될 수 있다.
도 2는 스페이서 층을 형성하기 위한 퇴적 프로세스와 그에 후속하는 선택적 제거 프로세스를 수행하기 위한 장치(200)의 단면도이다. 본 명세서에 개시된 교시들과 함께 이용되도록 적응될 수 있는 적절한 처리 챔버들은 예를 들어 캘리포니아 주 산타클라라의 Applied Materials, Inc.로부터 입수가능한 HDP-Producer® 또는 C3® 처리 챔버이다. 우수한 퇴적 및 선택적 제거 성능을 가능하게 하는 복수의 피쳐를 포함하는 장치(200)가 도시되어 있지만, 다른 처리 챔버들도 본 명세서에 개시된 본 발명의 특징들 중 하나 이상으로부터 혜택을 얻도록 적응될 수 있음이 예상된다.
장치(200)는 처리 챔버(202), 및 처리 챔버(202)에 결합된 원격 플라즈마 소스(204)를 포함한다. 원격 플라즈마 소스(204)는 라디칼들을 발생시킬 수 있는 임의의 적절한 소스일 수 있다. 원격 플라즈마 소스(204)는 무선 주파수(RF: radio frequency) 또는 초고 무선 주파수(VHRF: very high radio frequency) 용량 결합 플라즈마(CCP: capacitively coupled plasma) 소스, 유도 결합 플라즈마(ICP: inductively coupled plasma) 소스, 마이크로파 유도(MW: microwave induced) 플라즈마 소스, 전자 사이클로트론 공진(ECR: electron cyclotron resonance) 챔버, 또는 고밀도 플라즈마(HDP: high density plasma) 챔버와 같은 원격 플라즈마 소스일 수 있다. 원격 플라즈마 소스(204)는 하나 이상의 가스 소스(206)를 포함할 수 있고, 원격 플라즈마 소스(204)는 라디칼 도관(radical conduit)(208)에 의해 처리 챔버(202)에 결합될 수 있다. 라디칼 형성 가스들일 수 있는 하나 이상의 프로세스 가스는 하나 이상의 가스 소스(206)를 통해 원격 플라즈마 소스(204)에 진입할 수 있다. 하나 이상의 프로세스 가스는 염소 함유 가스, 불소 함유 가스, 불활성 가스, 산소 함유 가스, 질소 함유 가스, 수소 함유 가스, 또는 이들의 임의의 조합을 포함할 수 있다. 원격 플라즈마 소스(204) 내에서 발생된 라디칼들은 처리 챔버(202)에 결합된 라디칼 도관(208)을 통해 처리 챔버(202) 내로 이동하여, 처리 챔버(202) 내에 정의된 내부 처리 영역(251)에 도달한다.
라디칼 도관(208)은 라디칼 공동(210), 최상부 플레이트(214), 리드 림(lid rim)(216), 및 샤워헤드(218)를 또한 포함하는 리드 조립체(212)의 일부분이다. 라디칼 도관(208)은 라디칼들에 실질적으로 비반응성인 재료를 포함할 수 있다. 예를 들어, 라디칼 도관(208)은 AlN, SiO2, Y2O3, MgO, 양극화된(anodized) Al2O3, 사파이어, Al2O3, 사파이어, AlN, Y2O3, MgO 중 하나 이상을 함유하는 세라믹, 또는 플라스틱을 포함할 수 있다. 적절한 SiO2 재료의 대표적인 예는 석영이다. 라디칼 도관(208)은 라디칼 도관 지지 부재(220) 내에 배치될 수 있고 라디칼 도관 지지 부재에 의해 지지될 수 있다. 라디칼 도관 지지 부재(220)는 리드 림(216) 상에 놓인 최상부 플레이트(214) 상에 배치될 수 있다.
라디칼 공동(210)은 라디칼 도관(208) 아래에 위치되고 라디칼 도관에 결합되며, 원격 플라즈마 소스(204) 내에서 발생된 라디칼들은 라디칼 도관(208)을 통해 라디칼 공동(210)으로 이동한다. 라디칼 공동(210)은 최상부 플레이트(214), 리드 림(216), 및 샤워헤드(218)에 의해 정의된다. 선택적으로, 라디칼 공동(210)은 라이너(222)를 포함할 수 있다. 라이너(222)는 라디칼 공동(210)에 노출되는 리드 림(216) 및 최상부 플레이트(214)의 표면들을 커버할 수 있다. 원격 플라즈마 소스(204)로부터의 라디칼들은 내부 처리 영역(251) 내로 진입하기 위해 샤워헤드(218) 내에 배치된 복수의 튜브(224)를 통과한다. 샤워헤드(218)는 복수의 튜브(224)보다 직경이 작은 복수의 개구(226)를 더 포함한다. 복수의 개구(226)는 복수의 튜브(224)와 유체 연통하지 않는 내부 용적(도시되지 않음)에 연결된다. 유체 혼합물을 처리 챔버(202)의 내부 처리 영역(251) 내로 도입하기 위해, 하나 이상의 유체 소스(219)가 샤워헤드(218)에 결합될 수 있다. 유체 혼합물은 프리커서, 포로젠(porogen), 및/또는 캐리어 유체들을 포함할 수 있다. 유체 혼합물은 가스들 및 액체들의 혼합물일 수 있다.
처리 챔버(202)는 리드 조립체(212), 챔버 바디(230), 및 지지 어셈블리(232)를 포함할 수 있다. 지지 어셈블리(232)는 챔버 바디(230) 내부에 적어도 부분적으로 배치될 수 있다. 챔버 바디(230)는 처리 챔버(202)의 내부에의 접근을 제공하기 위해 슬릿 밸브(235)를 포함할 수 있다. 챔버 바디(230)는 챔버 바디(230)의 내부 표면들을 커버하는 라이너(234)를 포함할 수 있다. 라이너(234)는 하나 이상의 애퍼쳐(236), 및 라이너 내부에 형성되며 진공 시스템(240)과 유체 연통하는 펌핑 채널(238)을 포함할 수 있다. 애퍼쳐들(236)은 가스들을 위해 펌핑 채널(238) 내로의 유동 경로를 제공하며, 이는 처리 챔버(202) 내의 가스들을 위한 출구를 제공한다.
진공 시스템(240)은 진공 포트(242), 밸브(244), 및 진공 펌프(246)를 포함할 수 있다. 진공 펌프(246)는 진공 포트(242)를 통해 펌핑 채널(238)과 유체 연통한다. 애퍼쳐들(236)은 펌핑 채널(238)이 챔버 바디(230) 내의 내부 처리 영역(251)과 유체 연통하는 것을 허용한다. 내부 처리 영역(251)은 샤워헤드(218)의 하부 표면(248) 및 지지 어셈블리(232)의 상부 표면(250)에 의해 정의되고, 내부 처리 영역(251)은 라이너(234)에 의해 둘러싸인다.
지지 어셈블리(232)는 챔버 바디(230) 내에서의 처리를 위해 기판(도시되지 않음)을 지지하도록 지지 부재(252)를 포함할 수 있다. 기판은 예를 들어 300mm와 같은 임의의 표준 웨이퍼 크기일 수 있다. 대안적으로, 기판은 300mm 초과, 예컨대 450mm 이상일 수 있다. 지지 부재(252)는 동작 온도에 따라 알루미늄 질화물(AlN) 또는 알루미늄을 포함할 수 있다. 지지 부재(252)는 기판을 지지 부재(252)에 척킹하도록 구성될 수 있다. 예를 들어, 지지 부재(252)는 정전 척, 또는 진공 척일 수 있다.
지지 부재(252)는 챔버 바디(230)의 최하부면 내에 형성된 중심 위치 개구(centrally-located opening)(258)를 통해 연장되는 샤프트(256)를 통해 리프트 메커니즘(254)에 결합될 수 있다. 리프트 메커니즘(254)은 샤프트(256) 주위로부터의 진공 누설을 방지하는 벨로우즈(260)에 의해 챔버 바디(230)에 가요성 밀봉될 수 있다. 리프트 메커니즘(254)은 지지 부재(252)가 챔버 바디(230) 내에서 프로세스 위치와 하부의 이송 위치 사이에서 수직 이동되는 것을 허용한다. 이송 위치는 슬릿 밸브(235)의 개구보다 약간 아래에 있다. 동작 동안, 기판 표면에서의 라디칼 플럭스를 최대화하기 위해, 기판과 샤워헤드(218) 사이의 간격은 최소화될 수 있다. 예를 들어, 간격은 약 100 mm 내지 약 5,000 mm일 수 있다. 리프트 메커니즘(254)은 샤프트(256)를 회전시킬 수 있고, 샤프트는 결국 지지 부재(252)를 회전시켜서, 지지 부재(252) 상에 배치된 기판이 동작 동안 회전하게 한다.
하나 이상의 가열 소자(262) 및 냉각 채널(264)은 지지 부재(252) 내에 내장될 수 있다. 가열 소자들(262) 및 냉각 채널(264)은 동작 동안 기판의 온도를 제어하기 위해 이용될 수 있다. 가열 소자들(262)은 하나 이상의 저항성 가열 소자와 같은 임의의 적절한 가열 소자들일 수 있다. 가열 소자들(262)은 하나 이상의 전력 소스(도시되지 않음)에 연결될 수 있다. 가열 소자들(262)은 다중 구역 가열 또는 냉각에 대한 독립적인 가열 및/또는 냉각 제어를 갖도록 독립적으로 제어될 수 있다. 다중 구역 가열 및 냉각에 대한 독립적인 제어를 갖는 능력으로, 임의의 주어진 프로세스 조건들에서 기판 온도 프로파일이 증강될 수 있다. 냉각제는 기판을 냉각시키기 위해 냉각 채널(264)을 통해 유동될 수 있다. 지지 부재(252)는 냉각 가스를 기판의 후면측에 유동시키기 위해, 상부 표면(250)으로 연장되는 가스 통로들을 더 포함할 수 있다.
RF 소스 전력(243)은 RF 소스 전력 정합 박스(RF source power matching box)(247)를 통해 샤워헤드(218)에 결합될 수 있다. RF 소스 전력(243)은 낮은 주파수, 높은 주파수, 또는 매우 높은 주파수일 수 있다. 일 실시예에서, RF 소스 전력(243)은 고밀도 필름 층들을 퇴적하기 위해 고밀도 플라즈마를 발생시킬 수 있는 고주파수 RF 발생기이다. 일례에서, RF 소스 전력(243)은 지지 부재(252) 위의 내부 처리 영역(251) 내에서 발생되는 유도 결합 플라즈마(ICP)를 발생시키고 제어할 수 있는 유도 결합 RF 에너지 전송 디바이스로서 기능할 수 있다. RF 소스 전력 정합 박스(247)로부터의 동적 임피던스 정합은 유도 결합 플라즈마(ICP)를 발생시킬 때 제공될 수 있다.
RF 소스 전력(243)에 더하여, RF 바이어스 전력 소스(245)가 지지 부재(252)에 결합될 수 있다. 지지 부재(252)는 캐소드로서 구성되고, RF 바이어스 전력 소스(245)에 결합된 전극(263)을 포함한다. RF 바이어스 전력 소스(245)는 지지 부재(252) 내에 배치된 전극(263)과 다른 전극, 예컨대 챔버 바디(230)의 천장[예를 들어, 최상부 플레이트(214)] 또는 샤워헤드(218) 사이에 결합된다. RF 바이어스 전력 소스(245)로부터 발생된 RF 바이어스 전력은 챔버 바디(230)의 내부 처리 영역(251) 내에 배치된 가스들로부터 형성된 플라즈마 방전을 여기시키고 유지한다.
하나의 동작 모드에서, 기판(101)은 처리 챔버(202) 내의 지지 부재(252) 상에 배치된다. 프로세스 가스 및/또는 가스 혼합물은 가스 소스들(206)로부터 샤워헤드(218)를 통해 챔버 바디(230) 내로 도입된다. 진공 펌프(246)는 퇴적 부산물을 제거하면서 챔버 바디(230) 내의 압력을 유지한다.
제어기(270)는 처리 챔버(202)의 동작을 제어하기 위해 처리 챔버(202)에 결합된다. 제어기(270)는 프로세스 시퀀스를 제어하고 가스 소스들(206)로부터의 가스 유동들을 조절하기 위해 이용되는 중앙 처리 유닛(CPU)(272), 메모리(274), 및 지원 회로(276)를 포함한다. CPU(272)는 산업용 세팅에서 이용될 수 있는 임의의 형태의 범용 컴퓨터 프로세서일 수 있다. 소프트웨어 루틴들은 메모리(274), 예컨대 랜덤 액세스 메모리, 판독 전용 메모리, 플로피, 또는 하드 디스크 드라이브, 또는 다른 형태의 디지털 저장소 내에 저장될 수 있다. 지원 회로(276)는 통상적으로 CPU(272)에 결합되며, 캐시, 클럭 회로들, 입력/출력 시스템들, 전력 공급부들 및 그와 유사한 것을 포함할 수 있다. 제어기(270)와 처리 챔버(202)의 다양한 컴포넌트들 사이의 양방향 통신은 다수의 신호 케이블을 통해 핸들링된다.
도 3은 기판 상에 스페이서 층을 퇴적하고 패터닝하기 위한 방법(300)을 도시하고, 그 스페이서 층은 나중에 반도체 디바이스들을 위한 다수의 패터닝 프로세스 동안 패터닝 구조물을 형성하기 위해 이용될 수 있다. 도 4a - 도 4e는 방법(300)의 다양한 스테이지들에 대응하는 기판(402)의 일부분의 단면도들이다. 일례에서, 스페이서 층은 다수의 패터닝 프로세스 동안/다수의 패터닝 프로세스 내에서 기판 표면 상의 패터닝된 구조물들 내에 형성되는 개구들의 치수들을 감소시키기 위해 이용될 수 있다. 대안적으로, 방법(300)은 다른 타입들의 구조물들에 대해 잔류물들을 에칭하거나 제거하기 위해 유익하게 이용될 수 있다.
도 4a에 도시된 바와 같이, 방법(300)은 그 위에 형성된 필름 스택(400)을 갖는 기판, 예컨대 도 4a에 도시된 기판(402)을 제공함으로써 동작(302)에서 시작된다. 기판(402)은 스페이서 층의 퇴적 및 패터닝을 위해 도 2에 도시된 처리 챔버(202)와 같은 처리 챔버 내로 이송될 수 있다. 기판(402)은 결정질 실리콘(예를 들어, Si<100> 또는 Si<111>), 실리콘 산화물, 스트레인드 실리콘(strained silicon), 실리콘 게르마늄, 게르마늄, 도핑된 또는 도핑되지 않은 폴리실리콘, 도핑된 또는 도핑되지 않은 실리콘 웨이퍼들, 패터닝된 또는 패터닝되지 않은 웨이퍼 SOI(silicon on insulator), 탄소 도핑된 실리콘 산화물들, 실리콘 질화물, 도핑된 실리콘, 게르마늄, 갈륨 비소, 유리, 또는 사파이어와 같은 재료일 수 있다. 기판(402)은 200mm, 300mm, 450mm 또는 다른 직경과 같은 다양한 치수를 가질 수 있는 것은 물론, 직사각형 또는 정사각형의 패널일 수 있다. 다르게 언급되지 않는 한, 본 명세서에 설명된 예들은 200mm 직경, 300mm 직경, 또는 450mm 직경을 갖는 기판들 상에서 수행된다.
필름 스택(400)은 기판(402) 상에 배치된 유전체 층(404)을 적어도 포함하고, 그러한 유전체 층은 나중에 기판(402) 내로 피쳐들을 전사하는 것을 용이하게 하기 위해 다른 패터닝된 구조물들을 형성하는 데에 이용될 수 있다. 도 4a에 도시된 실시예에서, 유전체 층(404)은 기판(402) 상에 형성된다. 유전체 층(404)은 필요에 따라 직접 접촉하여 또는 간접 접촉하여[예를 들어, 유전체 층(404)과 기판(402) 사이에 형성된 추가의 층들 또는 구조물들을 가짐] 형성될 수 있음이 주목된다.
일 실시예에서, 유전체 층(404)은 실리콘 산화물, 실리콘 질화물, 실리콘 산화질화물, 하이-k 재료, 또는 이들의 조합들, 및 그와 유사한 것이다. 본 명세서에 언급되는 하이-k 재료들은 4.0을 초과하는 유전 상수들을 갖는 유전체 재료들이다. 하이-k 재료 층의 적절한 예들은 다른 것들 중에서도 하프늄 이산화물(HfO2), 지르코늄 이산화물(ZrO2), 하프늄 실리콘 산화물(HfSiO2), 하프늄 알루미늄 산화물(HfAlO), 지르코늄 실리콘 산화물(ZrSiO2), 탄탈룸 이산화물(TaO2), 알루미늄 산화물, 알루미늄 도핑된 하프늄 이산화물, 비스무스 스트론튬 티타늄(BST: bismuth strontium titanium), 및 플래티넘 지르코늄 티타늄(PZT: platinum zirconium titanium)을 포함한다. 도 4a에 도시된 실시예에서, 유전체 층(404)은 약 10nm 내지 약 300nm의 두께를 갖는 실리콘 산화물 층이다.
내부에 형성된 복수의 개구(410)(예를 들어, 제1 그룹의 개구들)를 갖는 패터닝된 구조물(408)은 유전체 층(404)의 일부분(406)을 노출시키면서 유전체 층(404) 상에 배치되어, 요구에 따라, 기판(402) 상에 배치된 필름 스택(400) 내에 요구되는 반도체 구조물을 형성하도록 피쳐들을 유전체 층(404) 내로 전사하는 것을 용이하게 할 수 있다. 패터닝된 구조물(408)은 필요에 따라 단일 층 또는 복합 층일 수 있다. 일 실시예에서, 패터닝된 구조물(408)은 실리콘 함유 재료, 예컨대 폴리실리콘, 결정질 실리콘, 비정질 실리콘, 도핑된 실리콘 재료들, 비정질 탄소 재료, 실리콘 질화물, 실리콘 이산화물 실리콘 탄화물, 또는 임의의 적절한 실리콘 함유 재료로 제조될 수 있다. 하나의 구체적인 예에서, 패터닝된 구조물(408)은 비정질 실리콘 층 또는 폴리실리콘 층으로 제조된다.
도 4b에 도시된 바와 같이, 동작(304)에서, 스페이서 층(416)은 패터닝된 구조물(408)의 측벽들(415) 및 상부 표면들(418)은 물론, 유전체 층(404)의 일부분(406) 상에 형상추종적으로 형성된다. 스페이서 층(416)은 도 2에 도시된 처리 챔버(202)와 같은 플라즈마 처리 챔버 내에 형성된다. 스페이서 층(416)은 유전체 층(404)을 위해 선택된 재료들과는 상이한 유전체 재료일 수 있다. 일례에서, 스페이서 층(416)은 폴리실리콘 층, 마이크로결정 실리콘 층, 나노결정 층, 비정질 실리콘 층, 및 그와 유사한 것과 같은 실리콘 함유 층이다. 스페이서 층(416)은 PVD, CVD, ALD, 또는 다른 적절한 플라즈마 처리 챔버들 내에서 HDP-CVD 프로세스, 에피택셜 퇴적 프로세스, 퍼니스 프로세스(furnace process), ALD 프로세스, 또는 임의의 적절한 퇴적 기술들에 의해 형성될 수 있다. 하나의 구체적인 예에서, 스페이서 층(416)은 처리 챔버(202) 내에서 수행되는 고밀도 플라즈마(HDP) CVD 프로세스에 의해 형성되는, 약 7 nm 내지 약 25 nm의 두께를 갖는 비정질 실리콘 층(a-Si)이다. 스페이서 층(416)을 위한 적절한 예시적인 재료들은 비정질 실리콘, 폴리실리콘, 비정질 탄소 재료, 실리콘 질화물, 실리콘 이산화물, 또는 실리콘 탄화물을 포함한다.
일례에서, 스페이서 층(416)의 퇴적 동안, 퇴적 가스 혼합물은 처리를 위해 처리 챔버(202) 내로 공급될 수 있다. 일 실시예에서, 가스 혼합물은 적어도 실란계 가스(silane-based gas)를 포함할 수 있다. 실란계 가스의 적절한 예들은 실란(SiH4), 디실란(di-silane: Si2H6), 실리콘 사불화물(silicon tetrafluoride: SiF4), 실리콘 사염화물(silicon tetrachloride: SiCl4), 및 디클로로실란(dichlorosilane: SiH2Cl2), 및 그와 유사한 것을 포함한다.
일부 예들에서, 캐리어 가스는 또한 퇴적 동안 가스 혼합물 내로 공급될 수 있다. 캐리어 가스의 적절한 예들은 N2, N2O, NO2, NH3, CO, CO2, O2, O3, H2O, 및 그와 유사한 것을 포함한다. 선택적으로, He, Ar, Kr, Ne 또는 그와 유사한 것과 같은 불활성 가스가 또한 가스 혼합물 내에 공급될 수 있다. 하나의 구체적인 예에서, 비정질 실리콘(a-Si) 층 또는 실리콘 질화물 층을 형성하기 위해, 가스 혼합물 내에서 이용되는 실란계 가스는 실란(SiH4) 또는 디실란(Si2H6)이고, 캐리어 가스는 N2이고, 불활성 가스는 He이다.
일례에서, 실란계 가스 대 N2 가스의 가스비는 요구되는 필름 속성을 생성하도록 가스 혼합물의 반응 행동을 제어하도록 유지된다. 일 실시예에서, 실란계 가스와 N2 가스의 가스비는 약 1:3 내지 약 1:5로 제어될 수 있다.
퇴적 동안, 스페이서 층(416)을 퇴적하기 위해, 유도 결합 플라즈마(ICP) 전력과 같은 RF 소스 전력이 이용될 수 있다. 스페이서 층(416)을 퇴적하기 위해 이용되는 RF 소스 전력, 즉 ICP 전력은 요구되는 에칭율로, 요구되는 밀도 또는 응력과 같은 요구되는 필름 속성을 갖는 스페이서 층(416)을 형성하는 것을 도울 수 있다. 일례에서, 비교적 낮은 RF 소스 전력, 예컨대 650 와트 미만, 예컨대 약 200 와트 내지 약 600 와트, 예를 들어 약 500 와트가 퇴적 동안 처리 챔버(202)에 공급될 수 있다. 또한, 비교적 낮은 RF 바이어스 전력, 예컨대 약 600 와트 미만, 100 와트 내지 약 500 와트가 퇴적 동안 처리 챔버(202) 내로 공급될 수 있다. 퇴적 프로세스 동안, 비교적 낮은 RF 바이어스 전력과 함께, 650 와트 미만과 같은 유도 결합 플라즈마(ICP) 전력을 갖는 비교적 낮은 RF 소스 전력을 이용하면, 가스 혼합물로부터의 이온들의 해리를 증강시킬 수 있는 낮은 이온 충격(ion bombardment)뿐만 아니라 낮은 플라즈마 밀도를 제공할 수 있다. 그러나, 각각의 격자 단위 내로 속박되는 과잉 실리콘 원소들은 필름 밀도를 증가시킬 뿐만 아니라, 반대로, 나중의 패터닝 프로세스 동안 양호한 필름 에칭/부식 저항을 제공할 수 있는 높은 응력을 야기한다.
퇴적 동안, 기판 온도는 약 섭씨 200도 내지 약 섭씨 450도, 예컨대 약 섭씨 350도로 제어될 수 있다. 실란(SiH4)과 같은 실란계 가스는 약 8 sccm 내지 약 60 sccm, 예컨대 약 30 sccm 내지 약 40 sccm의 속도로 가스 혼합물 내에 공급될 수 있다. He 가스와 같은 불활성 가스는 약 200 sccm 내지 약 2000 sccm, 예컨대 약 600 sccm 내지 약 1200 sccm의 속도로 가스 혼합물 내에 공급될 수 있다. N2 가스와 같은 캐리어 가스는 약 60 sccm 내지 약 200 sccm, 예컨대 약 120 sccm 내지 약 160 sccm의 속도로 가스 혼합물 내에 공급될 수 있다. 가스 혼합물로부터 형성되는 플라즈마를 유지하기 위해, 약 400 와트 내지 약 2000 와트, 예컨대 450 와트 내지 약 1000 와트의 RF 소스 전력이 인가될 수 있다. 프로세스 압력은 약 5 mTorr 내지 약 100 mTorr, 예컨대 약 15 mTorr 내지 약 50 mTorr로 유지될 수 있다. 기판과 샤워헤드 사이의 간격은 약 200 mil 내지 약 6000 mil로 제어될 수 있다. 퇴적 프로세스는 약 2초 내지 약 100초, 예컨대 약 5초 내지 약 10초 수행될 수 있다.
추가로, 기판(402) 상에 스페이서 층(416)을 퇴적하기 전에, 기판 예비 트리트먼트 프로세스가 동작(304)에서 수행되는 퇴적 프로세스 전에 수행될 수 있다. 예비 트리트먼트 프로세스는 동작(304)에서 퇴적 프로세스가 수행되는 처리 챔버(202)와 같은 동일 처리 챔버 내에서 인-시튜로 수행될 수 있다. 예비 트리트먼트 프로세스 및 동작(304)에서의 퇴적 프로세스는 모두 처리를 위해 제어기(270) 내에 저장된 하나의 단일 레시피 내에 조절되고 배열될 수 있다. 대안적으로, 예비 트리트먼트 프로세스 및 동작(304)에서의 퇴적 프로세스는 필요에 따라 상이한 레시피들에 저장되고 중단 없이 연속적으로 수행될 수 있다.
예비 트리트먼트 프로세스 동안, 기판(402)은 기판(402)이 그 위에 위치되는 지지 부재(252)를 가열함으로써 요구되는 온도 범위로 예비가열될 수 있다. 일 실시예에서, 기판 온도는 약 섭씨 25도, 즉 실온으로부터 약 섭씨 200도 내지 약 섭씨 450도, 예컨대 약 섭씨 350도로 제어될 수 있다. ICP 소스 전력과 같은 RF 소스 전력이 예비 트리트먼트 프로세스 동안 인가될 수 있다. RF 소스 전력은 처리 챔버에 대해 약 1500 와트 내지 약 2500 와트, 예컨대 약 2000 와트의 최상부 소스 전력, 및 약 3000 와트 내지 약 5000 와트, 예컨대 약 4000 와트의 측부 소스 전력을 갖도록 공급될 수 있다. 예비 트리트먼트 프로세스 동안, 약 5 mTorr 내지 약 15 mTorr의 프로세스 압력이 유지될 수 있다. 예비 트리트먼트 프로세스 동안, Ar, He, Ne, 또는 Kr과 같은 불활성 가스를 적어도 포함하는 예비 트리트먼트 가스 혼합물이 공급될 수 있다. 일례에서, 예비 트리트먼트 가스 혼합물은 예비 트리트먼트 프로세스 동안 약 5:1 내지 약 1:5, 예컨대 약 1:1 내지 약 1:2의 비율로 처리 챔버에 공급되는 Ar 가스 및 He 가스를 포함할 수 있다. 예비 트리트먼트 프로세스는 약 30초 내지 약 90초, 예컨대 약 50초 동안 수행될 수 있다.
동작(306)에서, 퇴적 후 트리트먼트 프로세스는 스페이서 층의 특정 부분들을 선택적으로 트리트먼트하도록 수행될 수 있다. 퇴적 후 트리트먼트 프로세스 동안 발생된 플라즈마로부터의 이온들의 제어된 궤적 및 방향성을 이용하면, 요구되는 결과적인 필름 프로파일을 산출해내는 방식으로 스페이서 층(416)을 효율적으로 카빙(carve)하도록 제어된 트리트먼트 기여(controlled treatment contribution)가 획득될 수 있다. 일 실시예에서, 도 4c에 도시된 바와 같이, 동작(306) 동안 수행되는 대로의 퇴적 후 트리트먼트 프로세스는 특히 스페이서 층(416)의 최상부면(424) 및 최하부면(425) 상에 트리트먼트된 층을 주로 형성할 수 있다. 결과적으로, 트리트먼트 프로세스 후에 스페이서 층(416)의 양호한 프로파일을 획득하기 위해, 플라즈마로부터의 활성 트리트먼트 종들(active treatment species)은 스페이서 층(416)의 다른 부분들, 예를 들어 코너들(422) 및 측벽들(415)을 상당히 공격하거나 부식시키거나 손상시키지 않고서, 스페이서 층(416)의 특정 부분들, 예를 들어 최상부면(424) 또는 최하부면(425)만을 선택적으로 트리트먼트할 수 있다. 이와 같이 함으로써, 둥글게 된 코너들, 패싯 코너들, 부식된 측벽들, 또는 변형된 프로파일이 스페이서 층(416) 상에 형성될 확률이 효율적으로 감소되거나 제거될 수 있다.
동작(306)에서의 퇴적 후 트리트먼트 프로세스에서 발생되는 플라즈마로부터 제공되는 이온들/라디칼들은 스페이서 층(416), 특히 최상부면(424) 또는 최하부면(425)에서의 필름 속성들의 일부를 변경 및/또는 수정하여, 트리트먼트된 영역들, 예를 들어 최상부면(424) 또는 최하부면(425)의 필름 본딩 구조들(film bonding structures)이 스페이서 층(416)의 측벽들(415) 및 코너들(422)과 같은 다른 영역들과 달라지게 한다. 트리트먼트된 영역들[예를 들어, 최상부면(424) 또는 최하부면(425)]과 트리트먼트되지 않은 영역들[예를 들어, 측벽들(415) 및 코너들(422)] 사이에서의 필름 속성들의 차이는 트리트먼트된 영역과 스페이서 층(416)으로부터 남아있는 다른 재료들 사이에 자연적인 에칭 배리어를 제공할 수 있고, 따라서, 후속하는 선택적 제거 프로세스에서의 동작 동안 높은 선택성을 제공한다.
퇴적 후 트리트먼트 프로세스는 필요에 따라 요구되는 프로파일/필름 본딩 구조 변화를 형성하기 위해 최상부면(424) 또는 최하부면(425)과 같은 트리트먼트된 영역들을 형성하기 위한 본딩 구조를 변경하여, 최상부면(424) 또는 최하부면(425)과 같은 트리트먼트된 영역들에 후속 선택적 제거 프로세스 동안 상이한 프로세스 결과들을 획득하는 것을 가능하게 하는 변경된 필름 속성들을 제공한다.
동작(306)에서의 퇴적 후 트리트먼트 프로세스 동안 플라즈마에 인가되는 전력은 불활성 가스와 같은 퇴적 후 트리트먼트 가스 혼합물로부터의 원자들에 운동량을 제공할 수 있으므로, 최상부면(424) 또는 최하부면(425)으로부터의 원자들과 충돌할 때, 최상부면(424) 또는 최하부면(425) 내의 본딩 구조들은 손상되고 재배열될 수 있으며, 따라서 스페이서 층(416)의 측벽들(415) 및 코너들(422)과 같은 트리트먼트되지 않은 영역들에 비교하여, 트리트먼트되도록 선택된 영역들에 대해 손상된/느슨해진 본딩 구조들을 야기한다. 불활성 가스로부터의 원자들은 최상부면(424) 또는 최하부면(425) 내에 존재하는 본딩 구조들을 손상시키고 느슨하게 하므로, 트리트먼트 후의 최상부면(424) 또는 최하부면(425)은 손상된 본딩 구조를 가질 수 있고, 이는 제거 프로세스에 의해 쉽게 제거될 수 있다.
일례에서, 퇴적 후 트리트먼트 가스 혼합물은 동작(306)에서 퇴적 후 트리트먼트 프로세스 동안 공급될 수 있다. 퇴적 후 트리트먼트 가스 혼합물은 퇴적 후 트리트먼트 프로세스를 수행하기 위해 제어된 방향성(예를 들어, 방향성 바이어스 전력에 의해 제어됨)을 갖는 불활성 가스, 예컨대 Ar, Ne, He, 또는 Kr, 및/또는 H2 가스를 적어도 포함할 수 있다. 동작(306)에서 수행되는 후속 선택적 제거 프로세스를 용이하게 하도록 스페이서 층(416)의 최상부면(424) 또는 최하부면(425)의 격자/본딩 구조를 변경시키고 손상시키기 위한 효율적인 충돌을 제공하기 위해, 불활성 가스로부터의 원자들은 스페이서 층(416)의 최상부면(424) 또는 최하부면(425)을 가격(strike)하기 위한 요구되는 충돌 능력을 제공할 수 있다.
동작(306)에서, 퇴적 후 트리트먼트 프로세스 동안, 수 개의 프로세스 파라미터가 제어될 수 있다. He 가스와 같은 불활성 가스는 약 200 sccm 내지 약 1200 sccm의 유량으로 처리 챔버 내로 공급될 수 있다. 일반적으로, 챔버 압력은 약 10 mTorr 내지 약 100 mTorr, 예를 들어 약 20 mTorr로 유지된다. 기판 온도는 약 섭씨 100도 내지 약 섭씨 450도, 예컨대 약 섭씨 350도로 제어될 수 있다. 처리 동안 퇴적 후 트리트먼트 가스 혼합물의 해리를 돕기 위해, 용량성 또는 유도성 RF 전력, DC 전력, 전자기 에너지, 또는 마그네트론 스퍼터링과 같은 RF 바이어스 전력이 처리 챔버(202) 내로 공급될 수 있다. 해리 에너지(dissociative energy)에 의해 발생되는 이온들은 RF 바이어스 전력을 지지 부재(252)에 인가함으로써 생성되는 전기장을 이용하여 기판을 향해 가속될 수 있다. 일 실시예에서, 지지 부재(252)를 약 50 W 내지 약 1500 와트, 예컨대 약 100 와트의 전력 레벨로 바이어스하기 위해, 약 13.56 MHz의 주파수를 갖는 RF 바이어스 전력이 제공된다. 일반적으로, 그에 따라 생성되는 이온들은 기판 또는 가스 분배기를 위에서 설명된 바와 같이 바이어스함으로써, 최상부면(424) 및 최하부면(425)과 같은 요구되는 영역에서 기판을 향해 가속될 것이다. 일 실시예에서, RF 소스 전력은 동작(306)에서의 퇴적 후 트리트먼트 프로세스 동안 처리 챔버(202)에 선택적으로 인가될 수 있다. 하나의 구체적인 예에서, RF 바이어스 전력은 인가되는 RF 소스 전력 없이 약 100 와트로 제어된다.
다음으로, 동작(308)에서, 도 4d에 도시된 바와 같이, 기판(402)으로부터 최상부면(424) 및 최하부면(425)과 같은 트리트먼트된 영역들을 제거하기 위해 잔류물 제거 프로세스가 수행되고, 그에 의해 요구되는 프로파일 및 치수를 갖는 스페이서 층(416)을 형성한다. 패터닝된 구조물(408)의 상부 표면들(418), 및 유전체 층(404)의 일부분(406)이 노출될 때까지 최상부면(424) 및 최하부면(425)과 같은 트리트먼트된 영역들을 제거하기 위해, 제거 가스 혼합물은 도 2에 도시된 처리 챔버(202) 내의 원격 플라즈마 소스(204)와 같은 원격 플라즈마 소스를 갖는 처리 챔버 내로 공급된다. 위에서 논의된 바와 같이, 최상부면(424) 및 최하부면(425)과 같은 트리트먼트된 영역들은 스페이서 층(416)의 측벽들(415) 및 코너들(422)과 비교하여 상이한 필름 속성들을 가지며, 그에 의해 스페이서 층(416)의 측벽들(415) 및 코너들(422)을 손상시키거나 공격하지 않고서 최상부면(424) 및 최하부면(425)과 같은 트리트먼트된 영역들만을 선택적으로 제거하도록, 제거 프로세스 동안 높은 선택성을 갖는 에칭 배리어 층의 역할을 한다.
최상부면(424) 및 최하부면(425)과 같은 트리트먼트된 영역을 제거하도록 선택된 제거 가스 혼합물은 기판(402)으로부터 최상부면(424) 및 최하부면(425)을 제거하기 위해 원격 플라즈마 소스로부터 공급되는 가스 혼합물을 포함한다. 원격 플라즈마 제거 프로세스는 다른 표면들은 실질적으로 공격하지 않고서, 기판(402) 상의 최상부면(424) 및 최하부면(425)을 천천히 선택적으로 제거하기 위해 수행되는 온화한(gentle) 제거 프로세스이다. 원격 플라즈마 제거 프로세스는 제거 가스 혼합물로부터 원격 플라즈마를 형성하기 위해 제거 가스 혼합물을 처리 챔버(202) 내로 공급함으로써 수행된다.
일 실시예에서, 최상부면(424) 및 최하부면(425)과 같은 트리트먼트된 영역들을 제거하기 위해 이용되는 제거 가스 혼합물은 암모니아(NH3) 가스 및 질소 삼불화물(NF3) 가스의 가스 혼합물이다. 제거 가스 혼합물 내에서 이용되는 암모니아(NH3) 가스는 필요에 따라 N2 가스로 대체될 수 있다. 추가로, 제거 효율을 개선하기 위해, H2, Ar, He와 같은 가스들이 또한 제거 가스 혼합물에 첨가될 수 있다. 처리 챔버 내로 도입되는 각각의 가스의 양은 예를 들어 제거될 스페이서 층(416)의 두께, 처리되는 기판의 기하형상, 플라즈마 공동의 용적 용량, 챔버 바디의 용적 용량, 그리고 챔버 바디에 결합된 진공 시스템의 능력들을 수용하도록 변경 및 조절될 수 있다.
플라즈마가 원격 플라즈마 소스(204) 내에서 원격으로 발생될 때, 도 4d에 도시된 바와 같이, 패터닝된 구조물(408) 및 유전체 층(404)이 노출될 때까지 최상부면(424) 및 최하부면(425)과 같은 트리트먼트된 영역들을 천천히 온화하게 점진적으로 화학 반응시키기 위해, 원격 플라즈마로부터의 제거 가스 혼합물로부터 해리된 에천트들은 상대적으로 저자극(mild)이고 온화하다. 원격 플라즈마 소스에서, 암모니아(NH3) 가스 및 질소 삼불화물(NF3) 가스는 원격 플라즈마 소스(204) 내에서 해리되어, 암모늄 불화물(NH4F) 및/또는 HF를 구비하는 암모늄 불화물(ammonium fluoride with HF, NH4F.HF)을 형성한다고 믿어진다. 암모늄 불화물(NH4F), 및 HF를 갖는 암모늄 불화물(NH4F.HF)의 에천트들이 처리 챔버(202)의 내부 처리 영역(251) 내로 도입되고 나면, 암모늄 불화물(NH4F), 및 HF를 갖는 암모늄 불화물(NH4F.HF)의 에천트들은 기판에 도달할 때에 최상부면(424) 및 최하부면(425)과 같은 트리트먼트된 영역들과 반응하여 기판(402)으로부터 제거될 NH4 함유 염(salt)을 형성할 수 있다. 암모늄 불화물(NH4F), 및 HF를 갖는 암모늄 불화물(NH4F.HF)의 에천트들은 최상부면(424) 및 최하부면(425)과 같은 트리트먼트된 영역들과 화학적으로 반응하여, 처리 챔버로부터 펌핑될 가스 상태로, 또는 나중에 저온 승화 프로세스를 이용하여 기판 표면으로부터 제거될 고체 상태로 NH4 함유 염을 형성한다.
하나 이상의 실시예에서, 암모니아(NH3) 대 질소 삼불화물(NF3)의 몰 비율이 적어도 1:1인 제거 가스 혼합물을 제공하기 위해 가스들이 첨가된다. 하나 이상의 실시예에서, 제거 가스 혼합물의 몰 비율은 적어도 약 3:1(암모니아 대 질소 삼불화물)이다. 가스들은 약 5:1(암모니아 대 질소 삼불화물) 내지 약 20:1의 몰 비율로 처리 챔버(202) 내에 도입된다. 또 다른 실시예에서, 에칭 가스 혼합물의 몰 비율은 약 5:1(암모니아 대 질소 삼불화물) 내지 약 10:1이다.
일 실시예에서, 제거 가스 혼합물을 처리 챔버(202)의 내부 처리 영역(251) 내로 운반하는 것을 돕기 위해, 불활성 가스 또는 캐리어 가스와 같은 다른 유형들의 가스가 또한 제거 가스 혼합물 내에 공급될 수 있다. 불활성 가스 또는 캐리어 가스의 적절한 예들은 Ar, He, N2, H2, O2, N2O, NO2, NO 및 그와 유사한 것 중 적어도 하나를 포함한다. 일 실시예에서, 처리 챔버(202) 내로 공급될 수 있는 불활성 또는 캐리어 가스는 약 500 sccm 내지 약 2000 sccm의 용적 유량의 Ar 또는 He 및 H2 또는 N2이다.
원격 플라즈마 소스 에칭/제거 프로세스를 수행하기 위해 제거 가스 혼합물을 공급하는 동안, 기판 온도는 약 섭씨 40도 내지 약 섭씨 150도의 범위에서, 예컨대 약 섭씨 100도로 유지될 수 있다. 예비 트리트먼트 프로세스, 동작(304)에서의 퇴적 프로세스, 및 동작(306)에서의 퇴적 후 트리트먼트 프로세스에서, 약 섭씨 350도와 같은 높은 온도에 비교하여, 약 섭씨 100도의 비교적 낮은 온도는, 고정적인 방식(steady manner)으로 수행되는 트리트먼트된 영역의 제거 프로세스를 안정화하는 것을 도울 수 있고, 그에 의해, 트리트먼트되지 않은 영역을 공격하거나 손상시키지 않고서, 트리트먼트된 영역이 저자극의/온화한 방식으로 제거되어, 성공적인 선택적 제거 프로세스가 가능해질 수 있다.
제거 가스 혼합물이 처리 챔버 내로 공급된 후, 다음으로, 기판 표면 상에 암모늄 염과 같은 고체 에칭 부산물이 있다면 그러한 부산물을 남겨두고서, 최상부면(424) 및 최하부면(425)과 같은 트리트먼트된 영역들이 에칭되고 제거될 수 있다. 기판(402) 상에 남아있는 암모늄 염과 같은 에칭 부산물은 약 섭씨 100도와 같은 비교적 낮은 용융점을 갖고, 이는 부산물이 동작(308)에서의 선택적 제거 프로세스 후에 수행되는 승화 프로세스에 의해 기판으로부터 제거되는 것을 허용한다. 승화 프로세스는 동작(304)에서의 퇴적 프로세스를 수행하기 전에 위에서 논의된 예비 트리트먼트 프로세스와 동일할 수 있다. 동작(304)에서의 퇴적 프로세스, 동작(306)에서의 퇴적 후 프로세스, 및 동작(308)에서의 선택적 제거 프로세스는 도 3에서 루프(310)에 의해 나타난 바와 같이 필요한 횟수만큼 반복적으로 수행될 수 있으므로, 동작(308)에서 에칭 부산물을 제거하기 위해 이용되는 승화 프로세스는 위에서 논의된 것과 같은 동작(304)에서의 퇴적 프로세스 전에 수행되는 예비 트리트먼트 프로세스일 수 있다. 일 실시예에서, 동작(304)으로부터 동작(308)까지 수행되는 사이클의 수는 약 2 내지 약 10회일 수 있다.
동작(308)에서의 에칭/제거 프로세스 동안, 에칭/제거 프로세스를 제어하기 위해 수 개의 프로세스 파라미터가 조절될 수 있다. 하나의 예시적인 실시예에서, 처리 챔버(202) 내의 프로세스 압력은 약 500 mTorr 내지 약 5000 mTorr, 예컨대 약 1500 mTorr로 조절된다. 원격 플라즈마를 공급하기 위해, 약 70KHz의 주파수에서의 RPS가 인가될 수 있다. 예를 들어, 약 20 와트 내지 약 60 와트, 예컨대 약 40 와트의 RPS 전력이 에칭 가스 혼합물에 인가될 수 있다.
요구되는 프로파일을 갖는 스페이서 층(416)이 기판(402) 상에 형성된 후, 다음으로, 도 4e에 도시된 바와 같이, 기판(402)으로부터 패터닝된 구조물(408)을 제거하기 위해 에칭 후 프로세스(post etching process)가 수행되어, 감소된 치수 개구들(440)(예를 들어, 제2 그룹의 개구들)을 갖는 스페이서 층(416)이 후속 제조 프로세스를 위한 에칭 마스크로서 정의되게 할 수 있다. 스페이서 층(416)을 실질적으로 에칭하거나 손상시키지 않고서, 패터닝된 구조물(408)을 선택적으로 주로 에칭하기 위해, 에칭 후 가스 혼합물이 수행될 수 있다.
예비 트리트먼트 프로세스, 동작(304)에서의 퇴적 프로세스, 동작(306)에서의 퇴적 후 트리트먼트 프로세스, 동작(308)에서의 선택적 제거 프로세스, 및 선택적 제거 프로세스 후에 패터닝된 구조물(408)을 제거하기 위해 수행되는 선택적인(optional) 에칭 후 프로세스는 모두 동일 처리 챔버에서(예를 들어, 인-시츄로) 수행될 수 있음이 주목된다.
이와 같이, 요구되는 에지/코너 프로파일을 갖는 패터닝된 스페이서 층을 생성하기 위해, 멀티패터닝 프로세스를 수행하기 위해 스페이서 층을 패터닝하는 실시예들이 제공된다. 스페이서 층 퇴적 및 패터닝 프로세스 동안 예비 트리트먼트 프로세스, 퇴적 프로세스, 퇴적 후 트리트먼트 프로세스, 및 선택적 에칭 프로세스를 이용함으로써, 요구되는 정확하고 직각인 코너들 및 수직 측벽 프로파일을 갖는 패터닝된 스페이서 층을 산출해내는 요구되는 방식으로 스페이서 층을 패터닝하기 위해, 제어된 이온 궤적/방향성이 획득될 수 있다.
상술한 것은 본 발명의 실시예들에 관한 것이지만, 본 발명의 다른 실시예들 및 추가의 실시예들은 그것의 기본 범위로부터 벗어나지 않고서 만들어질 수 있으며, 그것의 범위는 이하의 청구항들에 의해 결정된다.

Claims (15)

  1. 다수의 패터닝 프로세스(multiple patterning process) 동안 스페이서 층을 퇴적하고 패터닝하기 위한 방법으로서,
    기판 상에 배치된 패터닝된 구조물의 외측 표면 상에 스페이서 층을 형상추종적으로 형성하는 단계 - 상기 패터닝된 구조물은 그 사이에 정의된 제1 그룹의 개구들을 가짐 - ;
    상기 스페이서 층의 제2 부분을 트리트먼트하지 않고서, 상기 기판 상에 형성된 상기 스페이서 층의 제1 부분을 선택적으로 트리트먼트하는 단계; 및
    상기 스페이서 층의 트리트먼트된 상기 제1 부분을 선택적으로 제거하는 단계
    를 포함하는 방법.
  2. 제1항에 있어서, 상기 패터닝된 구조물은 비정질 탄소 재료, 실리콘 질화물, 실리콘 이산화물, 또는 실리콘 탄화물을 포함하는, 방법.
  3. 제1항에 있어서, 상기 스페이서 층은 폴리실리콘 또는 비정질 실리콘을 포함하는, 방법.
  4. 제1항에 있어서,
    상기 기판 상에 스페이서 층을 형상추종적으로 형성하기 전에 상기 기판을 예비 트리트먼트(pretreating)하는 단계
    를 더 포함하는 방법.
  5. 제1항에 있어서, 상기 기판을 예비 트리트먼트하는 단계는,
    불활성 가스를 포함하는 예비 트리트먼트 가스 혼합물을 상기 기판에 공급하는 단계; 및
    상기 기판 온도를 약 섭씨 200도 내지 약 섭씨 400도로 유지하는 단계
    를 더 포함하는, 방법.
  6. 제5항에 있어서,
    약 2000 와트에서 최상부 유도 결합 소스 전력(top inductive coupled source power)을 인가하고 약 4000 와트에서 측부 유도 결합 소스 전력(side inductive coupled source power)을 인가하는 단계
    를 더 포함하는 방법.
  7. 제1항에 있어서, 상기 스페이서 층을 형상추종적으로 형성하는 단계는,
    실리콘계 가스 및 N2 가스를 포함하는 퇴적 가스 혼합물을 공급하는 단계
    를 더 포함하는, 방법.
  8. 제7항에 있어서, 상기 퇴적 가스 혼합물을 공급하는 단계는,
    6500 와트 미만의 유도 결합 소스 전력을 상기 가스 혼합물에 인가하는 단계; 및
    100 와트 내지 약 500 와트의 RF 바이어스 전력을 상기 가스 혼합물에 인가하는 단계
    를 더 포함하는, 방법.
  9. 제1항에 있어서, 상기 스페이서 층의 제1 부분을 선택적으로 트리트먼트하는 단계는,
    불활성 가스를 포함하는 퇴적 후 트리트먼트 가스 혼합물(post-deposition treatment gas mixture)을 상기 기판에 공급하는 단계
    를 더 포함하는, 방법.
  10. 제9항에 있어서, 상기 퇴적 후 트리트먼트 가스 혼합물을 공급하는 단계는,
    상기 퇴적 후 트리트먼트 가스 혼합물에의 RF 소스 전력 없이, 250 와트 내지 약 1500 와트의 RF 바이어스 전력을 인가하는 단계
    를 더 포함하는, 방법.
  11. 제1항에 있어서, 상기 스페이서 층의 상기 제1 부분을 선택적으로 트리트먼트하는 단계는,
    상기 스페이서 층의 측벽들 및 코너들을 트리트먼트하지 않고서, 상기 스페이서 층의 최상부면 및 최하부면을 선택적으로 트리트먼트하는 단계
    를 더 포함하는, 방법.
  12. 제1항에 있어서, 상기 스페이서 층의 트리트먼트된 상기 제1 부분을 선택적으로 제거하는 단계는,
    암모니아(NH3) 가스, 및 질소 삼불화물(NF3) 가스를 포함하는 선택적 제거 가스 혼합물을 공급하는 단계; 및
    상기 가스 혼합물에의 원격 플라즈마 소스를 상기 기판에 인가하는 단계
    를 더 포함하는, 방법.
  13. 제1항에 있어서, 상기 스페이서 층의 트리트먼트된 상기 제1 부분을 선택적으로 제거하는 단계는,
    상기 스페이서 층의 측벽들 및 코너들을 포함하는 상기 제2 부분을 실질적으로 공격하지 않고서, 상기 스페이서 층의 최상부면 및 최하부면을 포함하는 상기 스페이서 층의 상기 제1 부분을 주로 에칭하는 단계
    를 더 포함하는, 방법.
  14. 제1항에 있어서,
    상기 기판으로부터 상기 패터닝된 구조물을 제거하는 단계; 및
    에칭된 상기 스페이서 층 내에, 상기 제1 그룹의 개구들보다 작은 치수를 갖는 제2 그룹의 개구들을 형성하는 단계
    를 더 포함하는, 방법.
  15. 제13항에 있어서, 예비 트리트먼트하는 프로세스, 형상추종적으로 퇴적하는 프로세스, 선택적으로 트리트먼트하는 프로세스, 및 선택적으로 제거하는 프로세스는 모두 단일 처리 챔버 내에서 수행되는, 방법.
KR1020177037639A 2015-06-03 2016-05-24 진보된 패터닝 프로세스에서의 스페이서 퇴적 및 선택적 제거를 위한 장치 및 방법들 KR102483741B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US14/729,932 2015-06-03
US14/729,932 US9484202B1 (en) 2015-06-03 2015-06-03 Apparatus and methods for spacer deposition and selective removal in an advanced patterning process
PCT/US2016/033882 WO2016196073A1 (en) 2015-06-03 2016-05-24 Apparatus and methods for spacer deposition and selective removal in an advanced patterning process

Publications (2)

Publication Number Publication Date
KR20180005265A true KR20180005265A (ko) 2018-01-15
KR102483741B1 KR102483741B1 (ko) 2023-01-03

Family

ID=57189280

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020177037639A KR102483741B1 (ko) 2015-06-03 2016-05-24 진보된 패터닝 프로세스에서의 스페이서 퇴적 및 선택적 제거를 위한 장치 및 방법들

Country Status (6)

Country Link
US (1) US9484202B1 (ko)
JP (1) JP6810059B2 (ko)
KR (1) KR102483741B1 (ko)
CN (1) CN107735851B (ko)
TW (1) TWI627724B (ko)
WO (1) WO2016196073A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20210110750A (ko) * 2019-02-01 2021-09-08 레르 리키드 쏘시에떼 아노님 뿌르 레뜌드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 질화규소 스페이서의 선택성 에칭 동안 프로파일 제어를 개선시키는 방법

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20170345673A1 (en) * 2016-05-29 2017-11-30 Tokyo Electron Limited Method of selective silicon oxide etching
WO2017210141A1 (en) * 2016-05-29 2017-12-07 Tokyo Electron Limited Method of sidewall image transfer
US10858727B2 (en) 2016-08-19 2020-12-08 Applied Materials, Inc. High density, low stress amorphous carbon film, and process and equipment for its deposition
US10276379B2 (en) * 2017-04-07 2019-04-30 Applied Materials, Inc. Treatment approach to improve film roughness by improving nucleation/adhesion of silicon oxide
CN117293018A (zh) * 2017-07-24 2023-12-26 应用材料公司 改善在氧化硅上的超薄非晶硅膜的连续性的预处理方法
US10147611B1 (en) 2017-08-28 2018-12-04 Nanya Technology Corporation Method for preparing semiconductor structures
US10607852B2 (en) * 2017-09-13 2020-03-31 Tokyo Electron Limited Selective nitride etching method for self-aligned multiple patterning
KR20190035036A (ko) * 2017-09-25 2019-04-03 삼성전자주식회사 박막 형성 장치 및 이를 이용한 비정질 실리콘 막 형성방법
US10636675B2 (en) 2017-09-27 2020-04-28 Applied Materials, Inc. Methods of etching metal-containing layers
US10734228B2 (en) * 2017-12-19 2020-08-04 Tokyo Electron Limited Manufacturing methods to apply stress engineering to self-aligned multi-patterning (SAMP) processes
US10910381B2 (en) * 2018-08-01 2021-02-02 Applied Materials, Inc. Multicolor approach to DRAM STI active cut patterning
US11114306B2 (en) * 2018-09-17 2021-09-07 Applied Materials, Inc. Methods for depositing dielectric material
US11551930B2 (en) * 2018-12-12 2023-01-10 Tokyo Electron Limited Methods to reshape spacer profiles in self-aligned multiple patterning
CN111384172B (zh) * 2018-12-29 2024-01-26 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
CN109979816A (zh) * 2019-03-26 2019-07-05 上海华力集成电路制造有限公司 改善隔离侧墙形貌的方法
US11355342B2 (en) 2019-06-13 2022-06-07 Nanya Technology Corporation Semiconductor device with reduced critical dimensions and method of manufacturing the same
CN112786436A (zh) * 2019-11-06 2021-05-11 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
CN113496895A (zh) * 2020-04-01 2021-10-12 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20110049796A (ko) * 2008-07-11 2011-05-12 어플라이드 머티어리얼스, 인코포레이티드 적합한 자체 정렬 이중 패터닝을 위한 순차 계측에 기초한 처리 조정
KR20130062256A (ko) * 2010-04-15 2013-06-12 노벨러스 시스템즈, 인코포레이티드 플라즈마-활성화 컨포멀 막 증착

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6949203B2 (en) * 1999-12-28 2005-09-27 Applied Materials, Inc. System level in-situ integrated dielectric etch process particularly useful for copper dual damascene
CN100451831C (zh) * 2001-10-29 2009-01-14 旺宏电子股份有限公司 减小图案间隙或开口尺寸的方法
US7271107B2 (en) * 2005-02-03 2007-09-18 Lam Research Corporation Reduction of feature critical dimensions using multiple masks
US7807575B2 (en) * 2006-11-29 2010-10-05 Micron Technology, Inc. Methods to reduce the critical dimension of semiconductor devices
CN101339361A (zh) * 2007-06-01 2009-01-07 应用材料公司 利用间隔物掩模的频率加倍
US8592318B2 (en) * 2007-11-08 2013-11-26 Lam Research Corporation Pitch reduction using oxide spacer
US20090286402A1 (en) * 2008-05-13 2009-11-19 Applied Materials, Inc Method for critical dimension shrink using conformal pecvd films
US8361338B2 (en) * 2010-02-11 2013-01-29 Taiwan Semiconductor Manufacturing Company, Ltd. Hard mask removal method
US9390909B2 (en) * 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
KR20120121795A (ko) * 2011-04-27 2012-11-06 에스케이하이닉스 주식회사 에어 갭을 포함하는 스페이서를 구비한 반도체 소자의 제조방법
US8609548B2 (en) * 2011-06-06 2013-12-17 Lam Research Corporation Method for providing high etch rate
KR101835114B1 (ko) 2011-09-07 2018-03-06 삼성전자주식회사 3차원 반도체 장치 및 그 제조 방법
US8431461B1 (en) * 2011-12-16 2013-04-30 Lam Research Corporation Silicon nitride dry trim without top pulldown
US20130189845A1 (en) * 2012-01-19 2013-07-25 Applied Materials, Inc. Conformal amorphous carbon for spacer and spacer protection applications
US8980111B2 (en) 2012-05-15 2015-03-17 Tokyo Electron Limited Sidewall image transfer method for low aspect ratio patterns
CN102709230B (zh) * 2012-05-22 2015-05-20 上海华力微电子有限公司 一种形成半导体通孔的方法
CN103779211B (zh) * 2012-10-18 2017-02-01 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制造方法
US9362133B2 (en) * 2012-12-14 2016-06-07 Lam Research Corporation Method for forming a mask by etching conformal film on patterned ashable hardmask
US20150014772A1 (en) 2013-07-11 2015-01-15 International Business Machines Corporation Patterning fins and planar areas in silicon
US9318412B2 (en) 2013-07-26 2016-04-19 Nanya Technology Corporation Method for semiconductor self-aligned patterning
US9159579B2 (en) 2013-10-25 2015-10-13 Taiwan Semiconductor Manufacturing Company, Ltd. Lithography using multilayer spacer for reduced spacer footing
TWI531032B (zh) * 2013-11-21 2016-04-21 力晶科技股份有限公司 記憶體線路結構以及其半導體線路製程

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20110049796A (ko) * 2008-07-11 2011-05-12 어플라이드 머티어리얼스, 인코포레이티드 적합한 자체 정렬 이중 패터닝을 위한 순차 계측에 기초한 처리 조정
KR20130062256A (ko) * 2010-04-15 2013-06-12 노벨러스 시스템즈, 인코포레이티드 플라즈마-활성화 컨포멀 막 증착

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20210110750A (ko) * 2019-02-01 2021-09-08 레르 리키드 쏘시에떼 아노님 뿌르 레뜌드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 질화규소 스페이서의 선택성 에칭 동안 프로파일 제어를 개선시키는 방법

Also Published As

Publication number Publication date
CN107735851A (zh) 2018-02-23
JP2018516463A (ja) 2018-06-21
KR102483741B1 (ko) 2023-01-03
TWI627724B (zh) 2018-06-21
JP6810059B2 (ja) 2021-01-06
WO2016196073A1 (en) 2016-12-08
TW201705428A (zh) 2017-02-01
US9484202B1 (en) 2016-11-01
CN107735851B (zh) 2021-11-05

Similar Documents

Publication Publication Date Title
KR102483741B1 (ko) 진보된 패터닝 프로세스에서의 스페이서 퇴적 및 선택적 제거를 위한 장치 및 방법들
JP7250857B2 (ja) 低k及びその他の誘電体膜をエッチングするための処理チャンバ
KR102283949B1 (ko) 주기적 에칭 프로세스를 이용하여 에칭 스톱 층을 에칭하기 위한 방법들
US9543163B2 (en) Methods for forming features in a material layer utilizing a combination of a main etching and a cyclical etching process
US9640385B2 (en) Gate electrode material residual removal process
KR101095416B1 (ko) 금속함유 게이트전극을 갖는 전계효과 트랜지스터의게이트 구조체를 제조하는 방법
US7368394B2 (en) Etch methods to form anisotropic features for high aspect ratio applications
US9595451B1 (en) Highly selective etching methods for etching dielectric materials
US20070202700A1 (en) Etch methods to form anisotropic features for high aspect ratio applications
US10497578B2 (en) Methods for high temperature etching a material layer using protection coating
US9741566B2 (en) Methods for manufacturing a spacer with desired profile in an advanced patterning process
KR102514465B1 (ko) 유전체 재료를 증착하기 위한 방법들
US20150064921A1 (en) Low temperature plasma anneal process for sublimative etch processes
US11127599B2 (en) Methods for etching a hardmask layer
TWI817066B (zh) 用於蝕刻用於半導體應用的材料層的方法
US20220189786A1 (en) Tin oxide and tin carbide materials for semiconductor patterning applications
US11658042B2 (en) Methods for etching structures and smoothing sidewalls

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right