TWI817066B - 用於蝕刻用於半導體應用的材料層的方法 - Google Patents

用於蝕刻用於半導體應用的材料層的方法 Download PDF

Info

Publication number
TWI817066B
TWI817066B TW109144471A TW109144471A TWI817066B TW I817066 B TWI817066 B TW I817066B TW 109144471 A TW109144471 A TW 109144471A TW 109144471 A TW109144471 A TW 109144471A TW I817066 B TWI817066 B TW I817066B
Authority
TW
Taiwan
Prior art keywords
material layer
gas mixture
etching
containing gas
protective layer
Prior art date
Application number
TW109144471A
Other languages
English (en)
Other versions
TW202139283A (zh
Inventor
王志剛
楊嬌
王恒
阿爾弗雷多 格拉納多斯
瓊C 法爾
任睿哲
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW202139283A publication Critical patent/TW202139283A/zh
Application granted granted Critical
Publication of TWI817066B publication Critical patent/TWI817066B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • H01L21/30655Plasma etching; Reactive-ion etching comprising alternated and repeated etching and passivation steps, e.g. Bosch process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • H01J37/32165Plural frequencies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • H01L21/0212Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC the material being fluoro carbon compounds, e.g.(CFx) n, (CHxFy) n or polytetrafluoroethylene
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3341Reactive etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Silicon Polymers (AREA)
  • Weting (AREA)

Abstract

本揭露書的實施例提供了一種用於藉由循環蝕刻和沉積處理來蝕刻材料層的設備和方法。在一個實施例中,一種用於在基板上蝕刻材料層的方法包括以下步驟:(a)在蝕刻腔室中蝕刻基板上的材料層的至少一部分,以在材料層中形成具有底表面和側壁的開口特徵,(b)由包含至少一種含碳氟氣體的保護層氣體混合物在開口特徵的側壁和底表面上形成保護層,(c)選擇性地從包含含碳氟氣體的底表面開口氣體混合物移除在開口特徵的底表面上形成的保護層,及(d)從開口特徵的底表面連續蝕刻材料層,直到達到開口特徵的期望深度。

Description

用於蝕刻用於半導體應用的材料層的方法
本揭露書的實施例大體上關於用於蝕刻材料層的方法,並且更具體地關於在半導體應用中使用循環蝕刻和沉積處理在基板中蝕刻材料層的方法。
對於半導體裝置的下一代超大型積體電路(VLSI)和極大型積體電路(ULSI)而言,可靠地產生半微米和更小的特徵是關鍵的技術挑戰之一。然而,隨著電路技術的極限推進,VLSI和ULSI互連技術的不斷縮小尺寸已對處理能力提出了更高的要求。在基板上可靠地形成閘極結構對於VLSI和ULSI的成功以及對於提高電路密度和單個基板和晶粒的品質的持續努力很重要。
圖案化遮罩(諸如光阻層)通常用於藉由蝕刻處理在基板上形成結構(諸如閘極結構、淺溝槽隔離(STI)、位元線及類似者)。常規地,藉由使用光刻處理將具有期望的臨界尺寸的圖案光學轉移到光阻層上來製造圖案化的遮罩。接著顯影光阻層以移除不期望的光阻部分,因而在剩餘的光阻中創建開口。
為了使得能夠製造下一代裝置和結構,經常利用半導體晶圓的三維(3D)堆疊來提高電晶體的效能。藉由以三維尺寸代替傳統的二維尺寸佈置電晶體,可將多個電晶體彼此非常靠近地放置在積體電路(IC)中。半導體晶粒的三維(3D)堆疊可縮短導線長度並保持較低的佈線延遲。然而,半導體晶圓的三維(3D)堆疊的設計經常進一步將結構的幾何極限推向技術極限,對於製造具有高深寬比的小臨界尺寸結構的精確處理控制的需求變得越來越重要。蝕刻處理期間的不良處理控制將導致不規則的結構輪廓和線邊緣粗糙度,因而導致形成的結構的不良線完整性。另外,在蝕刻期間形成的蝕刻副產物的不規則輪廓和生長可能逐漸堵塞用以製造小的臨界尺寸結構的小開口,從而導致蝕刻結構的彎曲、畸變、傾斜或扭曲的輪廓。
因此,存在有可藉由精確的處理和輪廓控制來蝕刻具有高深寬比的材料層的改進的方法和設備的需求。
本揭露書的實施例提供了一種用於藉由循環蝕刻和沉積處理來蝕刻材料層的設備和方法。一種用於在基板上蝕刻材料層的方法包括以下步驟:(a)在蝕刻腔室中蝕刻基板上的材料層的至少一部分,以在材料層中形成具有底表面和側壁的開口特徵,(b)由包含至少一種含碳氟氣體的保護層氣體混合物在開口特徵的側壁和底表面上形成保護層,(c)選擇性地從包含含碳氟氣體的底表面開口氣體混合物移除在開口特徵的底表面上形成的保護層,及(d)從開口特徵的底表面連續蝕刻材料層,直到達到開口特徵的期望深度。
在另一個實施例中,一種用於在基板上蝕刻材料層的方法包括以下步驟:(a)供應初步蝕刻氣體混合物以蝕刻設置在處理腔室中的基板上的材料層的一部分,以在材料層中形成開口特徵,(b)供應保護層沉積氣體混合物,以在開口特徵的側壁和底表面上形成保護層,其中保護層沉積氣體混合物包含含碳氟氣體,(c)供應底表面開口氣體混合物以選擇性地移除形成在開口特徵的底表面上的保護層,其中底表面開口氣體混合物包含含碳氟氣體和含鹵素氣體,及(d)供應主要蝕刻氣體混合物,以繼續從開口特徵的底表面蝕刻材料層,直到達到開口特徵的期望深度,其中主要氣體混合物包含含碳氟氣體和含鹵素氣體。
在又一個實施例中,一種用於在基板上蝕刻材料層的方法包括以下步驟:(a)施加第一RF偏壓功率以蝕刻在蝕刻腔室中的基板上的材料層的至少一部分,以在材料層中形成具有底表面和側壁的開口特徵,(b)在包含含碳氟氣體的第一氣體混合物中施加第二RF偏壓功率,以在開口特徵的側壁和底表面上形成保護層,其中第二RF偏壓功率大於第一RF偏壓功率,(c)在包含含碳氟氣體的第二氣體混合物中施加第三RF偏壓功率,以選擇性地移除形成在開口特徵的底表面上的保護層,其中第三RF偏壓功率大於第二RF偏壓功率,及(d)從開口特徵的底表面連續蝕刻材料層,直到達到開口特徵的期望深度。
本揭露書提供了用於蝕刻在半導體裝置的基板上或基板中形成的用於高深寬比特徵的材料層的方法。在一個實施例中,蝕刻處理可使用循環蝕刻和沉積處理來蝕刻基板,同時根據需要形成保護層。可利用蝕刻和沉積處理的多個循環來控制蝕刻輪廓並且將特徵轉移到基板或設置在基板上的材料層。
第1圖是處理腔室100的一個實施例的截面圖,處理腔室100適用於執行蝕刻處理,以使用循環蝕刻和沉積處理來蝕刻基板上的材料層。可適於與於此揭露的教示一起使用的合適的處理腔室包括(例如)可從加州聖克拉拉市的應用材料公司獲得的改進的ENABLER® 處理腔室。儘管處理腔室100顯示為包括能夠實現優異的蝕刻和沉積效能的複數個特徵,然而可想到,其他處理腔室可適於從於此揭露的一個或多個特徵受益。
處理腔室100包括封閉內部容積106的腔室主體102和蓋104。腔室主體102由鋁、不鏽鋼或其他合適的材料製成。腔室主體102通常包括側壁108和底部110。基板支撐基座進入埠(未顯示)通常界定在側壁108中,並且藉由狹縫閥選擇性地密封,以促進基板101從處理腔室100進出。排氣埠126界定在腔室主體102中並將內部容積106耦合到泵系統128。泵系統128通常包括一個或多個泵和節流閥,用以抽空和調節處理腔室100的內部容積106的壓力。在一個實施例中,泵系統128將內部容積106內側的壓力維持在通常在約10mTorr至約500Torr之間的操作壓力下。
蓋104被密封地支撐在腔室主體102的側壁108上。蓋104可被打開以允許進入處理腔室100的內部容積106。蓋104包括有助於光學處理監視的窗142。在一個實施例中,窗142由石英或其他合適的材料構成,合適的材料為可透射安裝在處理腔室100外側的光學監視系統140所利用的信號。
光學監視系統140定位成通過窗142觀察腔室主體102的內部容積106及/或位於基板支撐基座組件148上的基板101的至少一者。在一個實施例中,光學監視系統140耦合到蓋104,並促進使用光學計量學的整合沉積處理,以根據需要提供使得能夠進行處理調整以補償傳入的基板圖案特徵不一致(諸如厚度及類似者)的資訊、提供處理狀態監視(諸如電漿監視、溫度監視及類似者)。可適於從本揭露書受益的一種光學監視系統是可從加州聖克拉拉市的應用材料公司獲得的EyeD®全光譜干涉計量模組。
氣體面板158耦接到處理腔室100,以向內部容積106提供處理及/或清潔氣體。在第1圖所描繪的實施例中,入口埠132’、132”設置在蓋104中,以允許氣體從氣體面板158輸送到處理腔室100的內部容積106。在一個實施例中,氣體面板158適於通過入口埠132’、132”提供氟化的處理氣體並進入處理腔室100的內部容積106中。在一個實施例中,從氣體面板158提供的處理氣體至少包括氟化氣體、氯氣和含碳氣體、氧氣、含氮氣體和含氯氣體。氟化氣體和含碳氣體的示例包括CHF3 、CH2 F2 和CF4 。其他氟化氣體可包括C2 F、C4 F6 、C3 F8 和C5 F8 的一種或多種。含氧氣體的示例包括O2 、CO2 、CO、N2 O、NO2 、O3 、H2 O及類似者。含氮氣體的示例包括N2 、NH3 、N2 O、NO2 及類似者。含氯氣體的示例包括HCl、Cl2 、CCl4 、CHCl3 、CH2 Cl2 、CH3 Cl及類似者。含碳氣體的合適示例包括甲烷(CH4 )、乙烷(C2 H6 )、乙烯(C2 H4 )及類似者。
噴頭組件130耦接至蓋104的內表面114。噴頭組件130包括複數個孔,複數個孔允許氣體以正在處理腔室100中處理的基板101的整個表面上的預定分佈方式,從入口埠132’、132”流經噴頭組件130進入處理腔室100的內部容積106中。
遠端電漿源177可任選地耦合到氣體面板158,以促進氣體混合物在進入內部容積106進行處理之前與遠端電漿分離。RF源功率143通過匹配網路141耦合至噴頭組件130。RF源功率143通常能夠以從約50kHz至約200MHz範圍中的可調諧的頻率產生高達約3000W。
噴頭組件130還包括可透射光學計量信號的區域。光學透射區域或通道138適合於允許光學監視系統140查看內部容積106及/或定位在基板支撐基座組件148上的基板101。通道138可為材料、孔或在噴頭組件130中形成或設置的複數個孔,其基本上透射由光學監視系統140產生並反射回光學監視系統140的能量的波長。在一個實施例中,通道138包括窗142,以防止氣體通過通道138洩漏。窗142可為藍寶石板、石英板或其他合適的材料。窗142可替代地設置在蓋104中。
在一個實施例中,噴頭組件130配置有複數個區,複數個區允許單獨控制流入處理腔室100的內部容積106中的氣體。在第1圖的實施例中,噴頭組件130具有內部區域134和外部區域136通過分開的入口埠132’、132”分別耦接到氣體面板158。
基板支撐基座組件148設置在處理腔室100的內部容積106中,在氣體分配(噴頭)組件130下方。基板支撐基座組件148在處理期間保持基板101。基板支撐基座組件148通常包括穿過其中設置的複數個提升銷(未顯示),提升銷配置成從基板支撐基座組件148提升基板101,並便於以常規方式與機器人(未顯示)交換基板101。內襯可緊密地包圍基板支撐基座組件148的外圍。
在一個實施例中,基板支撐基座組件148包括安裝板162、底座164和靜電夾盤166。安裝板162耦接到腔室主體102的底部110,包括用於將設施(諸如流體、功率線和感測器引線等)佈線到底座164和靜電夾盤166的通道。靜電夾盤166包含至少一個夾持電極180,用於將基板101保持在噴頭組件130下方。靜電夾盤166由藉由夾持功率源182驅動,以產生將基板101保持到夾持表面的靜電力,如常規已知的那樣。替代地,可藉由夾持、真空或重力而將基板101保持到基板支撐基座組件148。
底座164或靜電夾盤166的至少一個可包括至少一個任選的嵌入式加熱器176、至少一個任選的嵌入式隔離器174和複數個導管168、170,以控制基板支撐基座組件148的橫向溫度輪廓。導管168、170流體地耦合到流體源172,流體源172使溫度調節流體循環通過流體源172。加熱器176由功率源178調節。利用導管168、170和加熱器176控制底座164的溫度,從而加熱及/或冷卻靜電夾盤166,並最終加熱及/或冷卻設置在靜電夾盤166上的基板101的溫度輪廓。可使用複數個溫度感測器190、192來監視靜電夾盤166和底座164的溫度。靜電夾盤166可進一步包含形成在靜電夾盤166的基板支撐基座支撐表面中並且流體地耦合到熱傳送(或背側)氣體(諸如He)源的複數個氣體通道(未顯示),諸如凹槽。在操作中,將背側氣體以受控的壓力提供到氣體通道中,以增強在靜電夾盤166與基板101之間的熱傳送。
在一個實施例中,基板支撐基座組件148配置為陰極,並包括耦合到複數個RF偏壓功率源184、186的電極180。RF偏壓功率源184、186耦合在電極180和另一個電極(諸如噴頭組件130或腔室主體102的頂板(蓋104))之間,電極180設置在基板支撐基座組件148中。RF偏壓功率激發並維持由設置在腔室主體102的處理區域中的氣體所形成的電漿放電。
在第1圖所描繪的實施例中,雙RF偏壓功率源184、186通過匹配電路188耦合到設置在基板支撐基座組 件148中的電極180。RF偏壓功率源184、186產生的信號經過單次饋送通過匹配電路188輸送到基板支撐基座組件148,以離子化提供在電漿處理腔室100中的氣體混合物,從而提供執行沉積或其他電漿增強處理所需的離子能量。RF偏壓功率源184、186通常能夠產生具有從約50kHz到約200MHz的頻率和在約0瓦和約5000瓦之間的功率的RF信號。附加的偏壓功率源189可耦合到電極180以控制電漿的特性。
在一種操作模式中,將基板101設置在電漿處理腔室100中的基板支撐基座組件148上。將處理氣體及/或氣體混合物從氣體面板158通過噴頭組件130引入腔室主體102中。真空泵系統128維持腔室主體102內側的壓力,同時移除沉積副產物。
控制器150耦合到處理腔室100以控制處理腔室100的操作。控制器150包括用以控制處理順序並調節來自氣體面板158的氣流的中央處理單元(CPU)152、記憶體154和支持電路156。CPU 152可為可在工業環境中使用的任何形式的通用電腦處理器。軟體例程可儲存在記憶體154中,諸如隨機存取記憶體、唯讀記憶體、軟碟或硬碟驅動器,或其他形式的數位儲存器。支持電路156常規地耦合到CPU 152,並且可包括快取、時脈電路、輸入/輸出系統、功率供應器及類似者。在控制器150與處理腔室100的各個部件之間的雙向通信過許多信號纜線來處理。
第2圖是可在處理腔室100或其他合適的處理腔室中實施的蝕刻處理200的一個實施例的流程圖。第3A-3F圖是對應於處理200的各個階段的複合基板101的一部分的示意性橫截面圖。處理200可用以形成用於半導體裝置的結構的高深寬比的特徵,如,大於10:1,諸如大於20:1。替代地,可根據需要有利地利用處理200來蝕刻其他類型的結構。
處理200在操作202處藉由將基板(諸如基板101)傳送(亦即,提供)到蝕刻處理腔室(諸如第1圖中所描繪的處理腔室100)而開始。基板101可具有基本上平坦表面、不平坦表面或具有在其上形成的結構的基本上平坦的表面。在第3A圖所描繪的實施例中,基板101具有設置在材料層302上的圖案化的遮罩層304。在一個實施例中,材料層302可用以形成閘極結構、淺溝槽隔離(STI)結構、前端或後端處理中的接觸結構或互連結構。在一個實施例中,處理200可在材料層302上執行,以在其中形成特徵及/或溝槽。在一些實施例中,材料層302可為基板101的一部分,使得所執行的蝕刻處理根據需要形成溝槽/特徵到基板101中。基板101可為諸如結晶矽(如,Si<100>或Si<111>)、氧化矽、應變矽、矽鍺、摻雜或未摻雜的多晶矽、摻雜或未摻雜的矽晶圓及圖案化或非圖案化晶圓、絕緣體上矽(SOI)、碳摻雜的氧化矽、氮化矽、摻雜的矽、鍺、砷化鎵、玻璃、藍寶石的材料。基板101可具有各種尺寸,諸如200mm、300mm、450mm或其他直 徑的晶圓,以及矩形或正方形面板。除非另有說明,否則於此描述的實施例和示例在具有200mm直徑、300mm直徑或450mm直徑的基板上進行。在其中SOI結構用於基板101的實施例中,基板101可包括設置在矽晶體基板上的包埋介電層。在於此描繪的實施例中,基板101可為晶體矽基板。
在一個實施例中,材料層302是含矽材料層。圖案化的遮罩層304具有開口特徵306,開口特徵306曝露材料層302的部分308以進行蝕刻。在一個實施例中,遮罩層304可為硬遮罩、光阻遮罩或其組合。遮罩層304中的開口特徵306用作蝕刻遮罩,以在材料層302中形成具有期望的深寬比的開口特徵360(如第3B圖所示)。於此描述的開口特徵360可包括溝槽、通孔、開口及類似者。在一個實施例中,遮罩層304可為選自由矽、氧化矽、氮化矽、氧氮化矽、碳化矽、非晶碳及其組合所組成的群組的材料。在於此描繪的示例性實施例中,遮罩層304是氧化矽層。在一些實施例中,遮罩層304可為圖案化的光阻層(諸如光刻圖案化的遮罩)或由其他材料製成。光阻層可為正性光阻、負性光阻、UV光刻光阻、i線光阻、電子束阻劑(例如,化學放大阻劑(CAR))或其他合適的光阻。在又一個實施例中,遮罩層304可為設置在硬遮罩層上的光阻層的組合。
材料層302可為含矽材料。材料層302可包括多層、複合層或單層。用於含矽材料的合適材料包括未摻雜 的晶體矽、非晶矽、多晶矽、單晶矽、摻雜的晶體矽材料及其組合。材料層302也可為包括氧化矽/氮化矽和多晶矽的複合層。在於此描繪的示例性實施例中,材料層302是矽材料。在一些實施例中,材料層302可根據需要為基板101的一部分。
在操作204處,如第3B圖所示,供應初步蝕刻氣體混合物以將材料層302蝕刻到預定深度310,以曝露材料層302中的開口特徵360的底表面312。可藉由將初步蝕刻氣體混合物與RF源一起供應到處理腔室中,並且在有或沒有施加偏壓功率到處理腔室的情況下,蝕刻材料層302。因為在這個階段蝕刻材料層302而沒有大量蝕刻副產物累積(如,蝕刻副產物經常隨著蝕刻時間的增加而累積),所以與處理200處的其他蝕刻操作相比,在操作204處供應的初步蝕刻氣體混合物將材料層302蝕刻至相對較深的深度。
在一個示例中,初步蝕刻氣體混合物包括適合於蝕刻含矽材料的任何氣體。在一個實施例中,初步蝕刻氣體混合物可包括(但不限於)含鹵素氣體和含碳氟氣體。
用於含鹵素氣體的合適示例包括HBr、氯氣(Cl2)、三氟化氮(NF3)、六氟化硫氣體(SF6)及類似者。含碳氟氣體的合適示例包括四氟化碳(CF4)、C2F4、C4F8、C4F6、CHF3、CH2F2、CH3F及類似者。
在一個示例中,初步蝕刻氣體混合物包括含鹵素氣體和含碳氟氣體以及任選地惰性氣體。在一個示例中, 初步蝕刻氣體混合物包括六氟化物氣體(SF6)和C4F8氣體。
在將初步蝕刻氣體混合物供應到蝕刻腔室中的同時,調節幾個處理參數。在一個實施例中,在存在初步蝕刻氣體混合物的情況下調節腔室壓力。在一個示例性實施例中,蝕刻腔室中的處理壓力被調節在約2mTorr至約200mTorr之間,例如,在約40mTorr和約100mTorr之間。可施加RF源及/或偏壓功率以維持由第一蝕刻氣體混合物形成的電漿。在一個示例中,在初步蝕刻處理期間可能不提供偏壓功率,以便根據需要維持蝕刻處理各向同性。例如,可將在約100瓦至約1500瓦之間的RF源功率施加到電感耦合天線源,以在蝕刻腔室內側維持電漿。在供應初步蝕刻氣體混合物的同時,施加約小於100瓦的RF偏壓功率(當使用時)。初步蝕刻氣體混合物可以在約50sccm至約1000sccm之間的流率流入腔室中。基板溫度維持在約攝氏-20度至約攝氏80度之間。
在一個示例中,連續供應預刻蝕氣體混合物,直到達到預定深度310,從而形成具有深寬比大於10:1,諸如在約15:1和約20:1之間的開口特徵360(如,開口、溝槽、通孔或孔)。在一個示例中,預定深度310為約15000nm和約25000nm。預定深度310可在要從材料層302蝕刻掉的總深度324(如第3F圖所示)的約30%和約60%之間,諸如在約40%和約50%之間。
在操作206處,在達到預定深度310之後,接著供應保護層沉積氣體混合物。接著終止初步蝕刻氣體混合物並切換到保護層沉積氣體混合物。保護層沉積氣體混合物有助於在材料層302上沉積保護層314,從而覆蓋開口特徵360的側壁313和底表面312以及遮罩層304的頂表面315,如第3C圖所示。保護層沉積氣體混合物包括至少含碳氟氣體。來自含碳氟氣體的氟元素與來自基板的矽元素反應,同時供應碳元素以與懸空矽元素反應,從而在材料層302的曝露表面處形成矽碳材料作為保護層314在整個基板101上。來自含碳氟氣體的碳元素也可形成聚合物結構,以與由開口特徵360曝露的曝露材料層302的部分(如,多晶矽層)黏附或反應,以形成保護層314。因此保護層314可為碳聚合物材料、含矽碳材料或它們的組合。保護層314用作鈍化層,以在從基板101移除材料層302的剩餘部分的同時保護下面的材料層302不受侵蝕。
含碳氟氣體的合適示例包括四氟化碳(CF4 )、C2 F4 、C4 F8 、C4 F6 、CHF3 、CH2 F2 、CH3 F及類似者。在一個特定示例中,保護層沉積氣體混合物包括C4 F8 。根據需要,也可在保護層沉積氣體混合物中任選地供應惰性氣體(諸如He和Ar)。含碳氟氣體可以在約50sccm至約2000sccm之間的流率流動。
當保護層沉積氣體混合物供應到蝕刻腔室中時,調節幾個處理參數。在一個實施例中,在蝕刻腔室內側存在保護層沉積氣體混合物的情況下調節腔室壓力。在一個示例性實施例中,蝕刻腔室中的含氧氣體的壓力被調節在約2mTorr至約150mTorr之間,例如,在約40mTorr至約100mTorr之間。可施加RF源功率以維持電漿。例如,可將約200瓦至約1500瓦的RF源功率施加到電感耦合天線源,以在蝕刻腔室內側維持電漿。以大於100瓦(諸如大於10瓦,諸如在約5瓦和約50瓦之間)供應RF偏壓功率。在操作206處所供應以形成保護層314的RF偏壓功率與在操作204處所供應以蝕刻材料層302的RF偏壓功率相似或更大。在一個示例中,當供應保護層沉積氣體混合物時,RF偏壓功率比在當操作204處蝕刻材料層302時所供應的RF偏壓功率大了在約10%和約300%之間。
所形成的保護層314可在隨後的蝕刻處理期間用作保護/鈍化層,以便保護膜層的某些位置,諸如開口特徵360的側壁313,因而在蝕刻處理期間改善和增強介面保護,從而允許來自以下操作的活性蝕刻物種向下傳播到開口特徵360的底表面312,以進行進一步蝕刻,而不會不期望地侵蝕材料層302的側壁313。
在操作208處,執行底表面穿透處理以移除開口特徵360的底表面312上的保護層314,如第3D圖所示。底表面穿透處理提供了可向下到達保護層314的底表面的反應性物種,直到基本上移除了開口特徵360的底表面312上的保護層314為止。在操作208處執行底表面穿透處理的同時,位於開口特徵360的側壁313上的保護層314基本上維持完整。因此,維持在側壁313上的保護層314可幫助保護開口特徵360的側壁完整性,同時在隨後的蝕刻處理期間增加形成在材料層302中的開口特徵360的深寬比。
應注意,這種底表面穿透處理也可解釋為執行底表面開口處理以蝕刻保護層314的底表面,從而曝露準備被蝕刻的新鮮的下層材料層302,以便保持形成開口特徵360,直到達到具有期望深寬比的期望深度為止。
在一個實施例中,藉由供應包括含鹵素氣體和含碳氟氣體的一種或多種的底表面蝕刻氣體混合物來執行底表面穿透處理。含鹵素氣體和含碳氟氣體可從底表面312和緩地移除保護層314。在一個實施例中,含碳氟氣體的合適示例包括四氟化碳(CF4 )、C2 F4 、C4 F8 、C4 F6 、CHF3 、CH2 F2 和CH3 F。含鹵素氣體的合適示例包括HBr、氯氣(Cl2 )、三氟化氮(NF3 )、六氟化硫氣體(SF6 )及類似者。在一個示例中,還可在底表面蝕刻氣體混合物中供應惰性氣體(諸如He、Ar及類似者)。在一個特定示例中,底表面蝕刻氣體混合物包括C4 F8 氣體、SF6 以及任選地Ar氣體。
在供應底表面蝕刻氣體混合物以從底表面312移除保護層314的同時,還可控制幾個處理參數。可將處理腔室的壓力控制在約20毫托和約200毫托之間,諸如在約40毫托和約100毫托之間。基板溫度維持在約攝氏-20度至約攝氏100度之間。可施加RF源功率以維持電漿。例如,可將約200瓦至約1500瓦的RF源功率施加到電感耦合天線源,以在蝕刻腔室內側維持電漿。以大於100瓦供應RF偏壓功率。在操作208處所供應以執行的底表面穿透處理的RF偏壓功率大於在操作206所供應以形成保護層314和在操作204處所供應以蝕刻材料層302的RF偏壓功率。在一個示例中,當供應底表面穿透處理時的偏壓功率比在操作206處進行保護層沉積處理及在操作204處對蝕刻材料層302的同時所供應的RF偏壓功率大了在約5%和約100%之間。
可認為,所施加用於RF偏壓功率的較大功率位凖可增加來自底表面蝕刻氣體混合物的反應性物種的方向性,並有助於將反應性物種朝底表面312拉引並加速。因此,反應性物種可到達底部,以從底表面312移除保護層314,而基本上不侵蝕和損壞形成在側壁313上的保護層314。
在操作210處,藉由供應主蝕刻氣體混合物以繼續蝕刻材料層302中的開口特徵362至在開口特徵362的底表面316處的第二深度320來執行主蝕刻處理,如第3E圖所示。主蝕刻氣體混合物可與操作204處的初步蝕刻氣體混合物相似或相同,以在側壁由保護層314保護的同時繼續蝕刻材料層302。在一個示例中,主蝕刻氣體混合物可連續地供應,直到保護層314被消耗並需要補充為止。在另一個示例中,主蝕刻氣體混合物可為用於蝕刻矽層的任何合適的氣體。
在一個實施例中,主蝕刻氣體混合物可包括(但不限於)含鹵素氣體和含碳氟氣體。含鹵素氣體的合適示 例包括HBr、氯氣(Cl2)、三氟化氮(NF3)、六氟化硫氣體(SF6)及類似者。含碳氟氣體的合適示例包括四氟化碳(CF4)、C2F4、C4F8、C4F6、CHF3、CH2F2、CH3F及類似者。
在一個示例中,主蝕刻氣體混合物包括含鹵素氣體和含碳氟氣體以及任選地惰性氣體。在一個示例中,初步蝕刻氣體混合物包括六氟化物氣體(SF6)和C4F8氣體。
類似地,在供應主要蝕刻氣體混合物時調節的處理參數可與在操作204處供應初步蝕刻氣體混合物時調節的處理參數相似或相同。在一個實施例中,蝕刻腔室中的處理壓力在約2mTorr至約100mTorr之間調節。可施加RF源功率以維持由主蝕刻氣體混合物形成的電漿,以蝕刻基板101上的材料層302。例如,可將約150瓦至約1500瓦的RF源功率施加到電感耦合天線源,以在蝕刻腔室內側維持電漿。在供應用於蝕刻材料層302的主蝕刻氣體混合物的同時,可施加或可不施加RF偏壓功率。在一個示例中,在主蝕刻處理期間不施加RF偏壓功率。在另一示例中,可在供應主蝕刻氣體混合物以和緩地蝕刻材料層302的同時維持小於100瓦的RF偏壓功率。主蝕刻氣體混合物可以在約50sccm至約1000sccm之間的流率流動。基板溫度維持在約攝氏-20度至約攝氏100度的溫度範圍內。
可連續地供應主蝕刻氣體混合物,直到達到預定的處理時間段或者直到保護層314被消耗並且需要補充為止。因此,處理可循環回到操作206,使得可重複執行操 作206至操作210,直到達到期望的總/最終深度324,如第3F圖所示。
如第2圖中的循環212所示,可重複地(亦即,循環地)執行操作206至210,直到在材料層302中形成到開口特徵364的底表面326的期望目標深度324,如第3F圖所示。操作206至操作210的每個循環可蝕刻掉材料層302的在7000nm與約10000nm之間的深度。在一個實施例中,期望的目標深度324可在約30000nm和約45000nm之間,具有大於20:1,諸如在於約30:1和約45:1之間的深寬比。重複的處理可循環地且遞增地蝕刻材料層302,而不會過度侵蝕材料層302,同時具有來自保護層314的適當側壁保護。具有重複沉積和移除材料層302的遞增蝕刻改善了特徵垂直度並提高了在遮罩層304和材料層302之間的遮罩與特徵CD轉移的準確度。在一個實施例中,開口特徵364可具有小於1000nm的寬度328。在一個實施例中,操作204至210可根據需要重複執行任何次數,諸如根據需要在約3次和約10次之間。
因此,藉由循環沉積和蝕刻處理提供了用於形成具有高深寬比和小尺寸的特徵的方法。循環沉積和蝕刻處理在蝕刻處理期間提供了足夠的側壁沉積/保護。藉由這樣做,獲得了改進的蝕刻處理以獲得具有高深寬比和小尺寸的特徵。
儘管前述內容涉及本揭露書的實施例,然而在不背離本揭露書的基本範圍的情況下,可設計本揭露書的其 他和進一步的實施例,並且本揭露書的範圍由以下的申請專利範圍決定。
100:處理腔室
101:基板
102:腔室主體
104:蓋
106:內部容積
108:側壁
110:底部
114:內表面
126:排氣埠
128:泵系統
130:噴頭組件
132’:入口埠
132”:入口埠
134:內部區域
136:外部區域
138:通道
140:監視系統
141:網路
142:窗
143:RF源功率
148:基板支撐基座組件
150:控制器
152:中央處理單元(CPU)
154:記憶體
156:支持電路
158:氣體面板
162:安裝板
164:底座
166:靜電夾盤
168:導管
170:導管
172:流體源
174:任選的嵌入式隔離器
176:加熱器
177:遠端電漿源
178:功率源
180:電極
182:功率源
184:RF偏壓功率源
186:RF偏壓功率源
188:電路
189:附加的偏壓功率源
190:溫度感測器
192:溫度感測器
200:處理
202:操作
204:操作
206:操作
208:操作
210:操作
212:循環
302:材料層
304:遮罩層
306:開口特徵
308:部分
310:深度
312:底表面
313:側壁
314:保護層
315:頂表面
316:底表面
320:深度
324:深度
326:底表面
328:寬度
360:開口特徵
362:開口特徵
364:開口特徵
因此,為了可詳細地理解本揭露書的上述特徵的方式,可藉由參考實施例來獲得上面簡要概述的本揭露書的更詳細的描述,其中一些實施例顯示在附隨的圖式。然而,應當注意,附隨的圖式僅顯示了這份揭露書的典型實施例,並且因此不應被認為是對其範圍的限制,因為本揭露書可允許其他等效的實施例。
第1圖描繪了根據本揭露書的一個實施例的用以執行蝕刻處理的設備;
第2圖描繪了根據本揭露書的一個實施例的用於使用循環蝕刻處理執行蝕刻處理的方法的流程圖;及
第3A-3F圖描繪了根據本揭露書的一個實施例的用於蝕刻在第2圖的方法上形成的材料層的順序的一個實施例。
為了便於理解,在可能的情況下使用了相同的元件符號來表示圖中共有的相同元件。可預期的是,一個實施例的元件和特徵可有益地併入其他實施例中,而無需進一步敘述。
然而,應注意的是,附隨的圖式僅顯示了本揭露書的示例性實施例,並且因此不應被認為是對其範圍的限制,因為本揭露書可允許其他等效的實施例。
200:處理
202:操作
204:操作
206:操作
208:操作
210:操作
212:循環

Claims (18)

  1. 一種用於在一基板上蝕刻一材料層的方法,包含以下步驟:(a)在一蝕刻腔室中蝕刻該基板上的該材料層的至少一部分,以在該材料層中形成具有一底表面和多個側壁的一開口特徵;(b)使用包含至少一種含碳氟氣體的一第一氣體混合物在該開口特徵的該等側壁和該底表面上形成一保護層;(c)選擇性地使用包含該含碳氟氣體的一第二氣體混合物移除在該開口特徵的該底表面上形成的該保護層;及(d)從該開口特徵的該底表面連續蝕刻該材料層,直到達到該開口特徵的一期望深度;其中當選擇性地移除該保護層時所施加的一第一RF偏壓功率大於當連續蝕刻該材料層時所施加的一第二RF偏壓功率,以增加該第二氣體混合物朝該開口特徵的該底表面的一方向性。
  2. 如請求項1所述之方法,其中移除該保護層的步驟進一步包含以下步驟:在基本上不移除該開口特徵的該等側壁上的該保護層的情況下,藉由一含鹵素氣體和該含碳氟氣體從該開口特徵的該底表面蝕刻該保護層。
  3. 如請求項1所述之方法,進一步包含以下步 驟:重複步驟(b)-(d)以遞增地蝕刻材料層。
  4. 如請求項1所述之方法,其中移除該保護層的步驟進一步包含以下步驟:曝露該開口特徵的該底表面。
  5. 如請求項1所述之方法,其中該含碳氟氣體包括四氟化碳(CF4)、C2F4、C4F8、C4F6、CHF3、CH2F2和CH3F的至少一種。
  6. 如請求項1所述之方法,其中該含碳氟氣體是C4F8
  7. 如請求項1所述之方法,其中形成該保護層的步驟進一步包含以下步驟:將包括該含碳氟氣體的該第一氣體混合物供應到該基板。
  8. 如請求項1所述之方法,其中該第二氣體混合物進一步包含一含鹵素氣體。
  9. 如請求項8所述之方法,其中該含鹵素氣體是SF6
  10. 如請求項1所述之方法,其中連續蝕刻該材料層的步驟進一步包含以下步驟:供應包含該含碳氟氣體和一含鹵素氣體的一第三氣體混合物。
  11. 如請求項10所述之方法,其中該含碳氟氣體包括四氟化碳(CF4)、C2F4、C4F8、C4F6、CHF3、 CH2F2和CH3F的至少一種。
  12. 如請求項10所述之方法,其中該含碳氟氣體是C4F8,且該含鹵素氣體是SF6
  13. 如請求項1所述之方法,其中該材料層是一含矽層。
  14. 如請求項1所述之方法,其中當形成該保護層時所施加的一第三RF偏壓功率小於當選擇性地移除該保護層時所施加的該第一RF偏壓功率。
  15. 一種用於在一基板上蝕刻一材料層的方法,包含以下步驟:(a)供應一第一氣體混合物以蝕刻設置在一處理腔室中的該基板上的該材料層的一部分,以在該材料層中形成一開口特徵;(b)供應一第二氣體混合物,以在該開口特徵的多個側壁和一底表面上形成一保護層,其中該第二氣體混合物包含一含碳氟氣體;(c)供應一第三氣體混合物以選擇性地移除形成在該開口特徵的該底表面上的該保護層,其中該第三氣體混合物包含該含碳氟氣體和一含鹵素氣體;及(d)供應一第四氣體混合物,以繼續從該開口特徵的該底表面蝕刻該材料層,直到達到該開口特徵的一期望深度,其中該第四氣體混合物包含該含碳氟氣體和該含鹵素氣體;其中在供應該第三氣體混合物的同時施加的一第一 RF偏壓功率大於在供應該第四氣體混合物的同時施加的一第二RF偏壓功率,以增加該第三氣體混合物朝該開口特徵的該底表面的一方向性。
  16. 如請求項15所述之方法,進一步包含以下步驟:重複步驟(b)-(d)以遞增地蝕刻材料層。
  17. 如請求項15所述之方法,其中該含碳氟氣體是C4F8,且該含鹵素氣體是SF6
  18. 一種用於在一基板上蝕刻一材料層的方法,包含以下步驟:(a)施加一第一RF偏壓功率以蝕刻在一蝕刻腔室中的該基板上的該材料層的至少一部分,以在該材料層中形成具有一底表面和多個側壁的一開口特徵;(b)在包含一含碳氟氣體的一第一氣體混合物中施加一第二RF偏壓功率,以在該開口特徵的該等側壁和該底表面上形成一保護層,其中該第二RF偏壓功率大於該第一RF偏壓功率;(c)在包含該含碳氟氣體的一第二氣體混合物中施加一第三RF偏壓功率,以選擇性地移除形成在該開口特徵的該底表面上的該保護層,其中該第三RF偏壓功率大於該第二RF偏壓功率,以增加該第二氣體混合物朝該開口特徵的該底表面的一方向性;及(d)從該開口特徵的該底表面連續蝕刻該材料層,直到達到該開口特徵的一期望深度。
TW109144471A 2019-12-23 2020-12-16 用於蝕刻用於半導體應用的材料層的方法 TWI817066B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
PCT/CN2019/127495 WO2021127862A1 (en) 2019-12-23 2019-12-23 Methods for etching a material layer for semiconductor applications
WOPCT/CN2019/127495 2019-12-23

Publications (2)

Publication Number Publication Date
TW202139283A TW202139283A (zh) 2021-10-16
TWI817066B true TWI817066B (zh) 2023-10-01

Family

ID=76573356

Family Applications (1)

Application Number Title Priority Date Filing Date
TW109144471A TWI817066B (zh) 2019-12-23 2020-12-16 用於蝕刻用於半導體應用的材料層的方法

Country Status (5)

Country Link
US (1) US20220399205A1 (zh)
KR (1) KR20220119139A (zh)
CN (1) CN114885614B (zh)
TW (1) TWI817066B (zh)
WO (1) WO2021127862A1 (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20210233778A1 (en) * 2020-01-29 2021-07-29 Tokyo Electron Limited Etching method, substrate processing apparatus, and substrate processing system

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201234422A (en) * 2010-12-20 2012-08-16 Applied Materials Inc Methods for etching a substrate
CN104658962A (zh) * 2013-11-19 2015-05-27 中芯国际集成电路制造(上海)有限公司 通孔的形成方法

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6759340B2 (en) * 2002-05-09 2004-07-06 Padmapani C. Nallan Method of etching a trench in a silicon-on-insulator (SOI) structure
US8871105B2 (en) * 2011-05-12 2014-10-28 Lam Research Corporation Method for achieving smooth side walls after Bosch etch process
CN105329846A (zh) * 2014-08-12 2016-02-17 中国科学院微电子研究所 一种mems工艺中的刻蚀方法
CN105390433A (zh) * 2014-09-05 2016-03-09 中国科学院微电子研究所 半导体器件制造方法
US10903109B2 (en) * 2017-12-29 2021-01-26 Micron Technology, Inc. Methods of forming high aspect ratio openings and methods of forming high aspect ratio features

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201234422A (en) * 2010-12-20 2012-08-16 Applied Materials Inc Methods for etching a substrate
CN104658962A (zh) * 2013-11-19 2015-05-27 中芯国际集成电路制造(上海)有限公司 通孔的形成方法

Also Published As

Publication number Publication date
CN114885614A (zh) 2022-08-09
CN114885614B (zh) 2023-12-12
TW202139283A (zh) 2021-10-16
KR20220119139A (ko) 2022-08-26
US20220399205A1 (en) 2022-12-15
WO2021127862A1 (en) 2021-07-01

Similar Documents

Publication Publication Date Title
US9269587B2 (en) Methods for etching materials using synchronized RF pulses
US9214377B2 (en) Methods for silicon recess structures in a substrate by utilizing a doping layer
KR102483741B1 (ko) 진보된 패터닝 프로세스에서의 스페이서 퇴적 및 선택적 제거를 위한 장치 및 방법들
US8932947B1 (en) Methods for forming a round bottom silicon trench recess for semiconductor applications
US7431795B2 (en) Cluster tool and method for process integration in manufacture of a gate structure of a field effect transistor
US9299580B2 (en) High aspect ratio plasma etch for 3D NAND semiconductor applications
US7368394B2 (en) Etch methods to form anisotropic features for high aspect ratio applications
TWI688997B (zh) 閘極電極材料殘留物移除製程
TWI832964B (zh) 用於微影蝕刻應用之膜堆疊
US9595451B1 (en) Highly selective etching methods for etching dielectric materials
US20070202700A1 (en) Etch methods to form anisotropic features for high aspect ratio applications
US10497578B2 (en) Methods for high temperature etching a material layer using protection coating
US20040209468A1 (en) Method for fabricating a gate structure of a field effect transistor
US20150118832A1 (en) Methods for patterning a hardmask layer for an ion implantation process
JP7176106B2 (ja) 誘電体材料の堆積方法
US9741566B2 (en) Methods for manufacturing a spacer with desired profile in an advanced patterning process
US11127599B2 (en) Methods for etching a hardmask layer
TWI817066B (zh) 用於蝕刻用於半導體應用的材料層的方法
WO2020215183A1 (en) Methods for etching a material layer for semiconductor applications
KR20220151557A (ko) 편평한 상부 프로파일을 갖는 스페이서 패터닝 프로세스