KR20220151557A - 편평한 상부 프로파일을 갖는 스페이서 패터닝 프로세스 - Google Patents

편평한 상부 프로파일을 갖는 스페이서 패터닝 프로세스 Download PDF

Info

Publication number
KR20220151557A
KR20220151557A KR1020220054811A KR20220054811A KR20220151557A KR 20220151557 A KR20220151557 A KR 20220151557A KR 1020220054811 A KR1020220054811 A KR 1020220054811A KR 20220054811 A KR20220054811 A KR 20220054811A KR 20220151557 A KR20220151557 A KR 20220151557A
Authority
KR
South Korea
Prior art keywords
layer
spacer layer
etch
patterned mandrel
over
Prior art date
Application number
KR1020220054811A
Other languages
English (en)
Inventor
차오 리
진 리
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20220151557A publication Critical patent/KR20220151557A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Inorganic Chemistry (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

금속 함유 피쳐를 형성하기 위한 방법은, 패터닝된 맨드릴 층의 상부면들 상에 그리고 패터닝된 맨드릴 층의 측벽들 상의 스페이서 층 위에 오버 층을 컨포멀하게 증착하는 것을 포함하는 증착 프로세스를 수행하는 단계, 및 불소 함유 에칭 가스를 사용하여, 패터닝된 맨드릴 층의 상부면들 및 스페이서 층의 숄더 부분들로부터 오버 층을 제거하고, 스페이서 층의 숄더 부분들을 제거하는 것을 포함하는 에칭 프로세스를 수행하는 단계를 포함한다.

Description

편평한 상부 프로파일을 갖는 스페이서 패터닝 프로세스{SPACER PATTERNING PROCESS WITH FLAT TOP PROFILE}
[0001] 본 개시내용의 예들은 일반적으로 반도체 구조체들을 형성하기 위한 방법들에 관한 것이다. 특히, 본 개시내용의 실시예들은 자기-정렬 다중 패터닝(self-aligned multi-patterning; SAMP) 프로세스들에 사용되는 스페이서 층(spacer layer)을 패터닝하기 위한 방법들을 제공한다.
[0002] 자기-정렬 리소그래피 프로세스(self-aligned lithographic process) 기술들은 첨단 기술 노드들(advanced technology nodes)에서 중요한 역할을 한다. 5-㎚ 이하 노드의 조밀한 금속 층들의 경우, 자기-정렬 다중 패터닝(SAMP) 프로세스들, 예컨대 자기-정렬 이중 패터닝(SADP), 자기-정렬 사중 패터닝(SAQP), 및 정렬 LELE(aligned litho-etch-litho-etch; SALELE) 프로세스들이 이용되고 있다.
[0003] 일반적으로, 그러한 SAMP 프로세스들에서, 스페이서 층은 사전결정된 피쳐(feature)(종종 맨드릴(mandrel)로 지칭됨) 위에 증착되고, 이어서 맨드릴의 측벽들 상의 스페이서 부분들이 남도록 에치백(etch back)된다. 다음에, 맨드릴이 에칭되어 맨드릴의 각 에지에 대해 2 개의 스페이서들을 남길 수 있다. 그러나, 이러한 기존의 스페이서 패터닝 프로세스는 종종, 패터닝된 스페이서들에서 비대칭 프로파일들을 초래한다. 예를 들어, 패터닝된 스페이서는 상부에 둥근 숄더 부분(shoulder portion)을 가질 수 있다. 패터닝된 스페이서의 비일관성들(inconsistencies) 및 비대칭성들은 패턴 전사에 영향을 미칠 수 있으며, 이는 하류 프로세스들에서 불량한 균일성 및 피치 워크(pitch walk)(즉, 피치 변동)를 초래할 수 있다.
[0004] 따라서, 편평한 상부 프로파일과 같은 원하는 상부 프로파일을 갖는 스페이서를 패터닝하기 위한 방법에 대한 필요성이 존재한다.
[0005] 본 개시내용의 실시예들은 금속 함유 피쳐를 형성하기 위한 방법을 제공한다. 이 방법은, 패터닝된 맨드릴 층의 상부면들 상에 그리고 패터닝된 맨드릴 층의 측벽들 상의 스페이서 층 위에 오버 층(over layer)을 컨포멀하게 증착하는 것을 포함하는 증착 프로세스를 수행하는 단계, 및 불소 함유 에칭 가스를 사용하여, 패터닝된 맨드릴 층의 상부면들 및 스페이서 층의 숄더 부분들로부터 오버 층을 제거하고, 스페이서 층의 숄더 부분들을 제거하는 것을 포함하는 에칭 프로세스를 수행하는 단계를 포함한다.
[0006] 본 개시내용의 실시예들은 또한 금속 함유 피쳐를 형성하기 위한 방법을 제공한다. 이 방법은, 제1 불소 함유 에칭 가스를 사용하여, 패터닝된 맨드릴 층의 상부면들로부터 스페이서 층의 부분들을 제거하여, 패터닝된 맨드릴 층의 측벽들 상의 스페이서 층의 부분들만을 남기는 것을 포함하는 메인 에칭 프로세스를 수행하는 단계, 패터닝된 맨드릴 층의 상부면들 상에 그리고 패터닝된 맨드릴 층의 측벽들 상의 스페이서 층 위에 오버 층을 컨포멀하게 증착하는 것을 포함하는 증착 프로세스를 수행하는 단계, 및 제2 불소 함유 에칭 가스를 사용하여, 패터닝된 맨드릴 층의 상부면들 및 스페이서 층의 숄더 부분들로부터 오버 층을 제거하고, 스페이서 층의 숄더 부분들을 제거하는 것을 포함하는 오버 에칭 프로세스를 수행하는 단계를 포함한다.
[0007] 본 개시내용의 실시예들은 추가로, 금속 함유 피쳐를 형성하기 위한 방법을 제공한다. 이 방법은, 제1 불소 함유 에칭 가스를 사용하여, 패터닝된 맨드릴 층의 상부면들로부터 스페이서 층의 부분들을 제거하여, 패터닝된 맨드릴 층의 측벽들 상의 스페이서 층의 부분들만을 남기는 것을 포함하는 제1 에칭 프로세스를 수행하는 단계, 패터닝된 맨드릴 층의 상부면들 상에 그리고 패터닝된 맨드릴 층의 측벽들 상의 스페이서 층 위에 오버 층을 컨포멀하게 증착하는 것을 포함하는 증착 프로세스를 수행하는 단계, 제2 불소 함유 에칭 가스를 사용하여, 패터닝된 맨드릴 층의 상부면들 및 스페이서 층의 숄더 부분들로부터 오버 층을 제거하고, 스페이서 층의 숄더 부분들을 제거하는 것을 포함하는 제2 에칭 프로세스를 수행하는 단계, 및 패터닝된 맨드릴 층을 제거하는 것을 포함하는 제3 에칭 프로세스를 수행하는 단계를 포함한다.
[0008] 본 발명의 상기 열거된 특징들이 상세히 이해될 수 있는 방식으로 앞서 간략히 요약된 본 발명의 보다 구체적인 설명이 실시예들을 참조로 하여 이루어질 수 있는데, 이러한 실시예들의 일부는 첨부된 도면들에 예시되어 있다. 그러나, 첨부된 도면들은 본 발명의 단지 전형적인 실시예들을 도시하는 것이므로 본 발명의 범위를 제한하는 것으로 간주되지 않아야 한다는 것이 주목되어야 하는데, 이는 본 발명이 다른 균등하게 유효한 실시예들을 허용할 수 있기 때문이다.
[0009] 도 1은 일 실시예에 따른 패터닝 프로세스를 수행하는 데 이용될 수 있는 유도 결합 플라즈마(ICP) 에칭 챔버를 도시한다.
[0010] 도 2는 일 실시예에 따른 나노구조체를 형성하는 방법의 흐름도를 도시한다.
[0011] 도 3a, 도 3b, 도 3c, 도 3d 및 도 3e는 일 실시예에 따른 나노구조체의 일부의 단면도들이다.
[0012] 이해를 용이하게 하기 위해, 가능한 경우, 동일한 참조 번호들이 도면들에 공통인 동일한 요소들을 지정하는 데 사용되었다. 일 실시예에 개시된 요소들은 특별한 언급 없이도 다른 실시예들에 유익하게 이용될 수 있는 것으로 고려된다.
[0013] 본원에 설명된 실시예들은 반도체 구조체들을 형성하기 위한 방법들을 제공한다. 보다 구체적으로, 본 개시내용의 실시예들은 편평한 상부 프로파일과 같은 원하는 상부 프로파일을 갖는 스페이서 층을 패터닝하기 위한 방법들을 제공한다. 그러한 패터닝된 스페이서 층들은 감소된 비일관성들 및 비대칭성들을 제공하며, 그에 따라 패터닝된 스페이서 층이 그 아래의 활성 층으로 패턴을 전사하기 위한 하드 마스크(hard mask)로서 사용되는 경우, 제조된 구조체들에서 피치의 균일성이 증가된다. 본원에 제공되는 방법들은, 패터닝된 맨드릴 층, 및 패터닝된 맨드릴 층의 측벽들 상에 배치된 스페이서 층 상에 오버 층(over layer)을 증착하는 단계, 및 이어서 패터닝된 맨드릴 층의 상부면들 및 스페이서 층의 숄더 부분들로부터 오버 층을 선택적으로 제거하는 단계를 포함한다. 이러한 프로세스는 스페이서 층의 숄더 부분들을 에칭하여 스페이서 층의 상부 프로파일들을, 예를 들어 편평한 상부 프로파일로 변경할 수 있게 한다.
[0014] 도 1은 에칭 프로세스를 수행하기에 적합한 유도 결합 플라즈마(ICP) 에칭 챔버(100)의 일 예의 단면도이다. 본원에 개시된 방법들과 함께 사용하도록 구성될 수 있는 적합한 ICP 에칭 챔버들은 유도 결합 플라즈마 반응성 이온 에칭(ICP-RIE) 챔버들, 예를 들어 캘리포니아주 산타 클라라(Santa Clara) 소재의 어플라이드 머티어리얼스 인코포레이티드(Applied Materials, Inc.)로부터 입수 가능한 CENTRIS® SYM3™ 에칭 챔버를 포함한다. ICP 에칭 챔버(100)가 우수한 에칭 성능을 가능하게 하는 복수의 특징들을 포함하는 것으로 도시되지만, 다른 ICP 에칭 챔버들이 본원에 개시된 본 발명의 특징들 중 하나 이상으로부터 이익을 얻도록 구성될 수 있는 것으로 고려된다.
[0015] ICP 에칭 챔버(100)는 내부 용적부(interior volume)(106)를 둘러싸는 챔버 본체(102) 및 덮개(104)를 포함한다. 챔버 본체(102)는 전형적으로 알루미늄, 스테인리스강 또는 다른 적합한 재료로 제조된다. 챔버 본체(102)는 일반적으로 측벽들(108) 및 하부(110)를 포함한다. 기판 지지 페디스털 접근 포트(substrate support pedestal access port)(도시되지 않음)는 일반적으로 측벽(108)에 한정되고, 슬릿 밸브(slit valve)에 의해 선택적으로 밀봉되어, ICP 에칭 챔버(100)로부터 기판(W)의 반입 및 반출을 용이하게 한다. 배기 포트(112)는 챔버 본체(102)에 한정되고, 내부 용적부(106)를 진공 펌프 시스템(114)에 결합한다. 진공 펌프 시스템(114)은 일반적으로 ICP 에칭 챔버(100)의 내부 용적부(106)를 비우고 그 압력을 조절하는 데 사용되는 하나 이상의 펌프들 및 스로틀 밸브들(throttle valves)을 포함한다.
[0016] 덮개(104)는 챔버 본체(102)의 측벽(108) 상에 밀봉 지지된다. 덮개(104)는 ICP 에칭 챔버(100)의 내부 용적부(106)에 대한 접근을 허용하도록 개방될 수 있다. 덮개(104)는 광학 프로세스 모니터링을 용이하게 하는 윈도우(window)(116)를 포함한다. 일 구현예에서, 윈도우(116)는 ICP 에칭 챔버(100) 외부에 장착된 광학 모니터링 시스템(118)에 의해 이용되는 신호에 대해 투과성인 석영 또는 다른 적합한 재료로 구성된다.
[0017] 광학 모니터링 시스템(118)은 윈도우(116)를 통해 기판 지지 페디스털 조립체(120) 상에 위치결정된 기판(W) 및/또는 챔버 본체(102)의 내부 용적부(106) 중 적어도 하나를 관찰하도록 위치결정된다. 일 실시예에서, 광학 모니터링 시스템(118)은 덮개(104)에 결합되고, 발생되는 기판 패턴 피쳐 비일관성들(예컨대, 두께 등)을 보상하기 위한 프로세스 조정을 가능하게 하는 정보를 제공하고, 필요에 따라 프로세스 상태 모니터링(예컨대, 플라즈마 모니터링, 온도 모니터링 등)을 제공하기 위해 광학 계측을 사용하는 통합 증착 프로세스를 용이하게 한다. 본 개시내용으로부터 이익을 얻도록 구성될 수 있는 하나의 광학 모니터링 시스템은 캘리포니아주 산타 클라라 소재의 어플라이드 머티어리얼스 인코포레이티드로부터 입수 가능한 EyeD® 풀-스펙트럼, 간섭계 계측 모듈이다.
[0018] 내부 용적부(106)에 프로세스 및/또는 세정 가스들을 제공하기 위해, 가스 패널(122)이 ICP 에칭 챔버(100)에 결합된다. 도 1에 도시된 예에서, 가스들이 가스 패널(122)로부터 ICP 에칭 챔버(100)의 내부 용적부(106)로 이송될 수 있게 하도록 입구 포트들(124, 126)이 덮개(104)에 제공된다. 일 구현예에서, 가스 패널(122)은 불소화 프로세스 가스를 입구 포트들(124, 126)을 통해 ICP 에칭 챔버(100)의 내부 용적부(106) 내로 제공하도록 구성된다.
[0019] 샤워헤드 조립체(showerhead assembly)(128)는 덮개(104)의 내부면(130)에 결합된다. 샤워헤드 조립체(128)는 가스가 샤워헤드 조립체(128)를 통해 입구 포트들(124, 126)로부터 ICP 에칭 챔버(100)의 내부 용적부(106) 내로, ICP 에칭 챔버(100)에서 프로세싱되는 기판(W)의 표면을 가로질러 사전규정된 분포로 유동할 수 있게 하는 복수의 구멍들을 포함한다.
[0020] 프로세싱을 위해 내부 용적부(106) 내로 진입하기 전에 원격 플라즈마로부터 가스 혼합물을 분리하는 것을 용이하게 하기 위해, 원격 플라즈마 소스(remote plasma source)(132)가 선택적으로 가스 패널(122)에 결합될 수 있다. RF 전원(134)이 매칭 네트워크(matching network)(136)를 통해 샤워헤드 조립체(128)에 결합된다. RF 전원(134)은 전형적으로 약 50 kHz 내지 약 200 MHz 범위의 조정 가능한 주파수로 최대 약 3000 W를 생성할 수 있다.
[0021] 샤워헤드 조립체(128)는 광학 계측 신호에 대해 투과성인 영역을 추가로 포함한다. 광 투과성 영역 또는 통로(138)는 광학 모니터링 시스템(118)이 내부 용적부(106) 및/또는 기판 지지 페디스털 조립체(120) 상에 위치된 기판(W)을 관찰할 수 있게 하기에 적합하다. 통로(138)는 광학 모니터링 시스템(118)에 의해 생성되고 광학 모니터링 시스템(118)으로 다시 반사되는 에너지의 파장들에 대해 실질적으로 투과성인 샤워헤드 조립체(128)에 형성되거나 배치된 재료, 구멍 또는 복수의 구멍들일 수 있다.
[0022] 일 구현예에서, 샤워헤드 조립체(128)는 ICP 에칭 챔버(100)의 내부 용적부(106) 내로 유동하는 가스의 개별적인 제어를 허용하는 복수의 구역들을 갖도록 구성된다. 도 1에 도시된 예에서, 샤워헤드 조립체(128)는 별도의 입구 포트들(124, 126)을 통해 가스 패널(122)에 별도로 결합된 내부 구역(140) 및 외부 구역(142)을 갖는다.
[0023] 기판 지지 페디스털 조립체(120)는 가스 분배(샤워헤드) 조립체(128) 아래의 ICP 에칭 챔버(100)의 내부 용적부(106)에 배치된다. 기판 지지 페디스털 조립체(120)는 프로세싱 동안에 기판(W)을 유지한다. 기판 지지 페디스털 조립체(120)는 일반적으로 자신을 통해 배치된 복수의 리프트 핀들(lift pins)(도시되지 않음)을 포함하며, 이러한 복수의 리프트 핀들은 기판 지지 페디스털 조립체(120)로부터 기판(W)을 들어올리고 기존 방식으로 로봇(도시되지 않음)에 의한 기판(W)의 교환을 용이하게 하도록 구성된다. 내부 라이너(inner liner)(144)가 기판 지지 페디스털 조립체(120)의 주변부를 밀접하게 둘러쌀 수 있다.
[0024] 일 구현예에서, 기판 지지 페디스털 조립체(120)는 장착 플레이트(146), 베이스(148) 및 정전 척(electrostatic chuck)(150)을 포함한다. 장착 플레이트(146)는 챔버 본체(102)의 하부(110)에 결합되고, 그 중에서도 유체들, 전력선들 및 센서 리드들(sensor leads)과 같은 유틸리티들(utilities)을 베이스(148) 및 정전 척(150)으로 라우팅(routing)하기 위한 통로들을 포함한다. 정전 척(150)은 샤워헤드 조립체(128) 아래에 기판(W)을 보유하기 위한 적어도 하나의 클램핑 전극(clamping electrode)(152)을 포함한다. 정전 척(150)은 기존에 알려진 바와 같이 척 표면에 기판(W)을 유지하는 정전력을 발생시키기 위해 척킹 전원(chucking power source)(154)에 의해 구동된다. 대안적으로, 기판(W)은 클램핑, 진공 또는 중력에 의해 기판 지지 페디스털 조립체(120)에 보유될 수 있다.
[0025] 베이스(148) 또는 정전 척(150) 중 적어도 하나는 기판 지지 페디스털 조립체(120)의 측방향 온도 프로파일을 제어하기 위해 적어도 하나의 선택적인 매립형 히터(156), 적어도 하나의 선택적인 매립형 아이솔레이터(embedded isolator)(158), 및 복수의 도관들(160, 162)을 포함할 수 있다. 도관들(160, 162)은 이를 통해 온도 조절 유체를 순환시키는 유체 소스(164)에 유체적으로 결합된다. 히터(156)는 전원(166)에 의해 조절된다. 도관들(160, 162) 및 히터(156)는 베이스(148)의 온도를 제어하고, 이에 의해 정전 척(150)의 가열 및/또는 냉각, 및 궁극적으로 정전 척(150) 상에 배치된 기판(W)의 온도 프로파일을 제어하는 데 이용된다. 정전 척(150) 및 베이스(148)의 온도는 복수의 온도 센서들(168, 170)을 사용하여 모니터링될 수 있다. 정전 척(150)은, 정전 척(150)의 기판 지지 페디스털 지지면에 형성되고 헬륨(He)과 같은 열 전달(또는 후면측) 가스의 소스에 유체적으로 결합된 홈들과 같은 복수의 가스 통로들(도시되지 않음)을 더 가질 수 있다. 작동 시에, 후면측 가스는 가스 통로들 내로 제어된 압력으로 제공되어 정전 척(150)과 기판(W) 사이의 열 전달을 향상시킨다.
[0026] 일 구현예에서, 기판 지지 페디스털 조립체(120)는 캐소드(cathode)로서 구성되고, 복수의 RF 바이어스 전원들(RF bias power sources)(172, 174)에 결합된 전극(152)을 포함한다. RF 바이어스 전원들(172, 174)은 기판 지지 페디스털 조립체(120)에 배치된 전극(152)과, 샤워헤드 조립체(128) 또는 챔버 본체(102)의 천장(덮개(104))과 같은 다른 전극 사이에 결합된다. RF 바이어스 전력은 챔버 본체(102)의 프로세싱 영역에 배치된 가스들로부터 형성된 플라즈마 방전을 여기하고 지속시킨다.
[0027] 도 1에 도시된 예에서, 이중 RF 바이어스 전원들(172, 174)은 매칭 회로(matching circuit)(176)를 통해, 기판 지지 페디스털 조립체(120)에 배치된 전극(152)에 결합된다. RF 바이어스 전원들(172, 174)에 의해 생성된 신호는 매칭 회로(176)를 통해서 단일 피드(feed)를 통해 기판 지지 페디스털 조립체(120)로 전달되어, ICP 에칭 챔버(100)에 제공된 가스 혼합물을 이온화시키고, 이에 의해 증착 또는 다른 플라즈마 강화 프로세스를 수행하는 데 필요한 이온 에너지를 제공한다. RF 바이어스 전원들(172, 174)은 일반적으로 약 50 kHz 내지 약 200 MHz의 주파수 및 약 0 와트 내지 약 5000 와트의 전력을 갖는 RF 신호를 생성할 수 있다. 플라즈마의 특성을 제어하기 위해, 추가적인 바이어스 전원(178)이 전극(152)에 결합될 수 있다.
[0028] 하나의 작동 모드에서, 기판(W)은 ICP 에칭 챔버(100) 내의 기판 지지 페디스털 조립체(120) 상에 배치된다. 프로세스 가스 및/또는 가스 혼합물은 가스 패널(122)로부터 샤워헤드 조립체(128)를 통해 챔버 본체(102) 내로 도입된다. 진공 펌프 시스템(114)은 증착 부산물들을 제거하면서 챔버 본체(102) 내부의 압력을 유지한다.
[0029] ICP 에칭 챔버(100)의 작동을 제어하기 위해, 제어기(180)가 ICP 에칭 챔버(100)에 결합된다. 제어기(180)는 프로세스 시퀀스를 제어하고 가스 패널(122)로부터의 가스 유동들을 조절하는 데 사용되는 중앙 프로세싱 장치(CPU)(182), 메모리(184) 및 지원 회로(186)를 포함한다. CPU(182)는 산업 환경에서 사용될 수 있는 임의의 형태의 범용 컴퓨터 프로세서일 수 있다. 소프트웨어 루틴들(software routines)은 랜덤 액세스 메모리(random access memory), 판독 전용 메모리(read only memory), 플로피(floppy) 또는 하드 디스크 드라이브(hard disk drive) 또는 다른 형태의 디지털 저장장치와 같은 메모리(184)에 저장될 수 있다. 지원 회로(186)는 통상적으로 CPU(182)에 결합되고, 캐시(cache), 클록 회로들(clock circuits), 입출력 시스템들, 전력 공급장치들 등을 포함할 수 있다. ICP 에칭 챔버(100)의 다양한 구성요소들과 제어기(180) 사이의 양방향 통신은 다수의 신호 케이블들을 통해 핸들링(handling)된다.
[0030] 도 2는 일 실시예에 따른 나노구조체(nanostructure)(300)를 형성하기 위한 방법(200)의 흐름도이다. 도 3a, 도 3b, 도 3c, 도 3d 및 도 3e는 방법(200)의 다양한 단계들에 대응하는 나노구조체(300)의 부분의 단면도이다. 방법(200)은 접점 유전체 층, 게이트 전극 층, 게이트 유전체 층, STI 절연 층, 금속간 층(inter-metal layer; IML), 또는 임의의 적합한 층들과 같은 재료 층에 피쳐들을 형성하는 데 이용될 수 있다.
[0031] 도 3a에 도시된 바와 같이, 나노구조체(300)는 기판(302), 기판(302) 상에 배치된 계면 층(304), 계면 층(304) 상에 배치된 패터닝된 맨드릴 층(306), 및 패터닝된 맨드릴 층(306)의 상부면들(310) 및 측벽들(312) 및 패터닝된 맨드릴 층(306) 내의 개구들(316)의 하부면들(314) 상에 배치된 스페이서 층(308)을 포함한다.
[0032] 기판(302)은 결정질 실리콘(예를 들어, Si<100> 또는 Si<111>), 산화실리콘, 스트레인드 실리콘(strained silicon), 실리콘 게르마늄, 도핑되거나 도핑되지 않은 폴리실리콘, 도핑되거나 도핑되지 않은 실리콘 웨이퍼들 및 패터닝되거나 패터닝되지 않은 웨이퍼들, 실리콘 온 인슐레이터(silicon on insulator; SOI), 탄소 도핑된 산화실리콘들, 질화실리콘, 도핑된 실리콘, 게르마늄, 갈륨 비소, 유리 또는 사파이어와 같은 재료일 수 있다. 기판(302)은 다양한 치수들, 예컨대 200 ㎜, 300 ㎜, 450 ㎜, 또는 다른 직경의 웨이퍼들뿐만 아니라 직사각형 또는 정사각형 패널들을 가질 수 있다.
[0033] 계면 층(304)은 산화실리콘(SiO2), 테트라-에틸-오르소실리케이트(TEOS), 산질화실리콘(SiON), 붕화실리콘(SiBx), 탄질화실리콘(SiCN), 탄화붕소(BC), 비정질 탄소, 질화붕소(BN), 탄질화붕소(BCN), 탄소 도핑된 산화물들, 다공성 이산화실리콘, 질화실리콘(SiN), 옥시탄질화물들, 중합체들, 인규산 유리, 불화규산(SiOF) 유리, 유기규산 유리(SiOCH), 다른 적합한 산화물 재료, 다른 적합한 탄화물 재료, 다른 적합한 옥시탄화물 재료, 또는 다른 적합한 산질화물 재료로 형성될 수 있다.
[0034] 맨드릴 층(306)은 비정질 탄소, 스핀-온 탄소(spin-on carbon; SoC) 또는 다른 적합한 탄소 함유 재료와 같은 탄소 함유 재료로 형성되고, 임의의 적절한 리소그래피-에칭 프로세스를 사용하여 개구들(316)을 갖도록 패터닝될 수 있다. 일부 실시예들에서, 패터닝된 맨드릴 층(306)은 약 20 ㎚ 내지 약 50 ㎚, 예를 들어 약 35 ㎚의 라인 임계 치수(CD)를 갖는다. 하나의 특정 예에서, 맨드릴 층(306)은 캘리포니아주 산타 클라라(Santa Clara)에 위치된 어플라이드 머티어리얼스 인코포레이티드에 의해 생산된 Saphira™ Advanced Patterning Film(APF) 탄소 하드마스크로 형성된다.
[0035] 스페이서 층(308)은 질화실리콘(Si3N4)과 같은 실리콘 함유 유전체 재료로 형성될 수 있다. 일부 다른 실시예들에서, 스페이서 층(308)은 붕소 도핑된 실리콘 재료, 인 도핑된 실리콘, 또는 다른 적합한 III 족, IV 족 또는 V 족 도핑된 실리콘 재료와 같은 도핑된 실리콘 함유 재료로 형성될 수 있다. 일부 실시예들에서, 스페이서 층(308)은 약 6 ㎚ 내지 약 20 ㎚의 두께를 갖는다. 스페이서 층(308)은 원자층 증착(atomic layer deposition; ALD), 화학 기상 증착(chemical vapor deposition; CVD), 스핀-온, 물리 기상 증착(physical vapor deposition; PVD) 등과 같은 임의의 적절한 증착 프로세스를 사용하여 형성될 수 있다.
[0036] 방법(200)은, 블록(210)에서, 도 3b에 도시된 바와 같이, 패터닝된 맨드릴 층(306)의 상부면들(310)로부터 스페이서 층(308)의 부분들을 부분적으로 제거하여 패터닝된 맨드릴 층(306)의 측벽들(312) 상의 스페이서 층(308)의 부분들을 남기기 위한 제1 에칭 프로세스("메인 에칭" 프로세스로도 지칭됨)에 의해 시작된다. 패터닝된 맨드릴 층(306)의 개구들(316)의 하부면들(314) 상의 스페이서 층(308)의 부분들은 또한 제1 에칭 프로세스 동안에 제거된다.
[0037] 블록(210)의 메인 에칭 프로세스는 ICP 에칭 챔버(100)와 같은 ICP 에칭 챔버에서 맨드릴 층(306)으로부터 스페이서 층(308)을 선택적으로 제거하는 제1 불소 함유 에칭 가스를 공급함으로써 수행된다. 패터닝된 맨드릴 층(306) 상의 스페이서 층(308)의 부분들에는, 제1 불소 함유 에칭 가스로부터 생성되고 패터닝된 맨드릴 층(306)의 상부면들(310)을 향해 지향되는 플라즈마 유출물들(plasma effluents)에 의해 충격이 가해진다. 플라즈마 유출물들의 방향성으로 인해, 패터닝된 맨드릴 층(306)의 측벽들 상의 스페이서 층(308)의 부분들은 에칭되지 않은 상태로 남아있다. 블록(210)의 메인 에칭 프로세스에서, 산소 함유 가스 및 불활성 가스가 제1 불소 함유 에칭 가스와 동시에 공급된다. 제1 불소 함유 에칭 가스의 적합한 예들은 플루오로포름(CHF3)을 포함한다. 산소 함유 가스의 적합한 예들은 O2, NO2, N2O, O3, SO2, COS, CO 및 CO2를 포함한다. 불활성 가스의 적합한 예들은 아르곤(Ar), 헬륨(He), 질소(N2) 또는 수소(H2)를 포함한다.
[0038] 일부 실시예들에서, 스페이서 층(308)은, 메인 에칭 프로세스 후에, 주로 이온 충격에 의한 에칭으로 인해 스페이서 층(308)의 숄더 부분들(308S)에서 테이퍼지거나 둥근 프로파일들을 나타낸다. 스페이서 층(308)의 상부 프로파일들은, 블록들(220 및 230)의 하기 프로세스들에서, 예를 들어 편평한 상부 프로파일로 변경된다.
[0039] 블록(220)에서, 도 3c에 도시된 바와 같이, 패터닝된 맨드릴 층(306)의 상부면들(310) 및 패터닝된 맨드릴 층(306)의 측벽들(312) 상에 남아있는 스페이서 층(308) 위에 오버 층(318)을 컨포멀(conformal)하게 증착하기 위한 증착 프로세스가 수행된다. 맨드릴 층(306)의 상부면들(310) 상의 스페이서 층(308)은 블록(220)의 증착 프로세스로부터 맨드릴 층(306)을 보호한다. 오버 층(318)은 또한 증착 프로세스 동안에 패터닝된 맨드릴 층(306)의 개구들(316)의 하부면들(314) 상에 증착된다. 오버 층(318)은 블록(230)의 에칭 프로세스에서 패터닝된 맨드릴 층(306) 위에 선택적으로 에칭될 수 있는 재료, 예컨대 산화실리콘(SiO2), 테트라-에틸-오르소실리케이트(TEOS), 산질화실리콘(SiON), 붕화실리콘(SiBx), 탄질화실리콘(SiCN), 탄화붕소(BC), 비정질 탄소, 질화붕소(BN), 탄질화붕소(BCN), 탄소 도핑된 산화물들, 다공성 이산화실리콘, 질화실리콘(SiN), 옥시탄질화물들, 중합체들, 인규산 유리, 불화규산(SiOF) 유리, 유기규산 유리(SiOCH), 다른 적합한 산화물 재료, 다른 적합한 탄화물 재료, 다른 적합한 옥시탄화물 재료, 또는 다른 적합한 산질화물 재료로 형성될 수 있다. 일부 실시예들에서, 오버 층(318)은 약 1 ㎚ 내지 약 3 ㎚의 두께를 갖는다. 오버 층(318)은 원자층 증착(ALD), 화학 기상 증착(CVD), 스핀-온, 물리 기상 증착(PVD) 등과 같은 임의의 적절한 증착 프로세스를 사용하여 형성될 수 있다. 블록(220)의 증착 프로세스는 ICP 에칭 챔버(100)와 같은 ICP 에칭 챔버에서 수행될 수 있다.
[0040] 블록(230)에서, 도 3d에 도시된 바와 같이, 패터닝된 맨드릴 층(306)의 상부면들(310) 및 스페이서 층(308)의 숄더 부분들(308S)로부터 오버 층(318)의 부분들을 제거하고, 이어서 스페이서 층(308)의 숄더 부분들(308S)을 제거하기 위한 제2 에칭 프로세스("오버 에칭" 프로세스로도 지칭됨)가 수행된다. 블록(230)의 오버 에칭 프로세스는 ICP 에칭 챔버(100)와 같은 ICP 에칭 챔버에서 오버 층(318) 및 스페이서 층(308)을 선택적으로 제거하는 제2 불소 함유 에칭 가스를 맨드릴 층(306)에 공급함으로써 수행된다. 패터닝된 맨드릴 층(306) 상의 오버 층(318)의 부분들 및 스페이서 층(308)의 숄더 부분들(308S)에는, 제2 불소 함유 에칭 가스로부터 생성되고 패터닝된 맨드릴 층(306)의 상부면들(310)을 향해 지향되는 플라즈마 유출물들(320)에 의해 충격이 가해진다. 플라즈마 유출물들(320)의 방향성으로 인해, 스페이서 층(308)의 측벽들 상의 오버 층(318)의 부분들은 에칭되지 않은 채로 남아있다. 제2 불소 함유 에칭 가스의 에칭 선택비(etch selectivity)로 인해, 맨드릴 층(306)은 패터닝된 맨드릴 층(306)의 측벽들 상의 스페이서 층(306)보다 느린 에칭 속도로 에칭된다. 스페이서 층(308)의 숄더 부분들(308S)은 스페이서 층(308)이 편평한 상부 프로파일을 갖도록 추가로 에칭된다. 블록(230)의 오버 에칭 프로세스 후에 스페이서 층(308)의 상부 프로파일은 ICP 에칭 챔버(100)의 원격 플라즈마 소스(132)와 같은 원격 플라즈마 소스에 공급되는 RF 바이어스 전력 및 RF 전원(134)을 조정함으로써 변경될 수 있다.
[0041] 블록(230)의 오버 에칭 프로세스에서, 산소 함유 가스 및 불활성 가스가 제2 불소 함유 에칭 가스와 동시에 공급된다. 제2 불소 함유 에칭 가스의 적합한 예들은 플루오로메탄(CH3F)을 포함한다. 산소 함유 가스의 적합한 예들은 O2, NO2, N2O, O3, SO2, COS, CO 및 CO2를 포함한다. 불활성 가스의 적합한 예들은 헬륨(He), 질소(N2), 아르곤(Ar) 및 수소(H2)를 포함한다.
[0042] 블록(240)에서, ICP 에칭 챔버(100)와 같은 ICP 에칭 챔버에서 건식 플라즈마 에칭 프로세스에 의해, 도 3e에 도시된 바와 같이 맨드릴 층(306)을 제거하기 위한 제3 에칭 프로세스가 수행된다. 스페이서 층(308)의 측벽들 상의 나머지 오버 층(318)은 또한 제3 에칭 프로세스 동안에 제거된다.
[0043] 블록(240)의 건식 플라즈마 에칭 프로세스는 산소 함유 가스, 및 아르곤(Ar), 질소(N2), 헬륨(He) 또는 수소(H2)와 같은 불활성 가스를 프로세싱 챔버에 동시에 공급함으로써 수행된다. 산소 함유 가스의 적합한 예들은 O2, NO2, N2O, O3, SO2, COS, CO 및 CO2를 포함한다. 블록(240)의 제3 에칭 프로세스 후에, 스페이서 층(308)은 편평한 상부 프로파일과 같은 원하는 상부 프로파일을 갖도록 패터닝된다.
[0044] 일부 실시예들에서, 블록(210)의 제1 에칭 프로세스(메인 에칭 프로세스), 블록(220)의 증착 프로세스, 블록(230)의 제2 에칭 프로세스(오버 에칭 프로세스), 및 블록(240)의 제3 에칭 프로세스는 동일한 ICP 에칭 챔버에서 진공 파괴(vacuum break) 없이 순차적으로 수행된다.
[0045] 본원에 설명된 실시예들에서, 편평한 상부 프로파일과 같은 원하는 상부 프로파일을 갖는 공간 층을 패터닝하기 위한 방법들이 설명되어 있다. 이 방법들은 패터닝된 맨드릴 층, 및 패터닝된 맨드릴 층의 측벽들 상에 배치된 스페이서 층 상에 오버 층을 증착하는 단계, 및 이어서 패터닝된 맨드릴 층의 상부면들 및 스페이서 층의 숄더 부분들로부터 오버 층을 선택적으로 제거하는 단계를 포함한다. 이러한 프로세스는 스페이서 층의 숄더 부분들을 에칭하여 스페이서 층의 상부 프로파일들을 변경할 수 있게 한다. 편평한 상부 프로파일을 갖는 패터닝된 스페이서 층은 감소된 비일관성들 및 비대칭성들을 제공하며, 그에 따라 패터닝된 스페이서 층이 그 아래의 활성 층으로 패턴을 전사하기 위한 하드 마스크로서 사용되는 경우, 제조된 구조체들에서 피치의 균일성이 증가된다.
[0046] 상기는 본 개시내용의 실시예들에 관한 것이지만, 본 개시내용의 다른 및 추가 실시예들이 본 개시내용의 기본 범위로부터 벗어남이 없이 고안될 수 있으며, 본 개시내용의 범위는 하기와 같은 청구범위에 의해 결정된다.

Claims (20)

  1. 금속 함유 피쳐(metal containing feature)를 형성하기 위한 방법으로서,
    패터닝된 맨드릴 층(patterned mandrel layer)의 상부면들 상에 그리고 상기 패터닝된 맨드릴 층의 측벽들 상의 스페이서 층(spacer layer) 위에 오버 층(over layer)을 컨포멀(conformal)하게 증착하는 것을 포함하는 증착 프로세스를 수행하는 단계; 및
    불소 함유 에칭 가스를 사용하여, 상기 패터닝된 맨드릴 층의 상부면들 및 상기 스페이서 층의 숄더 부분들(shoulder portions)로부터 상기 오버 층을 제거하고, 상기 스페이서 층의 숄더 부분들을 제거하는 것을 포함하는 에칭 프로세스를 수행하는 단계를 포함하는,
    금속 함유 피쳐를 형성하기 위한 방법.
  2. 제1 항에 있어서,
    상기 증착 프로세스 및 상기 에칭 프로세스는 진공 파괴(vacuum break) 없이 유도 결합 플라즈마(ICP) 에칭 챔버에서 수행되는, 금속 함유 피쳐를 형성하기 위한 방법.
  3. 제1 항에 있어서,
    상기 스페이서 층은 질화실리콘을 포함하는, 금속 함유 피쳐를 형성하기 위한 방법.
  4. 제1 항에 있어서,
    상기 패터닝된 맨드릴 층은 탄소 함유 재료를 포함하는, 금속 함유 피쳐를 형성하기 위한 방법.
  5. 제1 항에 있어서,
    상기 오버 층은 산화실리콘을 포함하는, 금속 함유 피쳐를 형성하기 위한 방법.
  6. 제1 항에 있어서,
    상기 불소 함유 에칭 가스는 플루오로메탄(CH3F)을 포함하는, 금속 함유 피쳐를 형성하기 위한 방법.
  7. 금속 함유 피쳐를 형성하기 위한 방법으로서,
    제1 불소 함유 에칭 가스를 사용하여, 패터닝된 맨드릴 층의 상부면들로부터 스페이서 층의 부분들을 제거하여, 상기 패터닝된 맨드릴 층의 측벽들 상의 스페이서 층의 부분들만을 남기는 것을 포함하는 메인 에칭 프로세스를 수행하는 단계;
    상기 패터닝된 맨드릴 층의 상부면들 상에 그리고 상기 패터닝된 맨드릴 층의 측벽들 상의 스페이서 층 위에 오버 층을 컨포멀하게 증착하는 것을 포함하는 증착 프로세스를 수행하는 단계; 및
    제2 불소 함유 에칭 가스를 사용하여, 상기 패터닝된 맨드릴 층의 상부면들 및 상기 스페이서 층의 숄더 부분들로부터 상기 오버 층을 제거하고, 상기 스페이서 층의 숄더 부분들을 제거하는 것을 포함하는 오버 에칭 프로세스를 수행하는 단계를 포함하는,
    금속 함유 피쳐를 형성하기 위한 방법.
  8. 제7 항에 있어서,
    상기 메인 에칭 프로세스, 상기 증착 프로세스 및 상기 오버 에칭 프로세스는 진공 파괴 없이 유도 결합 플라즈마(ICP) 에칭 챔버에서 수행되는, 금속 함유 피쳐를 형성하기 위한 방법.
  9. 제7 항에 있어서,
    상기 스페이서 층은 질화실리콘을 포함하는, 금속 함유 피쳐를 형성하기 위한 방법.
  10. 제7 항에 있어서,
    상기 패터닝된 맨드릴 층은 탄소 함유 재료를 포함하는, 금속 함유 피쳐를 형성하기 위한 방법.
  11. 제7 항에 있어서,
    상기 오버 층은 산화실리콘을 포함하는, 금속 함유 피쳐를 형성하기 위한 방법.
  12. 제7 항에 있어서,
    상기 제1 불소 함유 에칭 가스는 플루오로포름(CHF3)을 포함하는, 금속 함유 피쳐를 형성하기 위한 방법.
  13. 제7 항에 있어서,
    상기 제2 불소 함유 에칭 가스는 플루오로메탄(CH3F)을 포함하는, 금속 함유 피쳐를 형성하기 위한 방법.
  14. 금속 함유 피쳐를 형성하기 위한 방법으로서,
    제1 불소 함유 에칭 가스를 사용하여, 패터닝된 맨드릴 층의 상부면들로부터 스페이서 층의 부분들을 제거하여, 상기 패터닝된 맨드릴 층의 측벽들 상의 스페이서 층의 부분들만을 남기는 것을 포함하는 제1 에칭 프로세스를 수행하는 단계;
    상기 패터닝된 맨드릴 층의 상부면들 상에 그리고 상기 패터닝된 맨드릴 층의 측벽들 상의 스페이서 층 위에 오버 층을 컨포멀하게 증착하는 것을 포함하는 증착 프로세스를 수행하는 단계;
    제2 불소 함유 에칭 가스를 사용하여, 상기 패터닝된 맨드릴 층의 상부면들 및 상기 스페이서 층의 숄더 부분들로부터 상기 오버 층을 제거하고, 상기 스페이서 층의 숄더 부분들을 제거하는 것을 포함하는 제2 에칭 프로세스를 수행하는 단계; 및
    상기 패터닝된 맨드릴 층을 제거하는 것을 포함하는 제3 에칭 프로세스를 수행하는 단계를 포함하는,
    금속 함유 피쳐를 형성하기 위한 방법.
  15. 제14 항에 있어서,
    상기 제1 에칭 프로세스, 상기 증착 프로세스, 상기 제2 에칭 프로세스 및 상기 제3 에칭 프로세스는 진공 파괴 없이 유도 결합 플라즈마(ICP) 에칭 챔버에서 수행되는, 금속 함유 피쳐를 형성하기 위한 방법.
  16. 제14 항에 있어서,
    상기 스페이서 층은 질화실리콘을 포함하는, 금속 함유 피쳐를 형성하기 위한 방법.
  17. 제14 항에 있어서,
    상기 패터닝된 맨드릴 층은 탄소 함유 재료를 포함하는, 금속 함유 피쳐를 형성하기 위한 방법.
  18. 제14 항에 있어서,
    상기 오버 층은 산화실리콘을 포함하는, 금속 함유 피쳐를 형성하기 위한 방법.
  19. 제14 항에 있어서,
    상기 제1 불소 함유 에칭 가스는 플루오로포름(CHF3)을 포함하는, 금속 함유 피쳐를 형성하기 위한 방법.
  20. 제14 항에 있어서,
    상기 제2 불소 함유 에칭 가스는 플루오로메탄(CH3F)을 포함하는, 금속 함유 피쳐를 형성하기 위한 방법.
KR1020220054811A 2021-05-06 2022-05-03 편평한 상부 프로파일을 갖는 스페이서 패터닝 프로세스 KR20220151557A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US202163185335P 2021-05-06 2021-05-06
US63/185,335 2021-05-06

Publications (1)

Publication Number Publication Date
KR20220151557A true KR20220151557A (ko) 2022-11-15

Family

ID=83901529

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020220054811A KR20220151557A (ko) 2021-05-06 2022-05-03 편평한 상부 프로파일을 갖는 스페이서 패터닝 프로세스

Country Status (5)

Country Link
US (1) US20220359201A1 (ko)
KR (1) KR20220151557A (ko)
CN (1) CN117203740A (ko)
TW (1) TW202301438A (ko)
WO (1) WO2022235361A1 (ko)

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20090049524A (ko) * 2007-11-13 2009-05-18 주식회사 하이닉스반도체 스페이서를 이용한 반도체소자의 미세 패턴 형성 방법
CN103839783B (zh) * 2012-11-21 2017-06-09 中芯国际集成电路制造(上海)有限公司 自对准双重图形的形成方法
CN104022022A (zh) * 2013-02-28 2014-09-03 中芯国际集成电路制造(上海)有限公司 多重图形的形成方法
US9673059B2 (en) * 2015-02-02 2017-06-06 Tokyo Electron Limited Method for increasing pattern density in self-aligned patterning integration schemes
WO2021041366A1 (en) * 2019-08-30 2021-03-04 Mattson Technology, Inc. Spacer etching process

Also Published As

Publication number Publication date
CN117203740A (zh) 2023-12-08
WO2022235361A1 (en) 2022-11-10
TW202301438A (zh) 2023-01-01
US20220359201A1 (en) 2022-11-10

Similar Documents

Publication Publication Date Title
US11004689B2 (en) Thermal silicon etch
US10319603B2 (en) Selective SiN lateral recess
US9269587B2 (en) Methods for etching materials using synchronized RF pulses
US20150118832A1 (en) Methods for patterning a hardmask layer for an ion implantation process
US11335565B2 (en) Systems and methods to form airgaps
US20150064921A1 (en) Low temperature plasma anneal process for sublimative etch processes
US20190221441A1 (en) Methods for etching a hardmask layer
US20040256353A1 (en) Method and system for deep trench silicon etch
TWI817066B (zh) 用於蝕刻用於半導體應用的材料層的方法
US20220189771A1 (en) Underlayer film for semiconductor device formation
KR20220151557A (ko) 편평한 상부 프로파일을 갖는 스페이서 패터닝 프로세스
US20240162057A1 (en) Spacer patterning process with flat top profile
US10872778B2 (en) Systems and methods utilizing solid-phase etchants
WO2020215183A1 (en) Methods for etching a material layer for semiconductor applications
JP4128365B2 (ja) エッチング方法及びエッチング装置
US20220285167A1 (en) Selective barrier metal etching
TW202412087A (zh) 高選擇性氧化矽移除之方法