CN117203740A - 具有平坦顶部轮廓的间隔物图案化工艺 - Google Patents

具有平坦顶部轮廓的间隔物图案化工艺 Download PDF

Info

Publication number
CN117203740A
CN117203740A CN202280031191.0A CN202280031191A CN117203740A CN 117203740 A CN117203740 A CN 117203740A CN 202280031191 A CN202280031191 A CN 202280031191A CN 117203740 A CN117203740 A CN 117203740A
Authority
CN
China
Prior art keywords
layer
spacer layer
patterned mandrel
fluorine
patterned
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202280031191.0A
Other languages
English (en)
Inventor
李超
李吉恩
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN117203740A publication Critical patent/CN117203740A/zh
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Inorganic Chemistry (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

一种用于形成含金属特征的方法,该方法包括执行沉积工艺,该沉积工艺包含在经图案化心轴层的顶表面上且在经图案化心轴层的侧壁上的间隔物层上方保形地沉积覆盖层;以及执行蚀刻工艺,该蚀刻工艺包含使用含氟蚀刻气体从经图案化心轴层的顶表面及间隔物层的肩部去除覆盖层以及去除间隔物层的肩部。

Description

具有平坦顶部轮廓的间隔物图案化工艺
背景
领域
本公开内容的实例通常涉及用于形成半导体结构的方法。特定言之,本公开内容的实施方式提供用于图案化待在自对准多图案化(self-aligned multi-patterning;SAMP)工艺中使用的间隔物层的方法。
相关技术的描述
自对准光刻工艺技术在先进技术节点中起到重要作用。对于以5-nm及以下节点的致密金属层,已经利用了自对准多重图案化(SAMP)工艺,诸如自对准双重图案化(self-aligned double patterning;SADP)、自对准四重图案化(self-aligned quadruplepatterning;SAQP),及自对准光刻蚀刻光刻蚀刻(self-aligned litho-etch-litho-etch;SALELE)工艺。
通常,在该SAMP工艺中,间隔物层经沉积在预定特征(通常称为心轴)上且随后经回蚀以使得心轴侧壁上的间隔物部分保留。然后,心轴可经蚀刻掉,为心轴的每一边缘留下两个间隔物。然而,此传统间隔物图案化工艺通常在经图案化间隔物中产生非对称轮廓。例如,经图案化间隔物可在顶部具有圆形肩部。经图案化间隔物中的不一致及非对称可能影响图案转移,如此可能导致下游工艺中的均匀性不良及间距偏移(即,间距变化)。
因此,需要一种用于图案化具有期望顶部轮廓,诸如平坦顶部轮廓的间隔物的方法。
概述
本公开内容的实施方式提供一种用于形成含金属特征的方法。该方法包括执行沉积工艺,该沉积工艺包含在经图案化心轴层的顶表面上且在经图案化心轴层的侧壁上的间隔物层上方保形地沉积覆盖层,以及执行蚀刻工艺,该蚀刻工艺包含使用含氟蚀刻气体从经图案化心轴层的顶表面及间隔物层的肩部去除覆盖层以及去除间隔物层的肩部。
本公开内容的之实施方式还提供一种用于形成含金属特征的方法。该方法包括执行主蚀刻工艺,该主蚀刻工艺包括使用第一含氟蚀刻气体从经图案化心轴层的顶表面去除间隔物层的部分,仅在经图案化心轴层的侧壁上留下间隔物层的部分;执行沉积工艺,该沉积工艺包括在图案化心轴层的顶表面上且在图案化心轴层的侧壁上的间隔物层之上保形地沉积覆盖层;以及执行过蚀刻工艺,该过蚀刻工艺包含使用第二含氟蚀刻气体从图案化心轴层的顶表面和间隔物层的肩部去除覆盖层并且去除间隔物层的肩部。
本发明的实施方式进一步提供了一种形成含金属特征的方法。该方法包括执行第一蚀刻工艺,该第一蚀刻工艺包含使用第一含氟蚀刻气体从图案化心轴层的顶表面去除间隔物层的部分,仅在图案化心轴层的侧壁上留下间隔物层的部分;执行沉积工艺,该沉积工艺包含在图案化心轴层的顶表面上和在图案化心轴层的侧壁上的间隔物层之上保形地沉积覆盖层;执行第二蚀刻工艺,该第二蚀刻工艺包含使用第二含氟蚀刻气体从图案化心轴层的顶表面和间隔物层的肩部去除覆盖层以及去除间隔物层的肩部;以及执行第三蚀刻工艺,该第三蚀刻工艺包含去除图案化心轴层。
附图简要说明
以能够详细理解本公开内容的实施方式的上述特征的方式,可经由参考实施方式获得简要概述于上文的本公开内容的更特定描述,所述实施方式的一些实施方式图示于附图中。然而,应将注意,附图仅图示本公开内容的典型实施方式并且因此不被视为限制本公开内容的范围的范围,因为本案可允许其他同等有效的实施方式。
图1图示根据一个实施方式可用于执行图案化工艺的电感耦合等离子体(inductively coupled plasma;ICP)蚀刻腔室。
图2图示根据一个实施方式的形成纳米结构的方法的流程图。
图3A、图3B、图3C、图3D及图3E为根据一个实施方式的纳米结构的一部分的横截面图。
为了促进理解,在可能的情况下,已使用相同的附图标记来指示诸图共享的相同元件。可以预期,一个实施方式中公开的元件可有利地用于其他实施方式,而无需特定叙述。
具体描述
本文所述的实施方式提供用于形成半导体结构的方法。更特定言之,本公开内容的实施方式提供用于图案化具有期望顶部轮廓,诸如平坦顶部轮廓的间隔物层的方法。该图案化间隔物层提供减少的不一致性及不对称性,因此当图案化间隔物层用作硬掩模以将图案转移至下方的有效层时,在经制造结构中的间距的均匀性增加。本文提供的方法包括在设置于图案化心轴层的侧壁上和图案化心轴层上的间隔物层上沉积覆盖层,并且随后从图案化心轴层的顶表面和间隔物层的肩部选择性地去除覆盖层。该工艺允许蚀刻间隔物层的肩部以改质间隔物层的顶部轮廓,例如,修改为平坦顶部轮廓。
图1为适用于执行蚀刻工艺的电感耦合等离子体(ICP)蚀刻腔室100的一个实例的截面图。可经调适用于本文公开的方法的适当ICP蚀刻腔室包括电感耦合等离子体反应离子蚀刻(inductively coupled plasma reactive ion etching;ICP-RIE)腔室,例如可从加利福尼亚州圣克拉拉市的Applied Materials,Inc.获得的SYM3TM蚀刻腔室。尽管ICP蚀刻腔室100经图示为包括实现优越蚀刻性能的多个特征,但可以预期,其他ICP蚀刻腔室可经调适以受益于本文公开的本发明的特征的一者或多者。
ICP蚀刻腔室100包括围封内部空间106的腔室主体102及盖体104。腔室主体102通常由铝、不锈钢或其他适当的材料制成。腔室主体102通常包括侧壁108及底部110。基板支撑基座进出端口(未图示)通常界定在侧壁108中并由狭缝阀选择性地密封以促进基板W从ICP蚀刻腔室100进出。排气口112经界定在腔室主体102中并且将内部空间106耦接至真空泵系统114。真空泵系统114通常包括一个或多个泵及节流阀,该泵及节流阀用于排空且调节ICP蚀刻腔室100的内部空间106的压力。
盖体104经密封地支撑在腔室主体102的侧壁108上。盖体104经打开以允许进出ICP蚀刻腔室100的内部空间106。盖体104包括促进光学工艺监测的窗口116。在一个实施中,窗口116由石英或其他适当的材料构成,该材料对安装在ICP蚀刻腔室100外部的光学监测系统118使用的信号可传输。
光学监测系统118经定位成穿过窗口116观察腔室主体102的内部空间106和/或定位在基板支撑底座组件120上的基板W中的至少一者。在一个实施方式中,光学监测系统118经耦合至盖体104并促进集成沉积工艺,该工艺使用光学计量来提供信息,该信息使得能够进行工艺调整以补偿传入的基板图案特征的不一致性(例如厚度等),并根据需要提供工艺状态监测(如等离子体监测、温度监测等)。一种可适用于从本公开内容中受益的光学监测系统为可从加利福尼亚州圣克拉拉市的Applied Materials,Inc.获得的全光谱干涉计量模块。
气体面板122经耦接至ICP蚀刻腔室100以提供工艺和/或清洁气体至内部空间106。在图1中所示的实例中,入口端口124、126设置在盖体104中以允许气体从气体面板122输送至ICP蚀刻腔室100的内部空间106。在一个实施中,气体面板122适于穿过入口端口124、126提供氟化处理气体并进入ICP蚀刻腔室100的内部空间106。
喷头组件128经耦接至盖体104的内表面130。喷头组件128包括多个孔,该多个孔允许气体横跨在ICP蚀刻腔室100中正经处理的基板W的表面,以预定分布从入口端口124、126流过喷头组件128至ICP蚀刻腔室100的内部空间106中。
远程等离子体源132可视情况地耦合至气体面板122以促进在进入内部空间106进行处理之前从远程等离子体解离气体混合物。射频功率源134经由匹配网络136耦接至喷头组件128。射频功率源134通常能够在从大约50kHz至大约200Mhz的范围内的可调谐频率下产生高达大约3000W的功率。
喷头组件128另外包括可透射光学计量信号的区域。光学透射区域或通道138适合于允许光学监测系统118观察内部空间106和/或定位在基板支撑基座组件120上的基板W。通道138可为形成或设置于喷头组件128中的一种材料、孔或多个孔,其大体上可透射由光学监测系统118产生并反射回光学监测系统118的能量波长。
在一个实施中,喷头组件128经配置有多个区域,所述区域允许单独控制流入ICP蚀刻腔室100的内部空间106的气体。在图1所示的实例中,喷头组件128具有内部区域140及外部区域142,该内部区域及外部区域经由单独的入口端口124、126分别耦接至气体面板122。
基板支撑基座组件120经设置在ICP蚀刻腔室100的气体分配(喷头)组件128之下的内部空间106中。基板支撑基座组件120在处理期间保持基板W。基板支撑基座组件120通常包括穿过其中设置的多个升降杆(未图示),该升降杆经配置以将基板W从基板支撑底座组件120上升举并且促进以传统方式与机器人(未图示)交换基板W。内衬垫144可紧密地围绕基板支撑基座组件120的周边。
在一个实施中,基板支撑基座组件120包括安装板146、底座148及静电卡盘150。安装板146耦接至腔室主体102的底部110,并且包括用于将公共设施(例如,流体管线、电源线、传感器引线等)路由至基座148及静电卡盘150的通道。静电卡盘150包括用于将基板W保持在喷头组件128下方的夹持电极152。静电卡盘150由卡盘功率源154驱动以产生将基板W保持在卡盘表面的静电力,如常规已知的。或者,基板W可通过夹持、真空或重力固持至基板支撑基座组件120。
底座148或静电卡盘150中的至少一者可包括至少一个可选的嵌入式加热器156、至少一个可选的嵌入式隔离器158及多个导管160、162以控制基板支撑基座组件120的横向温度分布。导管160、162经流体耦接至流体源164,该流体源穿过导管160、162循环温度调节流体。加热器156由功率源166调节。导管160、162及加热器156用于控制底座148的温度,从而加热和/或冷却静电卡盘150,并最终控制设置在上面的基板W的温度分布。可使用多个温度传感器168、170监测静电卡盘150及底座148的温度。静电卡盘150可进一步具有多个气体通道(未图标),诸如凹槽,所述气体通道形成在静电卡盘150的基板支撑基座支撑表面中并且流体耦合至热传递(或背侧)气体源,诸如氦气(He)源。在操作中,背侧气体以受控压力提供至气体通道中,以增强静电卡盘150与基板W之间的热传递。
在一个实施中,基板支撑基座组件120经配置为阴极并且包括耦合至多个射频偏压功率源172、174的电极152。射频偏压功率源172、174耦合在设置于基板支撑基座组件120中的电极152与另一电极之间,该另一电极诸如喷头组件128或腔室主体102的顶板(盖体104)。射频偏压功率激发并维持由设置在腔室主体102的处理区域中的气体形成的等离子体放电。
在图1中所示的实例中,双射频偏压功率源172、174经由匹配电路176耦合至安置在基板支撑底座组件120中的电极152。由射频偏压功率源172、174产生的信号经由匹配电路176通过单次馈送传送至基板支撑基座组件120,以离子化ICP蚀刻腔室100中提供的气体混合物,从而提供用于执行沉积或其他等离子体增强工艺所需的离子能量。射频偏压功率源172、174通常能够产生具有从大约50kHz至大约200MHz的频率和在大约0瓦至大约5000瓦之间的功率的射频信号。额外的偏压功率源178可经耦合至电极152以控制等离子体的特性。
在一个操作模式中,基板W经设置在ICP蚀刻腔室100中的基板支撑基座组件120上。处理气体和/或气体混合物经由喷头组件128从气体面板122引入腔室主体102。真空泵系统114保持腔室主体102内部的压力,同时去除沉积副产物。
控制器180经耦合至ICP蚀刻腔室100以控制ICP蚀刻腔室100的操作。控制器180包括中央处理单元(central processing unit;CPU)182、存储器184及支持电路186,用于控制工艺序列且调节来自气体面板122的气流。CPU 182可为可在工业环境中使用的任何形式的通用计算机处理器。软件例程可存储于存储器184中,例如随机存取存储器、只读存储器、软盘或硬盘驱动器或其他形式的数字储存器。支持电路256通常耦接至CPU 182并且可包括高速缓存、时钟电路、输入/输出系统、电源等等。控制器180与ICP蚀刻腔室100的各个部件之间的双向通信经由许多信号电缆来处置的。
图2为根据一个实施方式的用于形成纳米结构300的方法200的流程图。图3A、图3B、图3C、图3D及图3E为对应于方法200的各个阶段的纳米结构300的一部分的横截面图。方法200可用于在材料层中形成特征,该材料层诸如接触介电层、栅极电极层、栅极介电层、浅沟槽隔离(shallow trench isolation;STI)绝缘层、金属间层(inter-metal layer;IML)或任何合适的层。
如图3A中所示,纳米结构300包括基板302、设置在基板302上的界面层304、安置在界面层304上的图案化心轴层306,及间隔物层308,该间隔物层设置在图案化心轴层306的顶表面310及侧壁312上以及图案化心轴层306之内的开口316的顶表面314上。
基板302可包括材料,诸如结晶硅(例如,Si<100>或Si<111>)、氧化硅、应变硅、硅锗、掺杂或未掺杂的多晶硅、掺杂或未掺杂的硅晶片及图案化或非图案化的晶片、绝缘体上硅(silicon on insulator;SOI)、碳掺杂的氧化硅、氮化硅、掺杂硅、锗、砷化镓、玻璃,或蓝宝石。基板302可具有各种尺寸,诸如200mm、300mm、450mm或其他直径的晶片,以及矩形或方形面板。
界面层304可由氧化硅(SiO2)、原硅酸四乙酯(TEOS)、氮氧化硅(SiON)、硼化硅(SiBx)、碳氮化硅(SiCN)、碳化硼(BC)、非晶碳、氮化硼(BN)、碳氮化硼(BCN)、碳掺杂氧化物、多孔二氧化硅、氮化硅(SiN)、碳氮氧化物、聚合物、磷硅酸盐玻璃、氟硅酸盐(SiOF)玻璃、有机硅酸盐玻璃(SiOCH)、其他适当的氧化物材料、其他适当的碳化物材料、其他适当的碳氧化物材料或其他适当的氮氧化物材料形成。
心轴层306可由诸如非晶碳、旋涂碳(SoC)或其他适当的含碳材料的含碳材料形成,并且用通过使用任何合适的光刻及蚀刻工艺用开口316图案化。在一些实施方式中,图案化心轴层306具有约20nm及约50nm,例如约35nm的线临界尺寸(critical dimension;CD)。在一特定实例中,心轴层306由位于加利福尼亚州圣克拉拉市的Applied Materials,Inc.生产的SaphiraTM高级图案化膜(Advanced Patterning Film;APF)碳硬掩模形成。
间隔物层308可由含硅的介电材料,诸如氮化硅(Si3N4)形成。在一些其他实施方式中,间隔物层308可由掺杂的含硅材料形成,例如硼掺杂的硅材料、磷掺杂的硅或其他合适的III族、IV族或V族掺杂的硅材料。在一些实施方式中,间隔物层308具有约6nm与约20nm之间的厚度。间隔物层308可使用任何适当的沉积工艺形成,诸如原子层沉积(atomic layerdeposition;ALD)、化学气相沉积(chemical vapor deposition;CVD)、旋涂、物理气相沉积(physical vapor deposition;PVD)等。
方法200在方块210中通过第一蚀刻工艺(也称为“主蚀刻”工艺)开始,以从图案化心轴层306的顶表面310部分去除间隔物层308的部分;在图案化心轴层306的侧壁312上留下间隔物层308的部分,如图3B中所示。图案化心轴层306的开口316的底表面314上的部分间隔物层308也在第一蚀刻工艺期间得以去除。
方块210中的主蚀刻工艺通过供应第一含氟蚀刻气体来执行,该蚀刻气体在诸如ICP蚀刻腔室100的ICP蚀刻腔室中从心轴层306选择性地去除间隔物层308。图案化心轴层306上的间隔物层308的部分由从第一含氟蚀刻气体产生,并且经引导朝向图案化心轴层306的顶表面310的等离子体流出物轰击。归因于等离子体流出物的方向性,图案化心轴层306的侧壁上的间隔物层308的部分保持未蚀刻。在方块210的主蚀刻工艺中,含氧气体及惰性气体与第一含氟蚀刻气体同时供应。第一含氟蚀刻气体的适当实例包括氟仿(CHF3)。含氧气体的适当实例包括O2、NO2、N2O、O3、SO2、COS、CO和CO2。惰性气体的适当实例包括氩气(Ar)、氦气(He)、氮气(N2)或氢气(H2)。
在一些实施方式中,归因于主要经由离子轰击进行的蚀刻,在主蚀刻工艺之后,间隔物层308在间隔物层308的肩部308S中呈现出锥形或圆形轮廓。在方块220和230中的以下工艺中,将间隔物层308的顶部轮廓修改为例如平坦顶部轮廓。
在方块220中,执行沉积工艺以在间隔物层308上方保形地沉积覆盖层318,该间隔物层308保留在图案化心轴层306的顶表面310和图案化心轴层306的侧壁312上,如图3C中所示。在方块220中,心轴层306的顶表面310上的间隔物层308保护心轴层306免受沉积工艺的影响。在沉积工艺期间,覆盖层318还沉积在图案化心轴层306的开口316的底表面314上。覆盖层318可由可在方块230的蚀刻工艺中于图案化心轴层306上方选择性地蚀刻的材料形成,该材料诸如氧化硅(SiO2)、原硅酸四乙酯(TEOS)、氮氧化硅(SiON)、硼化硅(SiBx)、碳氮化硅(SiCN)、碳化硼(BC)、非晶碳、氮化硼(BN)、碳氮化硼(BCN)、碳掺杂氧化物、多孔二氧化硅、氮化硅(SiN)、碳氮氧化物、聚合物、磷硅酸盐玻璃、氟硅酸盐(SiOF)玻璃、有机硅酸盐玻璃(SiOCH)、其他适当的氧化物材料、其他适当的碳化物材料、其他适当的碳氧化物材料或其他适当的氮氧化物材料。在一些实施方式中,覆盖层318具有约1nm与约3nm之间的厚度。覆盖层318可使用任何适当的沉积工艺形成,诸如原子层沉积(ALD)、化学气相沉积(CVD)、旋涂、物理气相沉积(PVD)等。方块220中的沉积工艺可在ICP蚀刻腔室,诸如ICP蚀刻腔室100中执行。
在方块230中,执行第二蚀刻工艺(也称为“过蚀刻”工艺)以从图案化心轴层306的顶表面310及间隔物层的肩部308S去除部分覆盖层318,且随后去除间隔物层308的肩部308S,如图3D中所示。方块230中的过蚀刻工艺通过向ICP蚀刻腔室(诸如ICP蚀刻腔室100)中的心轴层306供应第二含氟蚀刻气体来执行,该第二含氟蚀刻气体选择性地去除覆盖层318及间隔物层308。图案化心轴层306上的覆盖层318的部分及间隔物层308的肩部由从第二含氟蚀刻气体产生,并且经引导朝向图案化心轴层306的顶表面310的等离子体流出物320轰击。归因于等离子体流出物320的方向性,间隔物层308的侧壁上的覆盖层318的部分保持未蚀刻。归因于第二含氟蚀刻气体的蚀刻选择性,心轴层306以比图案化心轴层306侧壁上的间隔物层306更慢的蚀刻速率被蚀刻。间隔物层308的肩部308S经进一步蚀刻,以使得间隔物层308具有平坦的顶部轮廓。在方块230中的过蚀刻工艺之后,间隔物层308的顶部轮廓可通过调整提供给远程等离子体源(诸如ICP蚀刻腔室100的远程等离子体源132)的射频源功率134及射频偏压功率来修改。
在方块230中的过蚀刻工艺中,含氧气体及惰性气体与第二含氟蚀刻气体同时供应。第二含氟蚀刻气体的适当实例包括氟甲烷(CH3F)。含氧气体的适当实例包括O2、NO2、N2O、O3、SO2、COS、CO和CO2。惰性气体的适当实例包括氦气(He)、氮气(N2)、氩气(Ar)和氢气(H2)。
在方块240中,在诸如ICP蚀刻腔室100的ICP蚀刻腔室中,通过干式等离子体蚀刻工艺执行第三蚀刻工艺以去除如图3E中所示的心轴层306。在第三蚀刻工艺期间,还去除在间隔物层308的侧壁上的剩余覆盖层318。
通过在处理腔室中同时供应含氧气体与惰性气体,诸如氩气(Ar)、氮气(N2)、氦气(He)或氢气(H2),来执行方块240中的干式等离子体蚀刻工艺。含氧气体的适当实例包括O2、NO2、N2O、O3、SO2、COS、CO和CO2。在方块240中的第三蚀刻工艺之后,间隔物层308经图案化为具有期望的顶部轮廓,例如平坦的顶部轮廓。
在一些实施方式中,方块210中的第一蚀刻工艺(主蚀刻工艺)、方块220中的沉积工艺、方块230中的第二蚀刻工艺(过蚀刻工艺)和方块240中的第三蚀刻工艺是在无真空中断的情况下于同一ICP蚀刻腔室中作为一序列执行。
在本文所述的实施方式中,描述了用于图案化具有所需顶部轮廓(诸如平坦顶部轮廓)的间隔物层的方法。该方法包括在设置于图案化心轴层的侧壁上和图案化心轴层上的间隔物层上沉积覆盖层,并且随后从图案化心轴层的顶表面和间隔物层的肩部选择性地去除覆盖层。该工艺允许蚀刻间隔物层的肩部以修改间隔物层的顶部轮廓。具有平坦顶部轮廓的图案化间隔物层提供减少的不一致性及不对称性,因此当图案化间隔物层用作硬掩模以将图案转移至下方的有效层时,在经制造结构中的间距的均匀性增加。
虽然前述内容涉及本公开内容的各个实施方式,但是可在不背离本案的基本范围的情况下设计本公开内容的其他及进一步实施方式,且本发明的范围由随附的权利要求书确定。

Claims (20)

1.一种用于形成含金属特征的方法,所述方法包含以下步骤:
执行沉积工艺,所述沉积工艺包含在图案化心轴层的顶表面上且在所述图案化心轴层的侧壁上的间隔物层之上保形地沉积覆盖层;和
执行蚀刻工艺,所述蚀刻工艺包含使用含氟蚀刻气体从所述图案化心轴层的所述顶表面和所述间隔物层的肩部去除所述覆盖层并且去除所述间隔物层的所述肩部。
2.如权利要求1所述的方法,其中所述沉积工艺及所述蚀刻工艺是在无真空中断的情况下于电感耦合等离子体(ICP)蚀刻腔室中执行。
3.如权利要求1所述的方法,其中所述间隔物层包含氮化硅。
4.如权利要求1所述的方法,其中所述图案化心轴层包含含碳材料。
5.如权利要求1所述的方法,其中所述覆盖层包含氧化硅。
6.如权利要求1所述的方法,其中所述含氟蚀刻气体包含氟甲烷(CH3F)。
7.一种用于形成含金属特征的方法,所述方法包含以下步骤:
执行主蚀刻工艺,所述主蚀刻工艺包含使用第一含氟蚀刻气体从经图案化心轴层的顶表面去除间隔物层的部分,仅在所述经图案化心轴层的侧壁上留下所述间隔物层的部分;
执行沉积工艺,所述沉积工艺包含在所述图案化心轴层的所述顶表面上且在所述图案化心轴层的所述侧壁上的所述间隔物层之上保形地沉积覆盖层;和
执行过蚀刻工艺,所述过蚀刻工艺包含使用第二含氟蚀刻气体从所述图案化心轴层的所述顶表面和所述间隔物层的肩部去除所述覆盖层并且去除所述间隔物层的所述肩部。
8.如权利要求7所述的方法,其中所述主蚀刻工艺、所述沉积工艺及所述过蚀刻工艺是在无真空中断的情况下于电感耦合等离子体(ICP)蚀刻腔室中执行。
9.如权利要求7所述的方法,其中所述间隔物层包含氮化硅。
10.如权利要求7所述的方法,其中所述图案化心轴层包含含碳材料。
11.如权利要求7所述的方法,其中所述覆盖层包含氧化硅。
12.如权利要求7所述的方法,其中所述第一含氟蚀刻气体包含氟仿(CHF3)。
13.如权利要求7所述的方法,其中所述第二含氟蚀刻气体包含氟甲烷(CH3F)。
14.一种用于形成含金属特征的方法,所述方法包含以下步骤:
执行第一蚀刻工艺,所述第一蚀刻工艺包含使用第一含氟蚀刻气体从图案化心轴层的顶表面去除一间隔物层的部分,仅在所述经图案化心轴层的侧壁上留下所述间隔物层的部分;
执行沉积工艺,所述沉积工艺包含在所述图案化心轴层的所述顶表面上且在所述图案化心轴层的所述侧壁上的所述间隔物层之上保形地沉积覆盖层;
执行第二蚀刻工艺,所述第二蚀刻工艺包含使用第二含氟蚀刻气体从所述图案化心轴层的所述顶表面和所述间隔物层的肩部去除所述覆盖层并且去除所述间隔物层的所述肩部;和
执行第三蚀刻工艺,所述第三蚀刻工艺包含去除所述图案化心轴层。
15.如权利要求14所述的方法,其中所述第一蚀刻工艺、所述沉积工艺、所述第二蚀刻工艺,及所述第三蚀刻工艺是在无中断的情况下于电感耦合等离子体(ICP)蚀刻腔室中执行。
16.如权利要求14所述的方法,其中所述间隔物层包含氮化硅。
17.如权利要求14所述的方法,其中所述图案化心轴层包含含碳材料。
18.如权利要求14所述的方法,其中所述覆盖层包含氧化硅。
19.如权利要求14所述的方法,其中所述第一含氟蚀刻气体包含氟仿(CHF3)。
20.如权利要求14所述的方法,其中所述第二含氟蚀刻气体包含氟甲烷(CH3F)。
CN202280031191.0A 2021-05-06 2022-04-04 具有平坦顶部轮廓的间隔物图案化工艺 Pending CN117203740A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US202163185335P 2021-05-06 2021-05-06
US63/185,335 2021-05-06
PCT/US2022/023294 WO2022235361A1 (en) 2021-05-06 2022-04-04 Spacer patterning process with flat top profile

Publications (1)

Publication Number Publication Date
CN117203740A true CN117203740A (zh) 2023-12-08

Family

ID=83901529

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202280031191.0A Pending CN117203740A (zh) 2021-05-06 2022-04-04 具有平坦顶部轮廓的间隔物图案化工艺

Country Status (5)

Country Link
US (1) US20220359201A1 (zh)
KR (1) KR20220151557A (zh)
CN (1) CN117203740A (zh)
TW (1) TW202301438A (zh)
WO (1) WO2022235361A1 (zh)

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20090049524A (ko) * 2007-11-13 2009-05-18 주식회사 하이닉스반도체 스페이서를 이용한 반도체소자의 미세 패턴 형성 방법
CN103839783B (zh) * 2012-11-21 2017-06-09 中芯国际集成电路制造(上海)有限公司 自对准双重图形的形成方法
CN104022022A (zh) * 2013-02-28 2014-09-03 中芯国际集成电路制造(上海)有限公司 多重图形的形成方法
US9673059B2 (en) * 2015-02-02 2017-06-06 Tokyo Electron Limited Method for increasing pattern density in self-aligned patterning integration schemes
WO2021041366A1 (en) * 2019-08-30 2021-03-04 Mattson Technology, Inc. Spacer etching process

Also Published As

Publication number Publication date
WO2022235361A1 (en) 2022-11-10
TW202301438A (zh) 2023-01-01
KR20220151557A (ko) 2022-11-15
US20220359201A1 (en) 2022-11-10

Similar Documents

Publication Publication Date Title
KR102283949B1 (ko) 주기적 에칭 프로세스를 이용하여 에칭 스톱 층을 에칭하기 위한 방법들
US9269587B2 (en) Methods for etching materials using synchronized RF pulses
KR20160111508A (ko) 듀얼 다마신 구조에서 유전체 배리어 층을 에칭하기 위한 방법들
US10497578B2 (en) Methods for high temperature etching a material layer using protection coating
US20150118832A1 (en) Methods for patterning a hardmask layer for an ion implantation process
US20150079799A1 (en) Method for stabilizing an interface post etch to minimize queue time issues before next processing step
US20150064921A1 (en) Low temperature plasma anneal process for sublimative etch processes
US11335565B2 (en) Systems and methods to form airgaps
US11127599B2 (en) Methods for etching a hardmask layer
US10818507B2 (en) Method of etching silicon nitride layers for the manufacture of microelectronic workpieces
US20220189771A1 (en) Underlayer film for semiconductor device formation
CN114885614B (zh) 用于蚀刻用于半导体应用的材料层的方法
US20210066064A1 (en) Methods and apparatus for cleaning metal contacts
CN117203740A (zh) 具有平坦顶部轮廓的间隔物图案化工艺
US20240162057A1 (en) Spacer patterning process with flat top profile
US10872778B2 (en) Systems and methods utilizing solid-phase etchants
WO2020215183A1 (en) Methods for etching a material layer for semiconductor applications
US20230066543A1 (en) Fully self aligned via integration processes

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination