KR20210110750A - 질화규소 스페이서의 선택성 에칭 동안 프로파일 제어를 개선시키는 방법 - Google Patents

질화규소 스페이서의 선택성 에칭 동안 프로파일 제어를 개선시키는 방법 Download PDF

Info

Publication number
KR20210110750A
KR20210110750A KR1020217027546A KR20217027546A KR20210110750A KR 20210110750 A KR20210110750 A KR 20210110750A KR 1020217027546 A KR1020217027546 A KR 1020217027546A KR 20217027546 A KR20217027546 A KR 20217027546A KR 20210110750 A KR20210110750 A KR 20210110750A
Authority
KR
South Korea
Prior art keywords
sin
layer
reaction chamber
sin layer
plasma
Prior art date
Application number
KR1020217027546A
Other languages
English (en)
Other versions
KR102580483B1 (ko
Inventor
시앙유 구오
제임스 로이어
벤카테스와라 알. 팔렘
나탄 스태포드
Original Assignee
레르 리키드 쏘시에떼 아노님 뿌르 레뜌드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드
레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 레르 리키드 쏘시에떼 아노님 뿌르 레뜌드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드, 레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 filed Critical 레르 리키드 쏘시에떼 아노님 뿌르 레뜌드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드
Priority to KR1020237030653A priority Critical patent/KR20230135156A/ko
Publication of KR20210110750A publication Critical patent/KR20210110750A/ko
Application granted granted Critical
Publication of KR102580483B1 publication Critical patent/KR102580483B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31058After-treatment of organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823468MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6653Unipolar field-effect transistors with an insulated gate, i.e. MISFET using the removal of at least part of spacer, e.g. disposable spacer

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Ceramic Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)

Abstract

순환 에칭 방법은 i) 반응 챔버에서 기판 상에 구조물을 피복하는 SiN 층을 하이드로플루오로카본(HFC)의 플라즈마에 노출시켜 SiN 층의 표면을 개질하는 SiN 층 상에 침착된 중합체 층을 형성하는 단계로서, HFC는 화학식 CxHyFz(식 중, x는 2 내지 5이고, y > z이다)를 갖고, HFC는 포화 또는 불포화, 선형 또는 환형 HFC인 단계, ii) SiN 층 상에 침착된 중합체 층을 비활성 가스의 플라즈마에 노출시켜, 비활성 가스의 플라즈마가 SiN 층 상에 침착된 중합체 층 및 에칭 프런트 상의 SiN 층의 개질된 표면을 제거하는 단계, 및 iii) 에칭 프런트 상의 SiN 층이 선택적으로 제거될 때까지 단계 i) 및 단계 ii)를 반복하여, 이에 의해 구조물의 측벽 상에 SiN 층을 포함하는 실질적으로 수직으로 직립한 SiN 스페이서를 형성하는 단계를 포함한다.

Description

질화규소 스페이서의 선택성 에칭 동안 프로파일 제어를 개선시키는 방법
관련 출원의 상호 참조
본 출원은 2019년 2월 1일자로 출원된 미국 특허 출원 제16/265,782호의 이익을 주장하며, 모든 목적을 위해 그 전체 내용이 본 명세서에 참고로서 포함된다.
기술분야
반도체 응용에서 스페이서 패턴화를 위한 순환 원자 층 에칭(atomic layer etch)(ALE) 방법이 개시되어 있다. 특히, 하이드로플루오로카본(HFC) 가스를 사용하여 수직으로 직립한 질화규소(SiN) 스페이서를 형성하기 위한 순환 ALE 공정이 개시되어 있다. 개시된 HFC 가스는 SiN을 선택적으로 플라즈마 에칭하기 위해 포화 또는 불포화, 선형 또는 환형인 화학식 CxHyFz(식 중, x는 2 내지 5이고, y > z이다)를 갖는다.
반도체 디바이스의 지속적인 크기 축소는 반도체 제조 공정에 더욱 더 많은 과제를 제기한다. 14 nm 미만의 기술 노드에 있어서, 가장 중요한 단계 중 하나는 스페이서 에칭이다. 이는 규소 및 산화규소와 같은 노출된 재료를 손상시키거나 소비시키지 않으면서 완벽한 비등방성 에칭(임계 치수(CD) 손실 없음)을 요구한다. 이는 보통 플루오로카본계 화학을 사용하는 플라즈마 에칭에 의해 수행된다. 그러나, 진보된 기술 노드와 관련하여 종횡비가 증가함에 따라, 통상적인 에칭 공정에 의해 프로파일 제어(예를 들어, 푸팅(footing) 및 표면 조도), 기저 층에 대한 무-손상, CD 제어 등과 같은 에칭 사양을 달성할 수 없게 되었다.
산업에서, SiN 에칭을 위한 표준 에칭 공정은 산화제 및/또는 희가스와 조합된 HFC, 예를 들어 산화제(예를 들어, O2), 희가스(예를 들어, Ar 또는 He) 및 때때로 추가의 F 또는 H 함유 가스(예를 들어, CH4 또는 CF4)와 조합된 CH3F를 사용한다. 그러나, 에칭 선택성, 프로파일 제어 및 기저 층에 대한 손상 간에 균형을 유지하기가 곤란하다. SiN 에칭에 대한 이전의 특허들은 프로파일 제어에 관한 정량적인 정보 없이 상이한 HFC를 사용하여 SiN 스페이서를 선택적으로 에칭할 것을 주장하였다.
Chang 등에게 허여된 미국 특허 제20130105916A1호는 HFC 플라즈마를 사용하여 다양한 두께의 SiNx, SiO2 및 Si 상에 HFC 중합체를 형성하는 SiNx의 비등방성 에칭을 포함한, 고 선택성 질화물 에칭 공정을 개시한다. 상기 공정은 포화 또는 불포화, 선형 또는 환형인 화학식 CxHyFz(식 중, x는 3 내지 6이고, y > z이다)를 갖는 HFC를 사용한 SiNx의 선택적 에칭이다. 그러나, Chang 등은 푸팅 제어와 같은 프로파일 제어에 대해서 어떠한 논의도 개시하지 않았다. Chang 등이 개시한 에칭 공정은 순환 공정이 아니다.
Suzuki 등에게 허여된 미국 특허 제20110068086A1호는 오직 포화 분자인, 선형 또는 환형 HFC인 CxHyFz(x는 3 내지 5이고, y > z이다)를 사용하여 타겟을 플라즈마 에칭함을 포함한 평면 웨이퍼 상의 플라즈마 에칭 방법을 개시한다. 더욱 구체적으로, Suzuki 등은 반도체 구조물을 함유하는 패턴화된 웨이퍼보다 오히려 평면 웨이퍼 상에 플라즈마 조건 하에서 특정한 HFC를 이용함으로써 SiO2에 대해 SiNx를 선택적 에칭함을 개시한다. 실시예에서 예시된 바와 같이, Suzuki 등은 2,2-디플루오로-n-부탄을 사용하여 SiN 평면 웨이퍼 및 SiO 평면 웨이퍼를 에칭하였다.
Metz 등에게 허여된 미국 특허 제8,501,630호 또는 미국 특허 제20120077347A1호는 기판을 선택적으로 에칭하기 위한 플라즈마 에칭 방법을 개시한다. 상기 플라즈마 에칭 공정은 C, H 및 F를 함유하는 공정 가스 및 비-산소-함유 첨가 가스를 갖는 공정 조성물을 사용한다. 공정 가스는 CH3F, CHF3, CH2F2 또는 이들 둘 이상의 임의의 조합을 포함한다. Metz 등이 개시한 플라즈마 에칭 공정은 순환 공정이 아니다.
Kajiwara에게 허여된 미국 특허 제20010005634A1호는 에칭 가스로서 CH2F2를 사용하여 SiO2 상에서 SiN을 고 선택적 에칭함으로써 콘택 홀을 형성하기 위한 건식 에칭 방법을 개시한다.
Brink 등에게 허여된 미국 특허 제20130105996호는 하부로부터 상부로 기판 상에 형성된 질소-함유 유전체 층, 상호연결 수준 유전체 재료 층 및 하드 마스크 층을 포함하는 스택 안에 포함된 질소-함유 유전체 층을 위한 저 에너지 에칭 공정을 개시한다. 질소-함유 유전체 층은 CxHyFz(x는 3 내지 6이고, y > z이다)를 갖는 HFC를 사용하여 플라즈마 에칭되었다. Brink 등은 Si 또는 SiO2에 대한 선택성에 대해서는 언급하지 않았다.
Posseme 등에게 허여된 미국 특허 제20140273292A1호는 기판 위에 배치된 노출된 규소 함유 층 및 적어도 부분적으로 형성된 게이트 스택 위의 SiN 층을 침착시키는 단계; SiN 층을 실질적으로 불소가 부재한 수소 또는 헬륨 함유 플라즈마에 노출시킴으로써 SiN 층의 일부를 개질시키는 단계; 및 SiN 층의 개질된 부분을 습식 세정 공정을 수행함으로써 제거하여 SiN 스페이서를 형성하는 단계를 포함하는 SiN 스페이서의 형성 방법을 개시한다. 일 구현예에서, Posseme 등은 CH2F2, CH4, CHF3과 같은 HFC-함유 가스를 사용하여 SiN 층이 에칭됨을 개시한다.
Gupta 등에게 허여된 미국 특허 제20150270140A1호는 Si, Ti, Ta, W, Al, Pd, Ir, Co, Fe, B, Cu, Ni, Pt, Ru, Mn, Mg, Cr, Au, 이들의 합금, 이들의 산화물, 이들의 질화물 및 이들의 조합을 포함한 필름을 에칭하기 위한 원자 층 또는 순환 플라즈마 에칭 화학 및 공정을 개시한다. 예에는 Cl2 및 에탄올(EtOH)을 사용한 Fe 및 Pd 에칭, Cl2 및 아세틸아세토네이트(Acac)를 사용한 Ni, Co, Pd 또는 Fe 에칭이 포함된다.
Zhou 등에게 허여된 미국 특허 제20160293438A1호는 개선된 프로파일 제어를 갖는 순환 스페이서 에칭 공정을 개시하지만, 이 방법은 HFC 가스보다 오히려 NF3/NH3 플라즈마를 기반으로 한다.
Sherpa 등에게 허여된 국제 특허 공개 제WO2018/044713A1호는 공정 가스가 H 및 임의로 희가스; H2, 또는 H2 및 Ar을 함유하는 제1 단계; 공정 가스가 N, F, O, 및 임의로 희원소 NF3, O2 및 Ar을 함유하는 제2 단계를 포함하는, SiN의 유사-원자 층 에칭 방법을 개시한다.
Ranjan 등에게 허여된 미국 특허 제9318343B2호는 CxHyFz(식 중, x, y 및 z는 0이 아니다)로서 표시된 HFC 가스를 함유하는 공정 가스를 사용한 SiN 스페이서 및 규소(예컨대 다결정질 규소)의 에칭 및 산화의 순환 공정을 포함하는, SiN 스페이서 에칭 동안 에칭 선택성을 개선시키는 방법을 개시한다. Ranjan 등에서 개시된 HFC는 CH3F이다. Ranjan 등은 스페이서의 푸팅 및 표면 조도와 같은 스페이서의 프로파일에 대해서는 언급하지 않았다.
SiN 스페이서와 같은 규소-함유 스페이서를 에칭하기 위한 프로파일 제어를 개선시키는 데 적용가능한 새롭고 신규한 에칭 요소의 발견은 어려움을 겪고 있는데, 왜냐하면 규소-함유 스페이서 에칭에 대한 적용 시에는 푸팅이 거의 없음, 플루오라이드 형성이 거의 없음, 에칭 후 평활한 스페이서 표면 달성 등과 같은 에칭 프로파일의 요건을 만족해야 하기 때문이다. 따라서, 이들 요건을 만족시키는 그러한 에칭 요소를 제공할 필요성이 있다.
i) 반응 챔버에서 기판 상에 구조물을 피복하는 SiN 층을 하이드로플루오로카본(HFC)의 플라즈마에 노출시켜 SiN 층의 표면을 개질하는 SiN 층 상에 침착된 중합체 층을 형성하는 단계로서, HFC는 화학식 CxHyFz(식 중, x는 2 내지 5이고, y > z이다)를 갖고, HFC는 포화 또는 불포화, 선형 또는 환형 HFC인 단계, ii) SiN 층 상에 침착된 중합체 층을 비활성 가스의 플라즈마에 노출시켜, 비활성 가스의 플라즈마가 SiN 층 상에 침착된 중합체 층 및 에칭 프런트 상의 SiN 층의 개질된 표면을 제거하는 단계, 및 iii) 에칭 프런트 상을 피복하는 SiN 층이 제거될 때까지 단계 i) 및 단계 ii)를 반복하여, 이에 의해 SiN 층이 구조물의 측벽 상을 피복하는 수직으로 직립한 SiN 스페이서를 형성하는 단계를 포함하는 순환 에칭 방법이 개시되어 있다.
i) 반응 챔버에서 기판 상에 구조물을 피복하는 SiN 층을 하이드로플루오로카본(HFC)의 플라즈마에 노출시켜 SiN 층의 표면을 개질하는 SiN 층 상에 침착된 중합체 층을 형성하는 단계로서, HFC는 화학식 CxHyFz(식 중, x는 2 내지 5이고, y > z이다)를 갖고, HFC는 포화 또는 불포화, 선형 또는 환형 HFC인 단계, ii) SiN 층 상에 침착된 중합체 층을 비활성 가스의 플라즈마에 노출시켜, 비활성 가스의 플라즈마가 SiN 층 상에 침착된 중합체 층 및 에칭 프런트 상의 SiN 층의 개질된 표면을 제거하는 단계, 및 iii) 에칭 프런트 상을 피복하는 SiN 층이 제거될 때까지 단계 i) 및 단계 ii)를 반복하여, 이에 의해 SiN 층이 구조물의 측벽 상을 피복하는 수직으로 직립한 SiN 스페이서를 형성하는 단계를 포함하는, 수직으로 직립한 SiN 스페이서를 형성하는 순환 에칭 방법이 또한 개시되어 있다.
i) 반응 챔버에서 기판 상에 게이트 스택을 피복하는 SiN 층을 C2H5F 및 C3H7F로 이루어진 군으로부터 선택된 하이드로플루오로카본(HFC)의 플라즈마에 노출시켜 SiN 층의 표면을 개질하는 SiN 층 상에 침착된 중합체 층을 형성하는 단계, ii) SiN 층 상에 침착된 중합체 층을 비활성 가스의 플라즈마에 노출시켜, 비활성 가스의 플라즈마가 SiN 층 상에 침착된 중합체 층 및 에칭 프런트 상의 SiN 층의 개질된 표면을 제거하는 단계, 및 iii) 에칭 프런트 상을 피복하는 SiN 층이 제거될 때까지 단계 i) 및 단계 ii)를 반복하여, 이에 의해 SiN 층이 게이트 스택의 측벽 상을 피복하는 수직으로 직립한 SiN 게이트 스페이서를 형성하는 단계를 포함하는, 수직으로 직립한 SiN 게이트 스페이서를 형성하는 순환 에칭 방법이 또한 개시되어 있다.
개시된 방법들 중 어느 하나는 하기 양태들 중 하나 이상을 포함할 수 있다:
· 단계 (i) 후에,
반응 챔버를 진공으로 펌핑하는 단계;
반응 챔버를 N2로 퍼지하는 단계;
반응 챔버를 진공으로 펌핑하는 단계; 및
비활성 가스를 반응 챔버로 도입시켜 비활성 가스의 플라즈마를 생성하는 단계를 추가로 포함함;
· 단계 (ii) 후에,
반응 챔버를 진공으로 펌핑하는 단계;
반응 챔버를 N2로 퍼지하는 단계;
반응 챔버를 진공으로 펌핑하는 단계; 및
HFC를 반응 챔버로 도입시켜 HFC의 플라즈마를 생성하는 단계를 추가로 포함함;
· SiN 층을 HFC와 비활성 가스의 가스 혼합물의 플라즈마에 노출시킴;
· 게이트 스택의 측벽 상에서 SiN 층의 적어도 대부분은 제거되지 않음;
· 게이트 스택의 측벽 상에서 SiN 층의 두께의 10% 미만은 제거됨;
· 게이트 스택의 측벽 상에서 SiN 층의 두께의 5% 미만은 제거됨;
· 게이트 스택의 측벽 상에서 SiN 층의 두께의 1% 미만은 제거됨;
· 게이트 스택의 측벽 상에서 SiN 층의 측정가능한 두께 감소가 발생하지 않음;
· 비활성 가스는 N2, Ar, Kr 또는 Xe로부터 선택됨;
· 비활성 가스는 Ar임;
· HFC는 C2H5F임;
· HFC는 C3H7F임;
· 기판은 규소-함유 재료를 포함함;
· 기판은 규소임;
· 구조물은 게이트 스택임;
· HFC 플라즈마는 SiN과 상호작용하여 C 풍부 중합체(C:F > 1)를 형성함;
· C 풍부 중합체는 SiN 층의 상부 상에 침착된 중합체 층임;
· HFC는 구조물 상에서 SiN 층을 선택적으로 에칭시킴;
· HFC는 기판 상에서 SiN 층을 선택적으로 에칭시킴;
· 구조물 대비 SiN의 무한 선택성;
· 게이트 스택 대비 SiN의 무한 선택성;
· p-Si, SiO, SiON 및 SiCN에 대한 SiN의 무한 선택성;
· ALE 과다 에칭 레피시가 적용됨;
· ALE 과다 에칭 레시피는 대략 10% ALE 과다 에칭 내지 대략 200% ALE 과다 에칭의 범위임;
· ALE 과다 에칭 레시피는 대략 50% ALE 과다 에칭 내지 대략 200% ALE 과다 에칭의 범위임;
· HFC 가스를 반응 챔버로 대략 1 sccm 내지 대략 10 slm 범위의 유량으로 도입함;
· HFC 가스를 반응 챔버로 대략 1 sccm 내지 대략 100 sccm 범위의 유량으로 도입함;
· 비활성 가스를 반응 챔버로 대략 1 sccm 내지 대략 10 slm 범위의 유량으로 도입함;
· 비활성 가스를 반응 챔버로 대략 10 sccm 내지 대략 200 sccm 범위의 유량으로 도입함;
· 반응 챔버는 대략 1 mTorr 내지 대략 50 Torr 범위의 압력을 가짐;
· 반응 챔버는 대략 1 mTorr 내지 대략 10 Torr 범위의 압력을 가짐;
· 반응 챔버는 대략 300 mTorr 내지 대략 1 Torr 범위의 압력을 가짐;
· 챔버 내의 기판 온도는 대략 -110℃ 내지 대략 2000℃의 범위임;
· 챔버 내의 기판 온도는 대략 -20℃ 내지 대략 1000℃의 범위임;
· 챔버 내의 기판 온도는 대략 25℃ 내지 대략 700℃의 범위임;
· 챔버 내의 기판 온도는 대략 25℃ 내지 대략 500℃의 범위임;
· 챔버 내의 기판 온도는 대략 25℃ 내지 대략 50℃의 범위임;
· 반응 챔버 벽 온도는 대략 25℃ 내지 대략 100℃의 범위임;
· 플라즈마 공정 시간은 0.01초 내지 10000초로 다양함;
· 플라즈마 공정 시간은 1초 내지 30초로 다양함;
· N2 퍼지 시간은 1초 내지 10000초로 다양함;
· N2 퍼지 시간은 10초 내지 60초로 다양함;
· SiN 스페이서와 기판 사이의 각각의 모서리에서 푸팅이 거의 형성되지 않음;
· SiN 층 및 기판 근처에 과잉 재료가 거의 남아 있지 않음;
· 수직으로 직립한 SiN 스페이서 및 에칭 프런트 상에 플루오라이드 잔여물이 전혀 남아 있지 않음;
· 순환 에칭 후 수직으로 직립한 SiN 스페이서의 표면 및 에칭 프런트의 표면 상의 표면 조도가 순환 에칭 전의 것에 비해 개선됨;
· 중합체 층의 제거 단계는 이온 충격 공정임;
· 산소-함유 가스를 첨가하는 단계를 추가로 포함함; 및
· 산소-함유 가스는 O2, O3, CO, CO2, NO, NO2, N2O, SO2, COS, H2O 및 이들의 조합으로 이루어진 군으로부터 선택됨.
화학식 CxHyFz(식 중, x는 2 내지 5이고, y > z이다)를 갖는 HFC 에칭 가스가 또한 개시되어 있다. 개시된 HFC 에칭 가스는 하기 양태들 중 하나 이상을 포함한다:
· HFC는 포화 또는 불포화, 선형 또는 환형 HFC임;
· 대략 99 부피% 초과의 순도를 가짐;
· 대략 99.9 부피% 초과의 순도를 가짐;
· 1 부피% 미만의 미량 가스 불순물을 함유함;
· 미량 가스 불순물은 물을 포함함;
· 미량 가스 불순물은 CO2를 포함함;
· 미량 가스 불순물은 N2를 포함함; 및
· HFC 에칭 가스는 20 ppmw 미만의 물 함량을 가짐.
표기법 및 명명법
하기 상세한 설명 및 청구항들은 당해 분야에서 일반적으로 널리 알려진, 다수의 약어, 기호, 및 용어를 사용하고 하기를 포함한다:
본원에서 사용되는, 단수형은 하나 이상을 의미한다.
본원에서 사용되는, 텍스트에서 또는 청구항에서 "약(about 또는 around)" 또는 "대략(approximately)"은 기술된 값의 ±10%를 의미한다.
본원에서 사용되는, 텍스트 또는 청구항에서 "실온"은 대략 20℃ 내지 대략 25℃를 의미한다.
"웨이퍼" 또는 "패턴화된 웨이퍼"라는 용어는, 기판 상의 규소-함유 필름들의 스택 및 패턴 에칭을 위해서 형성된 규소-함유 필름들의 스택 상의 패턴화된 하드마스크 층을 가지는 웨이퍼를 지칭한다.
"기판"이라는 용어는, 공정이 수행되는 재료 또는 재료들을 지칭한다. 기판은, 공정이 수행되는 재료 또는 재료들을 갖는 웨이퍼를 지칭할 수 있다. 기판은 반도체, 광전지, 평판 패널, 또는 LCD-TFT 디바이스 제조에서 사용되는 임의의 적합한 웨이퍼일 수 있다. 기판은 또한, 이전 제조 단계에서 이미 침착된 상이한 재료의 하나 이상의 층을 가질 수 있다. 예를 들어, 웨이퍼는 규소 층(예를 들어, 결정질, 비정질, 다공성 등), 규소 함유 층(예를 들어, SiO2, SiN, SiON, SiCOH 등), 금속 함유 층(예를 들어, 구리, 코발트, 루테늄, 텅스텐, 백금, 팔라듐, 니켈, 루테늄, 금 등), 또는 이들의 조합을 포함할 수 있다. 추가로, 기판은 평면형이거나 패턴화될 수 있다. 기판은 유기 패턴화된 포토레지스트 필름일 수 있다. 기판은, MEMS, 3D NAND, MIM, DRAM, 또는 FeRam 디바이스 응용에서 유전체 재료(예를 들어, ZrO2계 재료, HfO2계 재료, TiO2계 재료, 희토류 산화물계 재료, 삼원 산화물계 재료 등)로서 사용되는 산화물의 층 또는 전극으로서 사용되는 질화물계 필름(예를 들어, TaN, TiN, NbN)을 포함할 수 있다. 당업자는, 본원에서 사용되는 "필름" 또는 "층"이라는 용어가 표면 상에 놓여 지거나 그 위에 펼쳐진 일부 재료의 두께를 지칭하며, 그러한 표면이 트렌치 또는 라인일 수 있다는 것을 인지할 것이다. 본 명세서 및 청구범위 전체에 걸쳐, 웨이퍼 및 웨이퍼 상의 임의의 관련 층은 기판으로 지칭된다.
"패턴 에칭" 또는 "패턴화된 에칭"이라는 용어는, 패턴화된 하드마스크 층 아래의 규소-함유 필름들의 스택과 같은, 비-평면형 구조물의 에칭을 지칭한다.
본원에서 사용되는 "에치" 또는 "에칭"이라는 용어는 등방성 에칭 공정 및/또는 비등방성 에칭 공정을 지칭한다. 등방성 에칭 공정은, 기판 상의 재료의 일부가 제거되는 결과를 초래하는 에칭 화합물과 기판 사이의 화학적 반응을 포함한다. 이러한 유형의 에칭 공정은 화학적 건식 에칭, 증기 상 화학적 에칭, 열적 건식 에칭 등을 포함한다. 등방성 에칭 공정은 기판 내에서 측방향 또는 수평 에칭 프로파일을 생성한다. 등방성 에칭 공정은 기판 내의 미리-형성된 개구의 측벽 상에서 함몰부 또는 수평 함몰부를 생성한다. 비등방성 에칭 공정은 기판의 표면에 수직으로만 재료를 제거하며, 이는 마스크 패턴의 정확한 전달을 수행한다. 건식 에칭 공정은 플라즈마 에칭 공정일 수 있다. 플라즈마는 유효 백분율의 원자 또는 분자가 이온화된 임의의 가스이다. 플라즈마는 반응기 내에 위치한 짧은 거리 만큼 분리된 2개의 금속 전극으로 본질적으로 이루어진 CCP 시스템에 의해 생성된 용량 결합 플라즈마(capacitively coupled plasma)(CCP)일 수 있다. 전형적인 CCP 시스템은 단일 라디오-주파수(RF) 전원에 의해 구동된다. 2개의 전극 중 하나는 전원에 연결되고, 다른 하나는 접지된다. 전기장이 전극 사이에서 생성될 때, 원자는 이온화되고, 전자를 방출한다. 가스 내의 전자는 RF 장에 의해 가속되고, 충돌에 의해 직접적 또는 간접적으로 가스를 이온화하여 2차 전자를 생성할 수 있다. 플라즈마는 또한 유도 결합 플라즈마(inductively coupled plasma)(ICP) 또는 전자기 유도에 의해, 즉 시변 자기장에 의해 생성된 전류에 의해 에너지가 공급되는 ICP 시스템에 의해 발생된 변압기 결합 플라즈마(transformer coupled plasma)(TCP)일 수 있다. ICP 방전은 1015 cm-3 정도의 비교적 높은 전자 밀도를 갖는다. 결과적으로, ICP 방전은 고밀도 플라즈마(HDP)가 필요한 경우에 있어 광범위한 응용을 갖는다. ICP 방전의 또 다른 이점은 이들이 비교적 오염이 없다는 것인데, 왜냐하면 전극이 완전히 반응 챔버 밖에 있기 때문이다. 플라즈마 에칭 공정은 기판 내에 수직 에칭 프로파일을 생성한다. 플라즈마 에칭 공정은 수직 개구, 트렌치, 채널 홀, 게이트 트렌치, 스테어케이스 콘택(staircase contact), 커패시터 홀, 콘택 홀 등을 기판 내에 생성한다.
"100% 에칭"이라는 용어는 ALE 공정이 그의 두께를 완전히 가로질러 재료를 에칭함을 의미한다. "과다 에칭"이라는 용어는 심지어 재료가 에칭된 후에도 ALE 공정이 계속됨을 의미한다. 예를 들어, 개시된 방법에서, 하나의 ALE 레시피가 SiN 층에 대해서 1 nm/사이클의 에칭 속도를 갖고, SiN 층은 10 nm의 두께를 갖는 경우, 10 nm 두께의 SiN을 가로질러 완전히 에칭하기 위해서 10회의 사이클이 필요하다. 이것이 100% 에칭을 의미한다. SiN 층을 에칭하기 위해 에칭 사이클을 10회 초과의 사이클로 설정하는 경우, ALE는 "과다 에칭"이다. 예를 들어, SiN 층을 에칭하기 위해 15회의 에칭 사이클을 설정하는 경우, 에칭 공정은 50% 과다 에칭이다. SiN 층을 에칭하기 위해 20회의 에칭 사이클을 설정하는 경우, 에칭 공정은 100% 과다 에칭이다.
"침착하다" 또는 "침착"이라는 용어는 원자 또는 분자 수준에서의 재료가 박막으로서 가스 상태(증기)로부터 고체 상태로 웨이퍼 표면 상에 또는 기판 상에 침착되는 일련의 과정들을 지칭한다. 이러한 과정 내에 화학적 반응이 수반되고, 이는 반응하는 가스의 플라즈마 생성 후에 일어난다. 플라즈마는 일반적으로 2개의 전극 사이에서, 즉 반응하는 가스가 충전된 공간에서 라디오 주파수(RF)(교류(AC)) 또는 직류(DC) 방전에 의해 생성된 상기 기재된 바와 같은 CCP일 수 있다. 침착 방법에는 원자 층 침착(ALD) 및 화학 기상 침착(CVD)이 포함될 수 있다.
"마스크"라는 용어는 에칭에 저항하는 층을 지칭한다. 하드마스크 층은 에칭될 층 위에 위치할 수 있다.
"종횡비"라는 용어는 트렌치의 폭(또는 개구의 직경)에 대한 트렌치(또는 개구)의 높이의 비를 지칭한다.
"선택성"이라는 용어는 다른 재료의 에칭 속도에 대한 한 재료의 에칭 속도의 비를 의미한다. "선택적 에칭" 또는 "선택적으로 에칭하다"라는 용어는 다른 재료보다 하나의 재료를 더 많이 에칭시키거나, 다시 말해서, 2개의 재료들 간에 1:1 초과 또는 미만의 에칭 선택성을 갖는 것을 의미한다.
본원에서, "필름" 및 "층"이라는 용어는 서로 교환 가능하게 사용될 수 있다는 것에 주목한다. 필름이 층에 해당하거나 이와 관련될 수 있으며, 층이 필름을 지칭할 수 있는 것으로 이해된다. 또한, 당업자는, 본원에서 사용되는 "필름" 또는 "층"이라는 용어가 표면 상에 놓여 지거나 표면 위에 펼쳐진 일부 재료의 두께를 지칭하며, 표면이 전체 웨이퍼 정도로 크거나 트렌치 또는 라인 정도로 작은 범위일 수 있다는 것을 인지할 것이다.
본원에서, "에칭 화합물" 및 "에칭 가스"라는 용어는 에칭 화합물이 실온 및 주변 압력에서 가스 상태인 경우 서로 교환 가능하게 사용될 수 있다는 것에 주목한다. 에칭 화합물이 에칭 가스에 해당하거나 이와 관련될 수 있으며, 에칭 가스가 에칭 화합물을 지칭할 수 있는 것으로 이해된다.
원소 주기율표로부터의 원소의 표준 약어가 본원에서 사용된다. 원소가 이러한 약어에 의해 지칭될 수 있는 것으로 이해되어야 한다(예를 들어, Si는 규소를 지칭하며, N은 질소를 지칭하며, O는 산소를 지칭하며, C는 탄소를 지칭하며, H는 수소를 지칭하며, F는 불소를 지칭하는 등).
화학물질 식별 서비스(Chemical Abstract Service)에 의해 지정된 고유한 CAS 등록 번호(즉, "CAS")는 개시된 특정 분자를 식별하기 위해 제공된다.
규소-함유 필름, 예컨대 SiN 및 SiO가, 그 적절한 화학양론에 대한 언급이 없이, 명세서 및 청구범위 전체를 통해서 나열되어 있다는 것을 주목한다. 규소-함유 필름은 결정질 Si, 폴리-규소(p-Si 또는 다결정질 Si), 또는 비정질 규소와 같은 순수한 규소(Si) 층; 질화규소(SikNl) 층; 또는 산화규소(SinOm) 층; 또는 이들의 혼합물을 포함할 수 있으며, 식 중, k, l, m, 및 n은 0.1 내지 6의 범위이다(경계값 포함함). 바람직하게는, 질화규소는 SikNl이며, 식 중, k 및 l은 각각 0.5 내지 1.5의 범위이다. 더욱 바람직하게는, 질화규소는 Si3N4이다. 본원에서, 하기 설명에서의 SiN은 SikNl 함유 층들을 나타내는 데 사용될 수 있다. 바람직하게는, 산화규소는 SinOm이며, 식 중, n은 0.5 내지 1.5의 범위이고, m은 1.5 내지 3.5의 범위이다. 더욱 바람직하게는, 산화규소는 SiO2이다. 본원에서, 하기 설명에서의 SiO는 SinOm 함유 층들을 나타내는 데 사용될 수 있다. 규소-함유 필름은 또한 Applied Materials, Inc.에 의한 화학식 SiOCH를 갖는 Black Diamond II 또는 III 재료와 같은, 유기계 또는 산화규소계 저-k 유전체 재료와 같은 산화규소계 유전체 재료일 수 있다. 규소-함유 필름은 또한 SiaObNc를 포함할 수 있으며, 식 중, a, b, c는 0.1 내지 6의 범위이다. 규소-함유 필름은 또한 B, C, P, As 및/또는 Ge와 같은 도펀트를 포함할 수 있다.
범위는 본원에 대략적으로 하나의 특정 값으로부터, 및/또는 대략적으로 다른 특정 값까지인 것으로 표현될 수 있다. 그러한 범위가 표현되었을 때, 다른 구현예가, 그러한 범위 내의 모든 조합과 함께, 하나의 특정 값으로부터 및/또는 다른 특정 값까지라는 것이 이해되어야 한다.
본원에서 "일 구현예" 또는 "구현예"의 언급은, 구현예와 관련하여 설명된 특정 특징, 구조, 또는 특성이 본 발명의 적어도 하나의 구현예에 포함될 수 있다는 것을 의미한다. 명세서 내의 여러 곳에서 "일 구현예"라는 문구의 출현이 반드시 동일한 구현예를 지칭하는 것이 아니고, 별도의 또는 대안적인 구현예가 반드시 다른 구현예와 상호 배타적이라는 것을 지칭하는 것이 아니다. "실행예"라는 용어에서도 마찬가지이다.
본 발명의 특성 및 목적을 더욱 잘 이해하기 위하여, 첨부된 도면과 관련하여 기술된 하기 상세한 설명이 참조되어야 하며, 이러한 도면에서, 유사한 구성요소에는 동일하거나 유사한 참조 번호가 제공된다.
도 1a는 당업계에서 기저 기판 상에 SiN 스페이서를 생성하기 위해 형성된 예시적인 패턴의 측단면도이다;
도 1b는 당업계에서 SiN 스페이서의 이상적인 에칭 결과를 갖는 기저 기판 상의 예시적인 SiN 스페이서의 측단면도이다;
도 1c는 당업계에서 스페이서의 하부에서 푸팅을 생성하는 실제 스페이서 에칭 공정에 의한 기저 기판 상의 예시적인 SiN 스페이서의 측단면도이다;
도 2는 개시된 순환 ALE 공정의 사이클에 대한 공정 흐름이다;
도 3은 CH3F를 사용하는 ALE 사이클 대비 에칭된 두께의 그래프이다;
도 4는 C2H5F를 사용하는 ALE 사이클 대비 에칭된 두께의 그래프이다;
도 5는 C3H7F를 사용하는 ALE 사이클 대비 에칭된 두께의 그래프이다;
도 6a는 각각 C2H5F를 사용하는 100% 에칭 측벽 및 100% 과다 에칭 측벽을 적용한 ALE 후 SiN 스페이서의 EDS 도표화이다(측벽의 수평 스캔);
도 6b는 각각 C2H5F를 사용하는 100% 에칭 측벽 및 100% 과다 에칭 측벽을 적용한 ALE 후 SiN 스페이서의 원자를 사용한 EDS 라인 스캔을 나타낸다(스페이서의 하부의 수직 스캔); 및
도 7은 C2H5F를 사용하는 SiN 스페이서의 연속 에칭이다: EDS 도표화(좌측 도면) 및 EDS 라인 스캔(우측 도면).
반도체 응용에서 고 선택성으로 Si-함유 기판 상의 질화규소(SiN) 스페이서를 형성하기 위해 프로파일 제어를 개선시키는 방법이 개시되어 있다. 개시된 방법은 HFC의 플라즈마 및 희가스의 플라즈마를 사용하는 순환 원자 층 에칭(ALE) 공정을 적용하여 SiN 층에 의해 피복된 구조물 및/또는 기저 Si-함유 층(예를 들어, 기판) 상에서 SiN 층을 선택적으로 에칭한다. 본원에서, 구조물은 게이트 또는 게이트 스택일 수 있다.
개시된 방법은 SiN 스페이서를 형성하기 위해 프로파일 제어를 상당히 개선시킨다. 형성된 SiN 스페이서의 중요한 특징은 기저 Si-함유 층, 예컨대 폴리-Si(또는 Si) 및 SiO2에 대한 SiN의 고 선택성을 포함한다. 또한, 형성된 SiN 스페이서의 중요한 특징은 심지어 과다-에칭 레시피를 사용하는 경우에도 기저 Si-함유 층에 대해 화학적 손상이 전혀 없음, SiN 층 및 기판에 근접한 과잉 재료가 거의 없음, 스페이서의 하부 엣지에서 푸팅이 거의 없음, 스페이서의 측벽 상에 F 잔여물이 전혀 남아 있지 않음 등을 포함한다.
반도체 응용에서, 스페이서는 금속-산화물-반도체 전계-효과 트랜지스터(metal-oxide-semiconductor field-effect transistors)(MOSFET)에서 게이트 콘택 및 소스 및 드레인 콘택을 단리하기 위해 CVD 또는 ALD에 의해 게이트 또는 게이트 스택과 같은 구조물 상에 침착된 재료의 층이다. 재료는 SiN 등일 수 있다. 스페이서는 게이트 스택의 측벽을 부동태화한다. 개시된 방법은 게이트 스페이서, 자가-정렬 이중 패턴화(SADP) 스페이서, 자가-정렬 사중 패턴화(SAQP) 스페이서를 갖는 패턴화 스페이서 등을 포함한 반도체 응용에서의 임의의 유형의 스페이서에 적용될 수 있다. 본원에서, 게이트 스택은 디지털 스위치, 랜덤-액세스 메모리(RAM), 증폭기, 전계-효과 트랜지스터계 바이오센서(BioFET), DNA 전계-효과 트랜지스터(DNAFET), 강유전체, 자성, 전해질 등일 수 있다. 더욱 구체적으로, 게이트 스택은 플래시 메모리, 예컨대 3D NAND 및 NOR, 규소-산화물-질화물-산화물-규소(SONOS), 전체적 스트레인 및 국소적 스트레인을 포함한 스트레인 계면, 강유전체 게이트 스택, 전해질 계면 등을 포함한 고-k 게이트 스택일 수 있다.
도 1a 내지 도 1c는 기저 기판 상의 예시적인 SiN 스페이서 형성의 예시적인 측단면도를 보여준다. 도 1a는 SiN 스페이서를 생성하기 위해 형성된 트렌치 패턴을 나타내지만, 이에 한정되지 않는다. SiN 피복된 구조물(1012)은 기판(102)의 상부 상에 형성되어 있다. 복수개의 SiN 피복된 구조물이 기판(102)의 상부 상에 형성될 수 있지만, 오직 2개의 구조물(1020)만이 나타나 있다. 기판(102)은 Si, 폴리-Si, SiO2 등과 같은 Si-함유 재료로 구성된 FinFET(핀 전계-효과 트랜지스터) 기판일 수 있다. 부호(104)는 기판(102) 상의 구조물(106)을 피복하는 SiN의 층을 나타낸다. 당업계에서 필러로도 불리는 구조물(106)은 SiN 층(104)에 의해 피복된 게이트 스택일 수 있다. 이상적인 환경에서, 에칭 프런트, 구조물(106)의 상부 또는 필러의 상부 및 기판(102)의 상부 또는 트렌치의 하부를 수평으로 피복하는 SiN 층은 제거되어야 하고, 하부 모서리에서 푸팅이 거의 없는 구조물(106) 상의 수직으로 직립하고 균일한 SiN 측벽이 수득되어야 한다. 본원에서, "a1" 및 "a2"는 구조물 또는 게이트 스택의 상이한 높이에서 측벽(104) 상의 SiN 층의 두께를 나타낸다. "a1"의 높이는 필러의 상부에 밀접할 수 있고, 예를 들어 필러의 상부 아래에서 구조물(106)의 전체 높이의 약 1/3 높이에 있을 수 있고; "a2"는 기판(102) 위에서 구조물(106)의 전체 높이의 약 1/3 높이에서 기판(102)에 밀접한 높이에 있을 수 있다. SiN 층(104) 아래의 구조물(106)은 기판(102)에 인접한 하부에서 만곡될 수 있기 때문에(도시되지 않음), "a2"의 값은 수직으로 직립한 SiN 스페이서가 있는 "a1"의 값보다 작을 수 있다. "b" 및 "c"는 각각 구조물(106)의 상부 및 기판(102)의 상부 상의 SiN 층의 두께를 나타낸다. 본원에서 "b" 및 "c"는 에칭 프런트의 두께이다. 추가로, "c"는 SiN 층을 제거한 후 기판(102)의 제거 두께를 또한 나타낼 수 있다. 이러한 경우, "c"는 음의 값일 수 있다. 도 1b에 나타낸 바와 같이, 구조물(206) 상에 수직으로 직립하고 균일한 SiN 측벽(204) 피복물이 형성되어 있고, 구조물(206)의 상부 및 기판(202)의 상부를 수평으로 피복하는 에칭 프런트 상의 SiN 층이 제거되어 있는, 이상적인 SiN 스페이서 에칭 결과가 제시되어 있다. 그러나, 실제 스페이서 에칭 공정은 종종 SiN 층 및 기판 근처에 과잉 재료를 남겨서, 도 1c에 나타낸 바와 같이, 스페이서 하부에서 푸팅(308)이 생성된다. 본원에서, 기판(302)에 인접한 푸팅(308)의 수평 길이, "d"는 푸팅의 크기를 나타내도록 정의된다.
Si-함유 기판 상에 형성된 SiN 스페이서의 에칭 프로파일을 제어하기 위해 개시된 순환 ALE 공정은 SiN 스페이서를 제조하는 경우 푸팅의 결함을 극복한다. Si-함유 기판 상에 형성된 SiN 스페이서의 에칭 프로파일을 제어하기 위해 개시된 순환 ALE 공정은 SiN 스페이서를 제조하는 경우 테이퍼링 없이 수직으로 직립한 스페이서를 또한 생성한다. 개시된 순환 ALE 공정은 표면 개질 단계 또는 침착 단계 및 표면 제거 단계 또는 에칭 단계를 하나의 ALE 사이클 안에 포함한다. 표면 개질 단계 동안, 중합체 박막이 반응 챔버에서 SiN 층(도 1a, SiN 층(104) 참고)의 표면을 개질하는 SiN 층의 표면 상에 침착된다. 중합체 박막은 HFC 가스의 플라즈마 또는 HFC 가스와 비활성 가스, 예컨대 N2, Ar, Kr, Xe, 바람직하게는 Ar의 가스 혼합물의 플라즈마에 의해 형성된다. HFC 가스는 SiN 층의 표면 상의 재료 SiN과 반응하여, SiN 층의 표면 상에 C 풍부 중합체(C:F > 1)이며 또한 개질된 표면 층으로도 불리는 중합체 박막을 형성하는데, 여기에서 화학적 결합이 중합체 박막과 SiN 층의 표면 사이의 간층에서 형성되어 있다. 표면 제거 단계에서, 개질된 표면 층은 개질된 표면 층을 스퍼터링하는 에너지 이온 충격을 통해 순수한 비활성 가스(예를 들어, Ar) 플라즈마에 의해 에칭되거나 제거되며, 이는 휘발성이 매우 높고, 챔버로부터 펌핑 제거될 수 있다. 표면 제거 단계 후, 표면 개질 단계가 반복되어 순환 ALE 공정이 형성된다. 순환 ALE에 의해, ALE 과다 에칭 레시피가 적용되어 구조물 또는 게이트 스택 대비 SiN의 무한 선택성으로 에칭 프런트 상의 SiN 층을 더욱 제거할 수 있다. ALE 과다 에칭 레시피는 대략 10% ALE 과다 에칭 내지 대략 200% ALE 과다 에칭, 바람직하게는 대략 50% ALE 과다 에칭 내지 대략 200% ALE 과다 에칭의 범위일 수 있다. 이러한 공정은 순환될 수 있고, 재료의 단계적인 제거를 가능하게 하여, 패턴 정확성을 증가시키고 SiN 스페이서의 푸팅을 최소화한다. 표면 개질 단계와 표면 제거 단계 사이에 또는 침착 단계와 에칭 단계 후에, N2 퍼지 단계가 적용된다. N2 퍼지 단계는 N2 퍼지 단계 전에 반응 챔버 밖으로 HFC 가스를 펌핑하는 진공 펌프 단계 및 N2 퍼지 단계 후에 반응 챔버 밖으로 N2를 펌핑하는 진공 펌프 단계를 포함한다.
이상적인 순환 ALE 공정은 자가-제한 반응을 기반으로 하며, 이는 하부 층을 온전히 유지하면서 반응물이 기판 상에서 오직 이용가능한 표면 부위에만 반응함을 의미한다. ALE 공정 조건은 반응물 유량 및 노출 시간에 관한 자가-제한 성질을 추적함으로써 최적화될 수 있다. 시스템으로부터 과다한 에칭액을 제거하기 위해 각 단계의 말미에서 일정한 N2 퍼지를 사용하여 임의의 상승적 반응을 방지하였다.
도 2를 참고하면, 개시된 ALE 공정의 한 사이클에서, HFC 가스와 Ar의 가스 혼합물로부터 형성된 플라즈마 에칭 가스는 단계 1에서 반응 챔버에서 SiN 층의 표면 상에 중합체 박막을 침착한다. 이어서 중합체 박막은 단계 2에서 순수한 비활성 가스(예를 들어, Ar) 플라즈마에 의해 에칭되거나 제거된다. 각각의 단계 후에, 반응 챔버는 펌프/N2 퍼지/펌프 공정을 경험하고, 이는 다음 단계를 진행하기 전에 반응 챔버를 진공으로 펌핑함, 퍼지하기 위해 반응 챔버로 N2를 충전함 및 반응 챔버를 진공으로 다시 펌핑함을 포함한다.
개시된 순환 ALE 방법은 포화 또는 불포화, 선형 또는 환형인 화학식 CxHyFz(식 중, x는 2 내지 5이고, y > z이다)를 갖는 HFC 가스를 사용하여 SiN을 선택적으로 플라즈마 에칭하는 단계를 포함할 수 있다. HFC 플라즈마는 SiN과 상호작용하여 C 풍부 중합체(C:F > 1)를 형성하고, 이는 SiN 층의 상부에 침착되어 중합체 층을 형성한다. 개시된 HFC 가스를 사용하여 플라즈마 챔버에서 비활성 가스와 혼합하여 중합체 층 및 또한 SiN 층의 단일 원자 층을 선택적으로 에칭할 수 있다. 따라서, 높은 선택성, 최소화된 푸팅, 제한된 불소 형성 및 SiN 스페이서의 평활한 표면과 같은 개선된 프로파일 제어에 의해 SiN 스페이서가 형성된다. 비활성 가스는 Ar, Kr 및 Xe일 수 있다. 바람직하게는, Ar이다.
SiN 층 상에 중합체 층을 형성하기 위해 개시된 HFC 가스에는 다음의 HFC 가스들, 즉 플루오로에탄 C2H5F(CAS# 353-36-6) 및 1-플루오로프로판 C3H7F(CAS# 460-13-9)가 포함될 수 있다. 이들 HFC 가스를 사용하여 플라즈마 챔버에서 비활성 가스와 혼합하여 SiN 층 상에 중합체 층을 침착한다. 중합체 층과 SiN 층 사이의 간층은 SiN 층의 표면을 개질하기 위해 형성된다. 이어서, Ar과 같은 비활성 가스의 플라즈마가 중합체 층 및 또한 간층을 선택적으로 제거한다. 이는 SiN 층의 단일 원자 층을 제거하는 것과 동일하다. 이러한 방식으로, 높은 선택성, 최소화된 푸팅, 제한된 불소 형성 및 SiN 스페이서의 평활한 표면과 같은 개선된 프로파일 제어에 의해 SiN 스페이서가 형성된다. 비활성 가스는 Ar, Kr 및 Xe, 바람직하게는 Ar일 수 있다.
개시된 HFC 가스는 주요 불순물 N2, COx, SOx, H2O 등을 제거함으로써 99% v/v 초과의 순도, 바람직하게는 99.9% v/v 초과의 순도로 제공된다.
개시된 HFC 가스는 1 부피% 미만의 미량 가스 불순물을 함유하며, 상기 미량 가스상 불순물에는 N2 및/또는 H2O 및/또는 CO2와 같은 불순물 가스가 부피 기준으로 150 ppm 미만으로 함유된다. 바람직하게는, 플라즈마 에칭 가스에서 물 함량은 중량 기준으로 20 ppmw 미만이다. 정제된 생성물은 증류에 의해 및/또는 4 Å 분자체와 같은 적합한 흡착제를 통해 가스 또는 액체를 통과시킴으로써 생성될 수 있다.
개시된 순환 ALE 방법은 기판이 그 안에 배치되어 있는 플라즈마 공정 챔버를 제공하는 단계를 포함한다. 플라즈마 공정 챔버는 디바이스 내의 임의의 외장 또는 챔버일 수 있고, 그러한 디바이스에서, 에칭 방법은, 예를 들어, 그리고 비제한적으로, 반응성 이온 에칭(RIE), 단일 또는 다중 주파수 RF 공급원을 이용한 용량 결합 플라즈마(CCP), 유도 결합 플라즈마(ICP), 전자 사이클로트론 공명(ECR), 마이크로파 플라즈마 반응기, 원격 플라즈마 반응기, 펄스 플라즈마 반응기, 또는 규소-함유 필름의 일부를 선택적으로 제거하거나 또는 활성 종을 발생시킬 수 있는 다른 유형의 에칭 시스템과 같은, 플라즈마 에칭을 위해서 이용되는 임의의 챔버 또는 외장에서 실시된다. 바람직한 챔버는 CCP 챔버이다.
당업자는, 상이한 플라즈마 반응 챔버 설계가 상이한 전자 온도 조절을 제공한다는 것을 인지할 것이다. 적합한 상업적으로 입수가능한 플라즈마 반응 챔버에는 상표명 eMAXTM으로 시판되는 Applied Materials의 자기적으로 향상된 반응성 이온 에칭기 또는 상표명 2300® FlexTM으로 시판되는 Lam Research Dual CCP의 반응성 이온 에칭기 유전체 에칭 제품 패밀리가 포함되지만, 이에 한정되지 않는다. 여기에서 RF 전력은 플라즈마 성질을 조절하기 위해 펄스화될 수 있고, 이에 의해 에칭 성능(선택성 및 손상)을 추가로 개선시킬 수 있다.
고 중합체 침착을 제거하거나 또는 고 중합체 침착의 두께를 감소시키기 위해 산소-함유 가스가 반응 챔버로 도입될 수 있다. 산소-함유 가스에는, 비제한적으로, O2, O3, CO, CO2, NO, NO2, N2O, SO2, COS, H2O 및 이들의 조합과 같은 산화제가 포함된다. 산소 또는 산소 함유 가스를 플라즈마 화학물질에 첨가하면 플라즈마 종의 F/C 비가 증가하고 중합체 형성이 감소하는 것으로 알려져 있다(예를 들어, Hung 등에 허여된 미국 특허 제6387287호 참고). 개시된 HFC 가스 및 산소 함유 가스는 반응 챔버로 도입 전에 함께 혼합될 수 있다.
대안적으로, 산소-함유 가스는 챔버 내에 연속적으로 도입되며, 개시된 HFC 가스는 챔버 내에 펄스식으로 도입된다. 산소-함유 가스는 챔버 내에 도입된 혼합물의 대략 0.01 부피% 내지 대략 99.99 부피%를 차지한다.
개시된 순환 ALE 방법에서, 플라즈마 공정 시간은 0.01초 내지 10000초로 다양할 수 있다. 바람직하게는 1초 내지 30초이다. N2 퍼지 시간은 1초 내지 10000초로 다양할 수 있다. 바람직하게는 10초 내지 60초이다.
반응 챔버 내의 온도 및 압력은 규소-함유 필름이 활성화된 에칭 가스와 반응하기에 적합한 조건에서 유지된다. 예를 들어, 챔버 내의 압력은 에칭 파라미터에 의해 요망되는 바와 같이, 대략 1 mTorr 내지 대략 50 Torr, 바람직하게는 대략 1 mTorr 내지 대략 10 Torr, 더욱 바람직하게는 대략 300 mTorr 내지 대략 1 Torr에서 유지될 수 있다. 마찬가지로, 챔버 내의 기판 온도는 대략 -110℃ 내지 대략 2000℃, 바람직하게는 대략 -70℃ 내지 대략 1500℃, 더욱 바람직하게는 대략 -20℃ 내지 대략 1000℃, 더욱 더 바람직하게는 대략 25℃ 내지 대략 700℃, 더욱 더 바람직하게는 대략 25℃ 내지 대략 500℃, 더욱 더 바람직하게는 대략 25℃ 내지 대략 50℃의 범위일 수 있다. 챔버 벽 온도는 공정 요건에 따라 대략 25℃ 내지 대략 100℃의 범위일 수 있다.
일 구현예에서, 개시된 HFC 가스는 피복된 SiN 층을 갖는 게이트 스택과 같은 구조물이 그 위에 형성되어 있는 기판을 함유하는 반응 챔버 내에 도입된다. 가스는 대략 1 sccm 내지 대략 10 slm 범위의 유량으로 챔버에 도입될 수 있다. 바람직하게는, 1 sccm 내지 100 sccm이다. 비활성 가스는 대략 1 sccm 내지 대략 10 slm 범위의 유량으로 챔버에 도입될 수 있다. 바람직하게는, 10 sccm 내지 200 sccm이다. 당업자는 유량이 툴(tool)에 따라 달라질 수 있다는 것을 인지할 것이다.
개시된 순환 에칭 방법은 i) 플라즈마 공정 챔버 또는 반응 챔버 내에서 기판 홀더(holder) 상에 패턴화된 기판을 배치하는 단계로서, 패턴화된 기판은 기판 상에 적어도 하나의 구조물을 피복하는 SiN 층을 갖고, 여기서 구조물은 게이트 스택일 수 있으며, 기판은 Si-함유 층(들)을 함유할 수 있는 단계, ii) HFC 가스 또는 HFC 가스와 비활성 가스의 혼합물을 반응 챔버로 도입하여 그 안에 플라즈마를 생성시키는 단계로서, 일단 플라즈마가 생성되면, 플라즈마는 SiN 층의 표면을 개질하는 SiN 층 상에 중합체 층을 침착시키고, HFC 가스는 포화 또는 불포화, 선형 또는 환형 HFC인 화학식 CxHyFz(식 중, x는 2 내지 5이고, y > z이다)를 갖고, 비활성 가스는 N2, Ar, Kr, Xe이고, 바람직하게는 Ar인 단계; iii) HFC 가스 또는 HFC 가스와 비활성 가스의 혼합물을 반응 챔버가 고 진공에 도달할 때까지 반응 챔버 밖으로 펌핑하는 단계; iv) 반응 챔버를 N2로 퍼지하는 단계; v) 반응 챔버를 고 진공으로 다시 펌핑하는 단계, 즉 반응 챔버가 고 진공에 도달할 때까지 N2를 반응 챔버 밖으로 펌핑하는 단계; vi) 비활성 가스를 반응 챔버로 도입시켜 비활성 가스의 플라즈마를 생성시키는 단계; vii) 비활성 가스의 플라즈마에 SiN 층 상에 침착된 중합체 층을 노출시켜, 비활성 가스의 플라즈마가 이온 충격을 통해 에칭 프런트 상의 SiN 층 상에 침착된 중합체 층 및 에칭 프런트 상의 SiN 층의 개질된 표면을 제거하는 단계; vii) 반응 챔버를 고 진공으로 펌핑하는 단계, 즉 반응 챔버가 고 진공에 도달할 때까지 비활성 가스를 반응 챔버 밖으로 펌핑하는 단계; viii) 반응 챔버를 N2로 퍼지하는 단계; ix) 반응 챔버를 고 진공으로 펌핑하는 단계; 및 x) 에칭 프런트 상의 SiN 층이 선택적으로 제거될 때까지 단계 ii) 내지 ix)를 반복하여, 이에 의해 게이트 스택의 측벽 상에 SiN 층을 포함하는 실질적으로 수직으로 직립한 SiN 스페이서를 형성하는 단계를 추가로 포함한다. 본원에서 과다 에칭 레시피가 적용될 수 있다, 예를 들어 50% 과다 에칭 내지 200% 과다 에칭이 적용될 수 있다.
이상적인 경우, 이온 충격 공정은 오직 에칭 프런트 상의 중합체 층 및 SiN 층의 개질된 표면, 즉 필러의 상부 및 트렌치의 하부 상에서 SiN 층 및 SiN 층의 개질된 표면 만을 제거하고, 측벽 상의 SiN 층은 변하지 않은 채로 남겨둔다. 실제로, 작은 편차 및/또는 만곡된 하부를 갖는 구조물로 인해 측벽 상의 SiN 층의 두께는 약간 변할 수 있다. 개시된 순환 에칭 방법은 게이트 스택의 측벽 상에서 SiN 층의 적어도 대부분이 제거되지 않게 한다. 바람직하게는, 게이트 스택의 측벽 상에서 SiN 층, 특히 구조물의 하부에 밀접한 SiN 층의 두께의 10% 미만이 제거된다. 더욱 바람직하게는, 게이트 스택의 측벽 상에서 SiN 층의 두께의 5% 미만이 제거된다. 더욱 더 적합하게는, 게이트 스택의 측벽 상에서 SiN 층의 두께의 1% 미만이 제거된다. 더욱 더 바람직하게는, 게이트 스택의 측벽 상에서 SiN 층의 측정가능한 두께 감소가 발생하지 않는다.
통상적인 SiN 스페이서 에칭 공정과 비교하여, 본원에 개시된 HFC 가스를 사용하는 개시된 순환 ALE 공정은 화학적 완전성을 유지하는 한편, 기저 재료 상에 상당한 표면 조도 또는 화학 오염물(예를 들어, F 잔여물)을 유발하지 않으면서, 하기 실시예로부터, 스페이서의 하부 엣지에서 SiN 푸팅을 70% 초과 만큼 감소시킬 수 있다. 더욱 구체적으로, C2H5F를 사용하는 순환 ALE 공정에 의해, 트렌치의 하부 및 측벽 상에 플루오라이드 잔여물이 전혀 생성되지 않았다. 본원에서, 플루오라이드 잔여물이 전혀 없음은 트렌치의 하부 및 측벽 상에 대략 0.05% 미만의 플루오라이드 잔여물, 바람직하게는 0.03% 미만이 남아 있음을 의미한다. 개시된 HFC 가스를 사용하는 개시된 순환 ALE 공정은 또한 SiN 스페이서의 평활한 표면을 생성한다.
실시예
본 발명의 구현예를 추가로 예시하기 위해 하기 비제한적인 실시예가 제공된다. 그러나, 실시예는 모든 것을 포괄하도록 의도된 것이 아니며, 본원에 기술된 본 발명의 범위를 제한하도록 의도된 것이 아니다.
하기 실시예는 각각의 단계에 대해 다양한 조건을 갖는 CCP 플라즈마 챔버로 수행하였다. 플라즈마 전력, 압력, 가스 유량, 반응 시간 등은 매우 잘 제어되었다. 압력 범위는 300 mtorr 내지 1 Torr였다. 온도 범위는 25C° 내지 50C°였다. CH3F 또는 C2H5F 또는 C3H7F에 대한 가스 유량은 1 sccm 내지 10 sccm으로 다양하였다. 희가스에 대한 유량은 10 sccm 내지 200 sccm으로 다양하였다. 사용된 희가스는 Ar이었다. RF 플라즈마 전력은 50 W 내지 100 W 범위에 이르렀다. 플라즈마 공정 시간 또는 반응 시간은 1초 내지 30초로 다양하였다. N2 퍼지 시간은 10초 내지 60초로 다양하였다. CH3F 또는 C2H5F 또는 C3H7F의 원하는 순도는 N2, COx, CxHyFz, SOx, H2O 등과 같은 주요 불순물을 제거함으로써 >99.9%였다.
하기 실시예에서 사용되는 샘플은 도 1a에 나타낸 바와 같은 패턴화된 스페이서 웨이퍼였고, 여기서 기판은 Si 기판이었다.
엘리소미터는 J.A.Woollam Co. M-2000이었다. 패턴화된 구조물을 이미지화하기 위한 SEM(주사 전자 현미경)은 JOEL JSM-7500 SEM이었다. 표면을 특징화하기 위한 XPS는 Kratos XPS - Supra Model이었다. 표면을 검사하기 위한 AFM(원자력 현미경)은 Park NX10 AFM이었다. 명시야(BF) TEM 모드 및 고 해상(HR) TEM 모드에서 200 kV에서 작동되는 FEI Tecnai Osiris FEG/TEM을 사용하여 패턴화된 구조물을 이미지화하기 위한 TEM(투과 전자 현미경)을 수행하였다. Bruker Quantax EDS 시스템 상에서 EDS(전자 확산 스펙트럼)를 획득하였다.
실시예 1 CH 3 F 순환 ALE 공정
최적화된 ALE 조건 하에 CH3F 순환 ALE 공정을 수행하였다. 도 2를 참조하면, 에칭 가스는 CH3F였다. CH3F에 의한 침착 단계(단계 1)를 RF 전력 75 W, 압력 300 mTorr, Ar 가스 유량 100 sccm, CH3F 유량 5 sccm으로 수행하였고, 침착 단계에 대한 반응 시간은 4초였다. 제거 단계(단계 2)를 RF 전력 50 W, 압력 500 mTorr, Ar 가스 유량 100 sccm, CH3F 없음 및 반응 시간 30초로 수행하였다. 단계 1과 단계 2 사이 및 그 반대에서 펌프/N2 퍼지/펌프 공정에 대한 시간은 90 초였다. 도 3은 CH3F에 대한 ALE 사이클 대비 에칭된 두께를 나타낸다. ALE 사이클이 증가함에 따라, SiN 에칭된 두께는 점점 깊어지고, p-Si, SiO 및 SiON에 대한 SiN의 선택성은 점점 높아지며, SiCN에 대한 SiN의 선택성은 변하지 않고 남아 있을 수 있다. 다양한 ALE 사이클에 따른 CH3F를 사용하는 사이클당 SiN의 에칭된 두께가 표 1에 나열되어 있다.
[표 1]
Figure pct00001
실시예 2 C 2 H 5 F 순환 ALE 공정
최적화된 ALE 조건 하에 C2H5F 순환 ALE 공정을 수행하였다. 도 2를 참고하면, 에칭 가스는 C2H5F였다. C2H5F에 의한 침착 단계(단계 1)를 RF 전력 75 W, 압력 300 mTorr, Ar 가스 유량 100 sccm, C2H5F 유량 5 sccm으로 수행하였고, 침착 단계에 대한 반응 시간은 4초였다. 제거 단계(단계 2)를 RF 전력 50 W, 압력 500 mTorr, Ar 가스 유량 100 sccm, C2H5F 없음 및 반응 시간 35초로 수행하였다. 단계 1과 단계 2 사이 및 그 반대에서 펌프/N2 퍼지/펌프 공정에 대한 시간은 90초였다. 도 4는 C2H5F에 대한 ALE 사이클 대비 에칭된 두께를 나타낸다. ALE 사이클이 증가함에 따라, SiN 에칭된 두께는 선형으로 증가하고, p-Si, SiO, SiON 및 SiCN에 대해 어떠한 에칭도 일어나지 않는다. C2H5F 순환 ALE 공정의 결과는 p-Si, SiO, SiON 및 SiCN에 대한 SiN의 선택성이 매우 높음, 거의 무한 선택성임을 보여준다.
CH3F를 사용하는 순환 ALE 공정과 비교하여, C2H5F 가스는 p-Si, SiO, SiON 및 SiCN에 대한 SiN의 에칭 선택성이 더욱 높고, 에칭 속도가 더욱 낮음을 보여주며, 따라서 사이클당 적은 양의 에칭을 얻었다. 다양한 ALE 사이클에 따른 C2H5F를 사용하는 사이클당 SiN의 에칭된 두께가 표 1에 나열되어 있다.
실시예 3 C 3 H 7 F 순환 ALE 공정
최적화된 ALE 조건 하에 C3H7F 순환 ALE 공정을 수행하였다. 도 2를 참고하면, 에칭 가스는 C3H7F였다. C3H7F에 의한 침착 단계(단계 1)를 RF 전력 75 W, 압력 300 mTorr, Ar 가스 유량 100 sccm, C3H7F 유량 5 sccm으로 수행하였고, 침착 단계에 대한 반응 시간은 4초였다. 제거 단계(단계 2)를 RF 전력 50 W, 압력 500 mTorr, Ar 가스 유량 100 sccm, C3H7F 없음 및 반응 시간 40초로 수행하였다. 단계 1과 단계 2 사이 및 그 반대에서 펌프/N2 퍼지/펌프 공정에 대한 시간은 150초였다. 도 5는 C3H7F에 대한 ALE 사이클 대비 에칭된 두께를 나타낸다. 에칭된 두께 양은 ALE 사이클 수에 따라, 2.0 내지 2.4 nm/사이클의 에칭 속도로 선형으로 증가하였다. 최적화된 조건 하에 다른 재료에 대한 SiN의 무한 에칭 선택성을 또한 얻었다. 다양한 ALE 사이클에 따른 C3H7F를 사용하는 사이클당 SiN의 에칭된 두께가 표 1에 나열되어 있다.
실시예 4 CH 3 F 및 C 2 H 5 F를 사용하는 SiN 스페이서 패턴화된 웨이퍼 순환 ALE의 SEM
도 1a를 참고하면, 에칭 전 SiN 스페이서 패턴화된 웨이퍼의 치수는 다음과 같다: "a"는 34 nm이고; "b"는 34 nm이고; "c"는 34 nm이다. 기판(102)은 Si 기판이다. 에칭 후 관련된 주요 인자는 Si 기판에 대한 손상, 측벽 침착, 스페이서와 기판 사이의 모서리에서의 푸팅, SiN 층 및 기판 또는 에칭 프런트 상의 플루오라이드 잔여물, SiN 층 및 기판 또는 에칭 프런트의 표면 조도 등이다. 표 2는 50% 에칭, 100% 에칭, 100% 과다 에칭 및 200% 과다 에칭과 같은 다양한 순환 ALE 모드에 따라 CH3F 및 C2H5F를 사용하는 SiN 스페이서의 순환 ALE 후 에칭 프런트의 두께를 나열한다. C2H5F를 사용하는 ALE 100% 에칭 및 ALE 100% 과다 에칭이 최적화된 결과를 제공하였음에 주목하고, 이는 스페이서의 하부에 형성된 푸팅이 거의 없음을 입증한다.
[표 2]
Figure pct00002
실시예 5 C 2 H 5 F를 사용하는 SiN 스페이서 페턴화된 웨이퍼 순환 ALE의 TEM
실시예 4에서 입증된 C2H5F를 사용하는 ALE 100% 에칭 및 100% 과다 에칭을 TEM으로 추가로 시험하였다.
도 1a를 참고하면, 에칭 전 SiN 스페이서 패턴화된 웨이퍼의 치수는 다음과 같다: "a"는 34 nm이고; "b"는 34 nm이고; "c"는 34 nm이다. 기판(102)은 Si 기판이다. FEI Strata 400 Dual Beam FIB/SEM 상에 동일계 집속 이온 빔(focused ion beam)(FIB) 리프트 아웃 기법을 사용하여 TEM-준비 샘플을 제조하였다. 샘플을 밀링 전에 보호 탄소 및 e-Pt/I-Pt로 캡핑하였다. TEM 라멜라 두께는 약 100 nm였다. 명시야(BF) TEM 모드 및 고 해상(HR) TEM 모드에서 200 kV에서 작동되는 FEI Tecnai Osiris FEG/TEM을 사용하여 샘플을 이미지화하였다. C2H5F를 사용하는 순환 ALE의 TEM 결과가 표 3에 나열되어 있다.
ALE -100% 에칭에 의해, 과다 에칭이 전혀 발생하지 않았고, 필러의 상부 상에서 SiN이 완전히 에칭되지 않았고, 측벽 상의 SiN 층의 좌측(L) 및 우측(R) 두께("a2", 기판에 밀접한 게이트 스택의 전체 높이의 약 1/3)는 각각 32.6 및 32.3 nm이고, 좌측 및 우측 푸팅("d")은 6.6 nm 및 8.2 nm였다. 측벽 상의 SiN 층의 두께("a2")는 약 5% 감소하였다. 반면에, ALE-100% 과다 에칭에 의해, 필러의 상부 상에서 SiN이 완전히 에칭되었고, 측벽 상의 SiN 층의 좌측 및 우측 두께("a2")는 각각 30.4 및 31.1 nm이고, 좌측 및 우측 푸팅은 6.0 nm 및 3.9 nm였다. 측벽 상의 SiN 층의 두께("a2")는 약 9.5% 감소하였다. 따라서, 게이트 스택의 측벽 상에서 SiN 층의 두께의 10% 미만이 제거된다. 측벽 상에서 SiN 층의 두께(a2)의 감소는 구조물 또는 게이트 스택에 인접한 SiN 층의 내면을 만곡시키는 기판에 인접한 구조물 또는 게이트 스택의 만곡부 때문일 수 있다. 측벽 상에서 SiN 층의 두께(a2)의 감소는 또한 작은 편차 때문일 수 있다.
Si 함몰부는 에칭된 Si 기판의 두께의 양을 지칭한다. Si 함몰부는 SiN 측벽의 하부 엣지로부터 좌측 및 우측 방향을 향해 10 nm 떨어진 곳에서 측정되었다. ALE-100% 에칭에 의해, 과다 에칭이 전혀 발생하지 않았고, 좌측 및 우측 Si 함몰부는 각각 1.446 nm 및 1.285 nm였다. 반면에, ALE-100% 과다 에칭에 의해, 좌측 및 우측 Si 함몰부는 각각 4.096 nm 및 4.194 nm였다.
C2H5F를 사용하는 100% 에칭 및 100% 과다 에칭을 적용한 ALE 후 SiN 스페이서의 표면 조도는 필러의 상부(T)의 표면 조도 및 트렌치의 하부(B)의 표면 조도를 포함한다. 표 3은 또한 표면 조도 결과를 포함한다. ALE 100% 에칭에 의해, SiN 층의 2 내지 3의 원자 층 수준(a.l.)이 여전히 필러의 상부 상에 남아 있고(양의 값), 이는 필러의 상부 상에서 SiN 층이 완전히 제거되지 않았음을 의미한다. 이러한 경우, SiN 층과 필러의 상부 사이의 계면은 평활하고 평탄하며, 이는 에칭이 없는 표면 조도와 동일하다. ALE-100% 에칭에 의해 에칭된 트렌치의 하부는 또한 트렌치의 하부 상에 SiN 층의 2 내지 3의 원자 층 수준이 남았음을 보여준다. ALE-100% 과다 에칭에 의해, 필러의 상부 및 트렌치의 하부는 모두 2 내지 3의 원자 층 수준으로 에칭되었다(음의 값).
[표 3]
Figure pct00003
실시예 6 C 2 H 5 F를 사용하는 SiN 스페이서 패턴화된 웨이퍼 순환 ALE의 EDS
도 6a는 각각 C2H5F를 사용하는 100% 에칭 측벽 및 100% 과다 에칭 측벽을 적용한 ALE 후 SiN 스페이서의 EDS 도표화를 나타낸다(측벽의 수평 스캔). 100% 에칭에 의해, 과다 에칭이 전혀 발생하지 않았고, 측벽 상에 F 잔여물이 없다. 100% 과다 에칭에 의해, 어느 하나의 측벽 상에서도 F 잔여물이 없다.
도 6b는 각각 C2H5F를 사용하는 100% 에칭 측벽 및 100% 과다 에칭 측벽을 적용한 순환 ALE 후 SiN 스페이서의 원자를 사용한 EDS 라인 스캔을 나타낸다(스페이서의 하부의 수직 스캔). 100% 에칭에 의해, 측벽 상에 F 잔여물이 없다. 100% 과다 에칭에 의해, 어느 하나의 측벽 상에서도 F 잔여물이 없다.
실시예 7 C 2 H 5 F를 사용하는 순환 ALE 대 연속 에칭
표 4는 연속 에칭 및 순환 ALE의 비교이다. 결과는 연속 에칭 공정에 의해, Si 함몰부가 2.9 nm였고; 중합체 층은 측벽 상에 형성되었고; 푸팅이 좌측 모서리에서 16.2 nm이고 우측 모서리에서 15.3 nm이었음을 보여준다. 반면에, 순환 ALE 공정에 의해, 결과는 Si 함몰부가 4.1 내지 4.2 nm였고; 최소화된 중합체 층이 측벽 상에 형성되었고; 푸팅이 좌측에서 6.0 nm였고, 3.9 nm가 우측에 형성되었음을 보여준다. 연속 에칭과 비교하여, 순환 ALE 공정은 푸팅을 대략 75% 감소시킨다. 따라서, SiN 스페이서를 에칭하기 위해 C2H5F를 사용하는 연속 에칭 공정에 의한 것과 비교할 때, 순환 ALE 공정에 의해서, Si 함몰부 및 표면 조도는 모두 개선되고 있고, 푸팅이 거의 형성되지 않는다. 본원에서, 거의 없는 푸팅은 "d" ≤ 대략 6 nm에 의해 정의될 수 있다.
[표 4]
Figure pct00004
도 7은 C2H5F를 사용하는 SiN 스페이서의 연속 에칭을 나타낸다: EDS 도표화(좌측 도면) 및 EDS 라인 스캔(우측 도면). 명백하게, 연속 에칭에 의해, F 잔여물이 측벽(약 22 내지 36 nm) 및 트렌치의 하부(약 36 내지 58 nm) 상에 존재하였다. 반면에, F 잔여물은 도 6a도 6b에서는 나타나지 않았다.
표 5는 각각 순환 ALE 및 연속 에칭 후 트렌치의 하부 및 측벽 상에 남아 있는 플루오라이드 잔여물의 측정된 백분율을 나열한다. 순환 ALE 공정 모드는 트렌치의 하부 및 측벽 상에 플루오라이드를 거의 남기지 않았지만, 연속 에칭 방법은 트렌치의 하부 및 측벽 상에 플루오라이드 잔여물을 생성시켰다.
따라서, C2H5F를 사용하는 순환 ALE 공정 모드는 플루오라이드 잔여물을 전혀 생성시키지 않고, 에칭 프런트 및 측벽의 표면 상에서 에칭액 잔여물을 감소시켰다. C2H5F를 사용하는 순환 ALE 공정 모드는 최소화된 SiN 푸팅을 생성하고, SiN 스페이서의 상부에 대한 손상이 거의 없다.
[표 5]
Figure pct00005
실시예 8 순환 ALE SiN 평면 웨이퍼에 대해 C 2 H 5 F를 사용하는 표면 조도
표면 조도 - C2H5F를 사용하는 순환 ALE 전 및 후에 AFM에 의해 평면 웨이퍼 상의 SiN의 얇은 필름의 RMS를 측정하였다. C2H5F를 사용하는 순환 ALE 전, RMS(실효값) = 2.9 nm이다. C2H5F를 사용하는 순환 ALE 후, RMS = 1.1 nm이다. 따라서, C2H5F를 사용하는 순환 ALE 후 더 작은 RMS가 획득되었고, 이는 C2H5F를 사용하는 ALE의 개선된 표면 평활화 효과를 보여준다.
요약하면, C2H5F, C3H7F와 같은 개시된 HFC를 사용하는 SiN 스페이서의 개시된 순환 ALE는 SiN 푸팅을 최소화할 수 있고(예를 들어, 연속 에칭과 비교하여 푸팅을 대략 75% 감소시킴), 필러의 상부, 트렌치의 하부 및 측벽 상에 F 잔여물을 전혀 생성하지 않고, 화학 오염이 전혀 없고, 순환 ALE 공정 후 표면 조도 열화가 전혀 없다. C2H5F, C3H7F와 같은 개시된 HFC를 사용하는 SiN 스페이서의 개시된 순환 ALE는 고 선택성으로 반도체 응용에서 Si-함유 기판 상에 형성된 SiN 스페이서를 에칭하기 위한 에칭 프로파일 제어를 개선시킨다.
본 발명의 본질을 설명하기 위해 본원에서 설명되고 예시된 세부 사항, 재료, 단계, 및 부품 배열의 다수의 추가적인 변경이 첨부된 청구범위에 나타나 있는 바와 같은 본 발명의 원리 및 범위 내에서 당업자에 의해 이루어질 수 있음이 이해될 것이다. 따라서, 본 발명은 전술한 실시예 및/또는 첨부 도면의 특정 구현예에 한정되는 것은 아니다.
본 발명의 구현예가 제시되고 설명되었지만, 본 발명의 사상 또는 교시를 벗어남이 없이, 당업자에 의해 이들의 수정이 이루어질 수 있다. 본원에 기재된 구현예는 단지 예시적이며 비제한적이다. 조성물 및 방법의 많은 변형 및 수정이 가능하며 이는 본 발명의 범위 내에 있다. 따라서, 보호 범위는 본원에 기재된 구현예에 한정되는 것이 아니라, 다음의 청구범위에 의해서만 제한되며, 그 범위는 청구범위의 주제에 대한 모든 균등물을 포함한다.

Claims (20)

  1. 수직으로 직립한 SiN 게이트 스페이서를 형성하기 위한 순환 에칭 방법으로서,
    i) 반응 챔버에서 규소-함유 기판 상에 게이트 스택을 피복하는 SiN 층을 C2H5F 및 C3H7F로 이루어진 군으로부터 선택되는 하이드로플루오로카본(HFC)의 플라즈마에 노출시켜 SiN 층의 표면을 개질하는 SiN 층 상에 침착된 중합체 층을 형성하는 단계;
    ii) SiN 층 상에 침착된 중합체 층을 비활성 가스의 플라즈마에 노출시켜, 비활성 가스의 플라즈마가 SiN 층 상에 침착된 중합체 층 및 에칭 프런트 상의 SiN 층의 개질된 표면을 제거하는 단계; 및
    iii) 에칭 프런트 상의 SiN 층이 선택적으로 제거될 때까지 단계 i) 및 ii)를 반복하여, 이에 의해 게이트 스택의 측벽 상에 SiN 층을 포함하는 실질적으로 수직으로 직립한 SiN 스페이서를 형성하는 단계를 포함하는, 순환 에칭 방법.
  2. 제1항에 있어서,
    단계 (i) 후에,
    반응 챔버를 진공으로 펌핑하는 단계;
    반응 챔버를 N2로 퍼지하는 단계;
    반응 챔버를 진공으로 펌핑하는 단계; 및
    비활성 가스를 반응 챔버로 도입시켜 비활성 가스의 플라즈마를 생성하는 단계를 추가로 포함하는, 순환 에칭 방법.
  3. 제2항에 있어서,
    단계 (ii) 후에,
    반응 챔버를 진공으로 펌핑하는 단계;
    반응 챔버를 N2로 퍼지하는 단계;
    반응 챔버를 진공으로 펌핑하는 단계; 및
    C2H5F 또는 C3H7F 가스를 반응 챔버로 도입시켜 C2H5F 또는 C3H7F의 플라즈마를 생성하는 단계를 추가로 포함하는, 순환 에칭 방법.
  4. 제1항 내지 제3항 중 어느 한 항에 있어서,
    반복 단계에서 게이트 스택의 측벽 상에서 SiN 층의 두께(a2)의 10% 미만이 제거되는, 순환 에칭 방법.
  5. 제1항 내지 제3항 중 어느 한 항에 있어서,
    비활성 가스는 Ar인, 순환 에칭 방법.
  6. 제1항 내지 제3항 중 어느 한 항에 있어서,
    C2H5F 및 C3H7F는 게이트 스택 상에서 SiN 층을 선택적으로 에칭하는, 순환 에칭 방법.
  7. 제1항 내지 제3항 중 어느 한 항에 있어서,
    수직으로 직립한 SiN 스페이서와 기판 사이의 각각의 모서리에 형성된 푸팅(d)은 6.0 nm 이하의 크기인, 순환 에칭 방법.
  8. 제1항 내지 제3항 중 어느 한 항에 있어서,
    수직으로 직립한 SiN 스페이서 및 에칭 프런트 상에 플루오라이드 잔여물이 전혀 남지 않는, 순환 에칭 방법.
  9. 제1항 내지 제3항 중 어느 한 항에 있어서,
    단계 (ii)에서 중합체 층을 제거하는 단계는 이온 충격 공정인, 순환 에칭 방법.
  10. 순환 에칭 방법으로서,
    i) 반응 챔버에서 기판 상에 구조물을 피복하는 SiN 층을 하이드로플루오로카본(HFC)의 플라즈마에 노출시켜 SiN 층의 표면을 개질하는 SiN 층 상에 침착된 중합체 층을 형성하는 단계로서, HFC는 화학식 CxHyFz(식 중, x는 2 내지 5이고, y > z이다)를 갖고, HFC는 포화 또는 불포화, 선형 또는 환형 HFC인 단계;
    ii) SiN 층 상에 침착된 중합체 층을 비활성 가스의 플라즈마에 노출시켜, 비활성 가스의 플라즈마가 SiN 층 상에 침착된 중합체 층 및 에칭 프런트 상의 SiN 층의 개질된 표면을 제거하는 단계; 및
    iii) 에칭 프런트 상의 SiN 층이 선택적으로 제거될 때까지 단계 i) 및 ii)를 반복하여, 이에 의해 구조물의 측벽 상에 SiN 층을 포함하는 실질적으로 수직으로 직립한 SiN 스페이서를 형성하는 단계를 포함하는, 순환 에칭 방법.
  11. 제10항에 있어서,
    단계 (i) 후에,
    반응 챔버를 진공으로 펌핑하는 단계;
    반응 챔버를 N2로 퍼지하는 단계;
    반응 챔버를 진공으로 펌핑하는 단계; 및
    비활성 가스를 반응 챔버로 도입시켜 비활성 가스의 플라즈마를 생성하는 단계를 추가로 포함하는, 순환 에칭 방법.
  12. 제11항에 있어서,
    단계 (ii) 후에,
    반응 챔버를 진공으로 펌핑하는 단계;
    반응 챔버를 N2로 퍼지하는 단계;
    반응 챔버를 진공으로 펌핑하는 단계; 및
    HFC를 반응 챔버로 도입시켜 HFC의 플라즈마를 생성하는 단계를 추가로 포함하는, 순환 에칭 방법.
  13. 제10항 내지 제12항 중 어느 한 항에 있어서,
    반복 단계에서 구조물의 측벽 상에서 SiN 층의 두께(a2)의 10% 미만이 제거되는, 순환 에칭 방법.
  14. 제10항 내지 제12항 중 어느 한 항에 있어서,
    비활성 가스는 N2, Ar, Kr 또는 Xe로부터 선택되는, 순환 에칭 방법.
  15. 제10항 내지 제12항 중 어느 한 항에 있어서,
    HFC는 C2H5F인, 순환 에칭 방법.
  16. 제10항 내지 제12항 중 어느 한 항에 있어서,
    HFC는 C3H7F인, 순환 에칭 방법.
  17. 제10항 내지 제12항 중 어느 한 항에 있어서,
    HFC는 구조물 상에서 SiN 층을 선택적으로 에칭하는, 순환 에칭 방법.
  18. 제10항 내지 제12항 중 어느 한 항에 있어서,
    수직으로 직립한 SiN 스페이서와 기판 사이의 각각의 모서리에 형성된 푸팅(d)은 6.0 nm 이하의 크기인, 순환 에칭 방법.
  19. 제10항 내지 제12항 중 어느 한 항에 있어서,
    수직으로 직립한 SiN 스페이서 및 에칭 프런트 상에 플루오라이드 잔여물이 전혀 남지 않는, 순환 에칭 방법.
  20. 제10항 내지 제12항 중 어느 한 항에 있어서,
    단계 (ii)의 중합체 층을 제거하는 단계는 이온 충격 공정인, 순환 에칭 방법.
KR1020217027546A 2019-02-01 2020-01-31 질화규소 스페이서의 선택성 에칭 동안 프로파일 제어를 개선시키는 방법 KR102580483B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020237030653A KR20230135156A (ko) 2019-02-01 2020-01-31 질화규소 스페이서의 선택성 에칭 동안 프로파일 제어를 개선시키는 방법

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US16/265,782 2019-02-01
US16/265,782 US10629451B1 (en) 2019-02-01 2019-02-01 Method to improve profile control during selective etching of silicon nitride spacers
PCT/US2020/016158 WO2020160437A1 (en) 2019-02-01 2020-01-31 Method to improve profile control during selectively etching of silicon nitride spacers

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020237030653A Division KR20230135156A (ko) 2019-02-01 2020-01-31 질화규소 스페이서의 선택성 에칭 동안 프로파일 제어를 개선시키는 방법

Publications (2)

Publication Number Publication Date
KR20210110750A true KR20210110750A (ko) 2021-09-08
KR102580483B1 KR102580483B1 (ko) 2023-09-19

Family

ID=70285024

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020217027546A KR102580483B1 (ko) 2019-02-01 2020-01-31 질화규소 스페이서의 선택성 에칭 동안 프로파일 제어를 개선시키는 방법
KR1020237030653A KR20230135156A (ko) 2019-02-01 2020-01-31 질화규소 스페이서의 선택성 에칭 동안 프로파일 제어를 개선시키는 방법

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020237030653A KR20230135156A (ko) 2019-02-01 2020-01-31 질화규소 스페이서의 선택성 에칭 동안 프로파일 제어를 개선시키는 방법

Country Status (5)

Country Link
US (4) US10629451B1 (ko)
JP (2) JP7241894B2 (ko)
KR (2) KR102580483B1 (ko)
TW (3) TWI797841B (ko)
WO (1) WO2020160437A1 (ko)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10629451B1 (en) * 2019-02-01 2020-04-21 American Air Liquide, Inc. Method to improve profile control during selective etching of silicon nitride spacers
CN113140458B (zh) * 2020-01-17 2024-03-01 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法
US11915933B2 (en) 2020-09-18 2024-02-27 Changxin Memory Technologies, Inc. Manufacturing method of semiconductor structure
US20240128089A1 (en) * 2022-10-18 2024-04-18 Tokyo Electron Limited Method to selectively etch silicon nitride to silicon oxide using water crystallization

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180005265A (ko) * 2015-06-03 2018-01-15 어플라이드 머티어리얼스, 인코포레이티드 진보된 패터닝 프로세스에서의 스페이서 퇴적 및 선택적 제거를 위한 장치 및 방법들
KR20180116456A (ko) * 2016-03-13 2018-10-24 어플라이드 머티어리얼스, 인코포레이티드 스페이서 애플리케이션들을 위한 실리콘 질화물 막들의 선택적 증착
KR20190004365A (ko) * 2016-05-29 2019-01-11 도쿄엘렉트론가부시키가이샤 측벽 이미지 전사 방법
KR20190004363A (ko) * 2016-05-29 2019-01-11 도쿄엘렉트론가부시키가이샤 선택적 실리콘 질화물 에칭 방법

Family Cites Families (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100327346B1 (ko) 1999-07-20 2002-03-06 윤종용 선택적 폴리머 증착을 이용한 플라즈마 식각방법 및 이를이용한 콘택홀 형성방법
TW486733B (en) 1999-12-28 2002-05-11 Toshiba Corp Dry etching method and manufacturing method of semiconductor device for realizing high selective etching
US6916746B1 (en) 2003-04-09 2005-07-12 Lam Research Corporation Method for plasma etching using periodic modulation of gas chemistry
US7476610B2 (en) 2006-11-10 2009-01-13 Lam Research Corporation Removable spacer
KR101141873B1 (ko) * 2007-10-05 2012-05-24 세키스이가가쿠 고교가부시키가이샤 실리콘의 에칭 방법
KR20110002017A (ko) 2008-03-31 2011-01-06 제온 코포레이션 플라즈마 에칭 방법
TW201007885A (en) * 2008-07-18 2010-02-16 Nec Electronics Corp Manufacturing method of semiconductor device, and semiconductor device
US8501630B2 (en) 2010-09-28 2013-08-06 Tokyo Electron Limited Selective etch process for silicon nitride
US8765613B2 (en) 2011-10-26 2014-07-01 International Business Machines Corporation High selectivity nitride etch process
US9190316B2 (en) 2011-10-26 2015-11-17 Globalfoundries U.S. 2 Llc Low energy etch process for nitrogen-containing dielectric layer
US9257293B2 (en) 2013-03-14 2016-02-09 Applied Materials, Inc. Methods of forming silicon nitride spacers
US9177871B2 (en) * 2013-12-30 2015-11-03 Globalfoundries Inc. Balancing asymmetric spacers
US9287279B2 (en) * 2014-03-26 2016-03-15 Taiwan Semiconductor Manufacturing Co., Ltd. Silicon nitride (SiN) encapsulating layer for silicon nanocrystal memory storage
US9711365B2 (en) 2014-05-02 2017-07-18 International Business Machines Corporation Etch rate enhancement for a silicon etch process through etch chamber pretreatment
US9773683B2 (en) 2014-06-09 2017-09-26 American Air Liquide, Inc. Atomic layer or cyclic plasma etching chemistries and processes
US9318343B2 (en) 2014-06-11 2016-04-19 Tokyo Electron Limited Method to improve etch selectivity during silicon nitride spacer etch
US10217681B1 (en) * 2014-08-06 2019-02-26 American Air Liquide, Inc. Gases for low damage selective silicon nitride etching
US20180277387A1 (en) * 2014-08-06 2018-09-27 American Air Liquide, Inc. Gases for low damage selective silicon nitride etching
US9627533B2 (en) * 2015-02-05 2017-04-18 International Business Machines Corporation High selectivity nitride removal process based on selective polymer deposition
US9478433B1 (en) 2015-03-30 2016-10-25 Applied Materials, Inc. Cyclic spacer etching process with improved profile control
JP6516542B2 (ja) * 2015-04-20 2019-05-22 東京エレクトロン株式会社 被エッチング層をエッチングする方法
KR101731792B1 (ko) * 2015-10-08 2017-05-02 주식회사 테스 실리콘나이트라이드막의 건식식각방법
JP6613207B2 (ja) * 2015-11-13 2019-11-27 東京エレクトロン株式会社 被処理体をエッチングする方法
JP6606464B2 (ja) * 2016-05-20 2019-11-13 東京エレクトロン株式会社 エッチング方法
WO2018044713A1 (en) 2016-08-29 2018-03-08 Tokyo Electron Limited Method of quasi-atomic layer etching of silicon nitride
US10037890B2 (en) * 2016-10-11 2018-07-31 Lam Research Corporation Method for selectively etching with reduced aspect ratio dependence
US10079154B1 (en) 2017-03-20 2018-09-18 Lam Research Corporation Atomic layer etching of silicon nitride
FR3065576B1 (fr) * 2017-04-25 2020-01-24 Commissariat A L'energie Atomique Et Aux Energies Alternatives Procede de gravure d'une couche a base de sin
CN109962041B (zh) * 2017-12-26 2023-10-24 意法半导体有限公司 具有光保护的环境光传感器
US10658316B2 (en) * 2018-10-02 2020-05-19 Globalfoundries Singapore Pte. Ltd. Bond pad reliability of semiconductor devices
US10629451B1 (en) * 2019-02-01 2020-04-21 American Air Liquide, Inc. Method to improve profile control during selective etching of silicon nitride spacers

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180005265A (ko) * 2015-06-03 2018-01-15 어플라이드 머티어리얼스, 인코포레이티드 진보된 패터닝 프로세스에서의 스페이서 퇴적 및 선택적 제거를 위한 장치 및 방법들
KR20180116456A (ko) * 2016-03-13 2018-10-24 어플라이드 머티어리얼스, 인코포레이티드 스페이서 애플리케이션들을 위한 실리콘 질화물 막들의 선택적 증착
KR20190004365A (ko) * 2016-05-29 2019-01-11 도쿄엘렉트론가부시키가이샤 측벽 이미지 전사 방법
KR20190004363A (ko) * 2016-05-29 2019-01-11 도쿄엘렉트론가부시키가이샤 선택적 실리콘 질화물 에칭 방법

Also Published As

Publication number Publication date
US11469110B2 (en) 2022-10-11
WO2020160437A1 (en) 2020-08-06
JP2022524928A (ja) 2022-05-11
TW202211297A (zh) 2022-03-16
US10629451B1 (en) 2020-04-21
TW202331802A (zh) 2023-08-01
JP2023065655A (ja) 2023-05-12
US20240112920A1 (en) 2024-04-04
JP7241894B2 (ja) 2023-03-17
KR102580483B1 (ko) 2023-09-19
US11837474B2 (en) 2023-12-05
US20200251346A1 (en) 2020-08-06
TWI822631B (zh) 2023-11-11
US20230026743A1 (en) 2023-01-26
TWI749453B (zh) 2021-12-11
TW202403840A (zh) 2024-01-16
TWI797841B (zh) 2023-04-01
KR20230135156A (ko) 2023-09-22
TW202036665A (zh) 2020-10-01

Similar Documents

Publication Publication Date Title
JP7470834B2 (ja) 半導体構造エッチング用ヨウ素含有化合物
KR102459749B1 (ko) 3D NAND 소자 분야를 위한 비-플라즈마 건식 프로세스를 이용한 SIO2에 대한 SiN의 선택적 에칭
KR102580483B1 (ko) 질화규소 스페이서의 선택성 에칭 동안 프로파일 제어를 개선시키는 방법
CN115394641A (zh) 用于蚀刻半导体结构的含氮化合物
US11798811B2 (en) Iodine-containing fluorocarbon and hydrofluorocarbon compounds for etching semiconductor structures
WO2018126202A1 (en) Methods for minimizing sidewall damage during low k etch processes
JP2024500969A (ja) 高導電性パッシベーション層及び高アスペクト比プラズマエッチング中にそれを形成する方法
KR20240074901A (ko) 질화규소 스페이서의 선택성 에칭 동안 프로파일 제어를 개선시키는 방법
KR20240074902A (ko) 질화규소 스페이서의 선택성 에칭 동안 프로파일 제어를 개선시키는 방법
TWI838915B (zh) 使用含矽氫氟烴之蝕刻方法
US20230197465A1 (en) Oxygen and iodine-containing hydrofluorocarbon compound for etching semiconductor structures
KR20240074888A (ko) 규소-함유 히드로플루오로카본을 사용한 에칭 방법
WO2023069410A1 (en) Etching methods using silicon-containing hydrofluorocarbons

Legal Events

Date Code Title Description
E701 Decision to grant or registration of patent right
GRNT Written decision to grant