KR20190004363A - 선택적 실리콘 질화물 에칭 방법 - Google Patents

선택적 실리콘 질화물 에칭 방법 Download PDF

Info

Publication number
KR20190004363A
KR20190004363A KR1020187038078A KR20187038078A KR20190004363A KR 20190004363 A KR20190004363 A KR 20190004363A KR 1020187038078 A KR1020187038078 A KR 1020187038078A KR 20187038078 A KR20187038078 A KR 20187038078A KR 20190004363 A KR20190004363 A KR 20190004363A
Authority
KR
South Korea
Prior art keywords
substrate
plasma
process gas
gas
sin
Prior art date
Application number
KR1020187038078A
Other languages
English (en)
Other versions
KR102523717B1 (ko
Inventor
알록 란잔
비나약 라스토기
소남 디. 셰르파
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20190004363A publication Critical patent/KR20190004363A/ko
Application granted granted Critical
Publication of KR102523717B1 publication Critical patent/KR102523717B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD

Abstract

본 발명의 실시예들은 반도체 제조에 사용되는 다른 층에 대한 선택적 SiN 에칭을 위한 기판 처리 방법을 제공한다. 일 실시예에 따르면, 기판 처리 방법은 플라즈마 처리 챔버에서, 실리콘 질화물을 함유하는 제1 재료 및 상기 제1 재료와 상이한 제2 재료를 함유하는 기판을 제공하는 단계, NF3 및 O2를 함유하는 플라즈마 여기된 공정 가스를 형성하는 단계, 및 상기 제2 재료에 대해 상기 제1 재료를 선택적으로 에칭하도록 상기 기판을 상기 플라즈마 여기된 공정 가스에 노출시키는 단계를 포함한다. 일 실시예에 따르면, 제2 재료는 Si, SiO2 및 이들의 조합으로 이루어진 그룹으로부터 선택될 수 있다.

Description

선택적 실리콘 질화물 에칭 방법
관련 출원에 대한 상호 참조
본 출원은 그 전문이 여기에 참조로 포함된, 2016년 5월 29일자 출원된 미국 가특허 출원 제62/342,991호와 관련이 있으며 그 우선권을 주장한다. 이 출원은 그 전문이 여기에 참조로 포함된, 2016년 9월 7일자 출원된 미국 가특허 출원 제62/384,481호와 관련이 있으며 그 우선권을 주장한다.
기술 분야
본 발명은 반도체 제조 및 반도체 장치 분야에 관한 것으로, 보다 상세하게는 반도체 제조에 사용되는 다른 재료에 대한 선택적 실리콘 질화물 에칭 방법에 관한 것이다.
차세대 반도체 기술 개발은 실리콘 산화물(SiO2) 및 다른 재료에 선택적인 실리콘 질화물(SiN)의 건식 에칭 제거가 필요하기 때문에 큰 도전 과제이다. SiN 에칭에 사용되는 현재의 플루오로카본 화학 물질은 리세스가 형성된 특징부가 막히는 가능성으로 인해 좁은 마스크 개구 및 높은 종횡비에서 제어를 행하기가 극도로 곤란하게 된다. 각각의 후속 기술 노드마다 공정 마진이 감소된다. 따라서, 플루오로카본 증착이 없으며 기존 공정의 추가 과제를 우회하는 새로운 화학 물질의 필요성이 존재한다.
본 발명의 실시예는 비-중합 화학 물질을 사용하여 실리콘 질화물을 다른 재료에 대해 선택적으로 에칭하는 기판 처리 방법을 설명한다.
일 실시예에 따르면, 상기 방법은 플라즈마 처리 챔버 내에 SiN을 함유하는 제1 재료 및 상기 제1 재료와 상이한 제2 재료를 함유하는 기판을 제공하는 단계와, NF3 및 02를 함유하는 공정 가스를 플라즈마 여기시키는 단계와, 상기 제2 재료에 대해 상기 제1 재료를 선택적으로 에칭하도록 상기 기판을 상기 플라즈마-여기된 공정 가스에 노출시키는 단계를 포함한다.
다른 실시예에 따르면, 상기 방법은 플라즈마 처리 챔버 내에 SiN을 포함하는 제1 재료 및 Si, SiO2 및 이들의 조합으로 이루어진 그룹으로부터 선택된 제2 재료를 포함하는 기판을 제공하는 단계, NF 및 O2를 함유하는 플라즈마 여기된 공정 가스를 형성하는 단계, 및 상기 제2 재료에 대해 상기 제1 재료를 선택적으로 에칭하도록 상기 기판을 상기 플라즈마 여기된 공정 가스에 노출시키는 단계를 포함하고, 상기 노출 단계는 다음의 3개의 플라즈마 처리 조건인: a) 300 mTorr 이상의 상기 플라즈마 처리 챔버 내의 가스 압력; b) 약 0.1 내지 약 0.5 사이의 O2/NF3 가스 유동 비율; 및 c) 상기 제1 및 제2 재료의 스퍼터링 임계값 미만인 상기 플라즈마 여기된 공정 가스 내의 플라즈마 종의 운동 에너지; 중 적어도 하나를 포함한다.
첨부된 도면과 관련하여 고려될 때, 이하의 상세한 설명을 참조로 더 잘 이해되는 바와 같이 본 발명 및 그것의 다수의 부수적인 장점에 대한 보다 완전한 이해가 쉽게 얻어질 것이다. 도면에서:
도 1a 및 도 1b는 본 발명의 일 실시예에 따른 기판 처리 방법을 개략적으로 예시한 단면도이고;
도 2a 및 도 2b는 본 발명의 다른 실시예에 따른 기판 처리 방법을 개략적으로 예시한 단면도이고;
도 3a 및 도 3b는 본 발명의 또 다른 실시예에 따른 기판 처리 방법을 개략적으로 예시한 단면도이고;
도 4a 내지 도 4c는 본 발명의 다른 실시예에 따른 기판 처리 방법을 개략적으로 예시한 단면도이고;
도 5a 및 도 5b는 본 발명의 또 다른 실시예에 따른 기판 처리 방법을 개략적으로 예시한 단면도이고;
도 6은 본 발명의 일 실시예에 따른 원자층 증착(ALD) 시스템을 개략적으로 예시하며;
도 7은 본 발명의 일 실시예에 따른 용량성 결합 플라즈마(CCP) 시스템을 개략적으로 예시하며;
도 8은 본 발명의 일 실시예에 따른 플라즈마 처리 챔버 가스 압력의 함수로서 SiN 에칭량, SiO2 에칭량 및 SiN/SiO2 에칭 선택비를 예시하며;
도 9는 본 발명의 일 실시예에 따른 O2/NF3 가스 유동률의 함수로서 SiN 에칭량, SiO2 에칭량 및 SiN/SiO2 에칭 선택비를 예시하며;
도 10은 본 발명의 일 실시예에 따라 기판의 높은 라디칼 플럭스 및 무시할 수 있는 이온 충돌을 갖는 플라즈마 처리를 위한 가스 압력의 함수로서 SiN 에칭량, SiO2 에칭량 및 SiN/SiO2 에칭 선택비를 예시한다.
본 발명의 실시예는 비-중합 화학 물질을 사용하여 실리콘 질화물을 다른 재료에 대해 선택적으로 에칭하는 기판 처리 방법을 설명한다.
도 1a 및 도 1b는 본 발명의 일 실시예에 따른 기판 처리 방법의 개략적인 단면도를 예시한다. 도 1a는 기판(100), 기판(100) 상에 돌출된 돌출 형상부(102) 및 상기 돌출 형상부(102)의 노출면과 상기 기판(100) 상에 컨포멀하게(conformally) 형성된 SiN 스페이서 층(104)을 예시한다. 상기 돌출 형상부(102)의 노출면은 수직부(105)와 수평부(103)를 포함한다.
기판(100) 및 돌출 형상부(102)는 Si, SiO2 및 이들의 조합으로 이루어진 그룹으로부터 선택될 수 있다. 일부 마이크로 전자 장치에서, 돌출 형상부(102)는 핀(fins)으로 지칭된다. 본 명세서에서 사용된 표기 "SiN"은 주요 성분으로서 실리콘 및 질소를 함유하는 층을 포함하며, 여기서 상기 층은 소정 범위의 Si 및 N 조성을 가질 수 있다. Si3N4는 가장 열역학적으로 안정된 실리콘 질화물이므로 가장 상업적으로 중요한 실리콘 질화물이다. 그러나, 본 발명의 실시예는 넓은 범위의 Si 및 N 조성을 갖는 SiN 층에 적용될 수 있다. 또한, 표기 "SiO2"는 실리콘과 산소를 주성분으로 포함하는 층을 의미하며, 여기서 상기 층은 소정 범위의 Si와 O 조성을 가질 수 있다. SiO2는 가장 열역학적으로 안정된 실리콘 산화물이므로 상업적으로 가장 중요한 실리콘 산화물이다. 표기 "Si"는 다결정 Si(poly-Si) 또는 비정질 Si(a-Si)을 포함한다. SiN 스페이서 층(104)을 증착하는 데 사용될 수 있는 원자층 증착(ALD) 시스템이 도 6에 개략적으로 예시된다.
본 발명의 일 실시예에 따르면, 이방성 스페이서 에칭 공정이 도 1a에 예시된 구조체에 대해 수행되어 도 1b에 예시된 구조체를 형성한다. 스페이서 에칭 공정은 SiN 스페이서 층(104)의 수직부(105)를 남기면서 SiN 스페이서 층(104)의 수평부(103)를 제거하는 것에 의해 돌출 형상부(102)의 수직부(105) 상에 SiN 측벽 스페이서(106)를 형성한다.
본 발명의 실시예에 따르면, 스페이서 에칭 공정은 NF3와 O2를 함유하고 선택적으로 Ar, N2 또는 Ar 및 N2 모두를 함유하는 비-중합 공정 가스를 플라즈마 여기시키는 단계와, SiN 스페이서 층(104)을 상기 돌출 형상부(102) 및 기판(100)에 대해 선택적으로 에칭하도록 상기 기판(100)을 상기 플라즈마 여기된 공정 가스에 노출시키는 단계를 포함한다. 일례로, 비-중합 공정 가스는 N2, O2, NF3 및 Ar을 함유하거나 이들로 구성된다. 일부 실시예에 따르면, Ar, N2, 또는 Ar 및 N2 모두가 NF3 및 O2와 함께 사용되어 스페이서 에칭 공정을 최적화할 수 있다.
본 발명자는 비-중합 공정 가스가 Si, SiO2 및 기타 하부 재료에 대해 SiN의 우수한 선택적 건식 에칭 제거를 제공한다는 것을 발견했다. 이는 플루오로카본 화학 물질로부터의 중합체 증착 플럭스로 인해 좁은 특징 개구 및 높은 종횡비에서의 제어가 극히 어려운 SiN 에칭에 사용되는 현재 사용되는 플루오로카본 화학 물질과는 대조적이다.
비-중합 공정 가스는 다양한 다른 플라즈마 소스를 사용하여 플라즈마 여기될 수 있다. 일 실시예에 따르면, 플라즈마 소스는 상부 플레이트 전극과 기판을 지지하는 하부 플레이트 전극을 포함하는 용량성 결합 플라즈마(CCP) 소스를 포함할 수 있다. 무선 주파수(RF) 파워가 RF 발생기 및 임피던스 네트워크를 사용하여 상부 플레이트 전극, 하부 플레이트 전극 또는 양자 모두에 제공될 수 있다. 상부 플레이트 전극에 RF 파워를 인가하기 위한 통상적인 주파수는 10 MHz~200 MHz의 범위이고, 60 MHz일 수 있다. 또한, 하부 플레이트 전극에 RF 파워를 인가하기 위한 통상적인 주파수는 0.1 MHz~100 MHz의 범위이며, 13.56 MHz일 수 있다. 스페이서 에칭 공정을 수행하는 데 사용될 수 있는 CCP 시스템이 도 7에 개략적으로 예시되어 있다. 다른 실시예에 따르면, 높은 라디칼-이온 플럭스 비율을 생성할 수 있는 원격 플라즈마 소스가 사용될 수 있다. 플라즈마 공정은 플라즈마 노출에서 이방성의 정도를 제어하도록 조정될 수 있다. 이방성의 정도는 주로 이방성인 상태로부터 주로 등방성인 상태로 조정될 수 있다.
도 2a 및 도 2b는 본 발명의 다른 실시예에 따른 기판 처리 방법을 개략적으로 보여주는 단면도이다. 도 1a는 도 2a처럼 재현될 수 있고, 기판(100), 기판(100) 상의 돌출 형상부(102) 및 돌출 형상부(102)의 수직부(105) 상의 SiN 측벽 스페이서(106)를 예시한다. 기판(100) 및 돌출 형상부(102)는 Si, SiO2 및 이들의 조합으로 이루어진 그룹으로부터 선택될 수 있다. 일 실시예에 따르면, SiN 측벽 스페이서(106)는 건식 에칭 공정에서 돌출 형상부(102)의 수직부(105)로부터 제거된다. 결과적인 구조체가 도 2b에 예시된다. 본 발명의 실시예에 따르면, SiN 측벽 스페이서(106)의 제거는 NF3, O2 및 선택적으로 Ar, N2 또는 Ar 및 N2 모두를 함유하는 비-중합 공정 가스를 플라즈마 여기시키고, 기판(100)을 플라즈마 여기된 공정 가스에 노출시키는 것에 의해 수행된다. 일례로, 비-중합 공정 가스는 N2, O2, NF3 및 Ar을 포함하거나 이들로 구성된다. 일부 실시예에 따르면, Ar, N2, 또는 Ar 및 N2 모두가 NF3 및 O2와 함께 사용되어 에칭 공정을 최적화할 수 있다.
도 3a 및 도 3b는 본 발명의 또 다른 실시예에 따른 기판 처리 방법의 개략적인 단면도이다. 도 3a는 기판(300), 기판(300) 상의 SiN 돌출 형상부(302) 및 SiN 돌출 형상부(302)의 수직부(305) 상에 형성된 측벽 스페이서(306)를 예시한다. SiN 돌출 형상부(302)의 수평부(303)는 종래의 에칭 공정에 의해 노출된다. 기판(300) 및 측벽 스페이서(306)는 Si, SiO2 및 이들의 조합으로 이루어진 그룹으로부터 선택될 수 있다. 이 실시예에서, SiN 돌출 형상부(302)는 희생 형상부이며, 종종 맨드렐(mandrel)이라고도 지칭된다. SiN 돌출 형상부(302)의 제거는 종종 맨드릴 풀(mandrel pull)로 지칭된다. 도 3a에 예시된 구조체는 통상적인 증착, 리소그래피 및 에칭 공정을 사용하여 SiN 돌출 형상부(302)를 생성하는 것에 의해 형성될 수 있다. 이후, 측벽 스페이서(306)가 이방성 에칭 공정을 이용하여 형성될 수 있다.
일 실시예에 따르면, SiN 돌출 형상부(302)는 건식 에칭 공정에서 기판(300)으로부터 제거된다. 기판(300) 상에 독립적인 측벽 스페이서(306)를 갖는 결과적인 구조체가 도 3b에 예시된다. 본 발명의 실시예에 따르면, 기판(300)으로부터 SiN 돌출 형상부(302)의 제거는 NF3, O2 및 선택적으로 Ar, N2 또는 Ar 및 N2 모두를 함유하는 비-중합 공정 가스를 플라즈마 여기시키는 단계 및 기판(100)을 플라즈마 여기된 공정 가스에 노출시키는 단계를 포함한다. 일례로, 비-중합 공정 가스는 N2, O2, NF3 및 Ar을 포함하거나 이들로 구성된다. 일부 실시예에 따르면, Ar, N2, 또는 Ar 및 N2 모두가 NF3 및 O2와 함께 사용되어 에칭 공정을 최적화할 수 있다.
도 4a~4c는 본 발명의 일 실시예에 따른 기판 처리 방법을 개략적으로 예시한 단면도이다. 도 4a는 기판(400), 기판(400) 상의 돌출 형상부(402) 및 돌출 형상부(402)의 노출면과 기판(400) 상에 컨포멀하게 형성된 SiN 스페이서 층(404)을 예시한다. 돌출 형상부(402)의 노출면은 수직부(405)와 수평부(403)를 포함한다. 기판(400) 및 돌출 형상부(402)는 Si, SiO2 및 이들의 조합으로 이루어진 그룹으로부터 선택될 수 있다.
본 발명의 일 실시예에 따르면, 도 4a의 구조체는 SiN 스페이서 층(404) 상에 개질된 스페이서 층(407)을 형성하도록 NF3, O2 및 선택적으로 Ar, N2 또는 Ar 및 N2 모두를 함유하는 플라즈마 여기된 비-중합 공정 가스에 노출된다. 이것은 도 4b에 예시된다. 이후, 개질된 스페이서 층(407)은 열처리 챔버에서의 기판 가열을 이용하여 등방성으로 제거될 수 있다. 얻어지는 구조체는 도 4c에 예시되며, 여기서 개질된 스페이서 층(407)이 탈착되어 도 4a의 SiN 스페이서 층(404)이 등방성으로 얇아진다. 일 실시예에서, 비-중합 공정 가스는 N2, O2, NF3 및 Ar을 포함하거나 이들로 구성된다.
도 5a 및 도 5b는 본 발명의 또 다른 실시예에 따른 기판 처리 방법을 개략적으로 예시한 단면도이다. 도 5a는 기판(410)과 해당 기판(410) 상의 SiN 돌출 형상부(412)를 예시한다. SiN 돌출 형상부(412)는 두께(413)와 기판(410) 상의 높이(415)를 가진다. 기판(410)은 Si, SiO2 및 이들의 조합으로 이루어진 그룹으로부터 선택될 수 있다. 일 실시예에 따르면, SiN 돌출 형상부(302)는 등방성 건식 에칭 공정에서 트리밍된다. 본 발명의 실시예에 따르면, SiN 돌출 형상부(412)의 선택적 트리밍은 NF3, O2 및 선택적으로 Ar, N2, 또는 Ar 및 N2 모두를 함유하는 비-중합 공정 가스를 플라즈마 여기시키는 단계 및 기판(410)을 플라즈마 여기된 공정 가스에 노출시키는 단계를 포함한다. 노출은 두께(417) 및 높이(419)를 갖는 트리밍된 SiN 돌출 형상부(414)를 형성하는 데, 여기서 두께(417)는 두께(413)보다 작고, 높이(419)는 높이(415)보다 작다. 일례로, 비-중합 공정 가스는 N2, O2, NF3 및 Ar을 함유하거나 이들로 구성된다.
다시 도 1a를 참조하면, SiN 스페이서 층(104)을 컨포멀하게 증착하는 기술은 단일층 증착("MLD") 방법을 포함할 수 있다. MLD 방법은 예를 들어 화학 흡착에 의한 반응성 전구체 분자의 포화된 단일층의 형성 원리에 기초한 ALD 방법을 포함할 수 있다. 예를 들어, AB 필름을 형성하기위한 통상적인 MLD 공정은 A의 포화된 단일층이 기판 상에 형성되는 시간 동안 제1 전구체 또는 반응물 A("RA")를 주입하는 단계로 구성된다. 이후, RA는 불활성 가스(Gi)를 사용하여 챔버에서 제거된다. 이후, 제2 전구체 또는 반응물 B("RB")가 B와 A를 결합시키고 기판 상에 AB 층을 형성하도록 일정 기간 동안 챔버 내로 주입된다. 이후, RB는 챔버에서 제거된다. 전구체 또는 반응물을 도입하고, 반응기를 퍼지하고, 다르거나 동일한 전구체 또는 반응물을 도입하고, 반응기를 퍼지하는 이러한 과정은 원하는 두께의 AB 필름을 얻기 위해 여러 번 반복될 수 있다. 각각의 ALD 사이클에서 증착된 AB 필름의 두께는 약 0.5 옹스트롬 내지 약 2.5 옹스트롬의 범위일 수 있다.
일부 실시예에서, AB 필름을 형성할 때의 MLD 공정은 제1 단계 도중에 기판 상에 흡착된 ABC를 함유하는 전구체를 주입한 후에, 제2 단계 도중에 C를 제거하는 단계를 포함할 수 있다.
본 발명의 일 실시예에 따르면, SiN 스페이서 층(104)은 ALD 시스템에서의 ALD 증착 공정에 의해 증착될 수 있으며, 그 일례는 도 6의 ALD 시스템(44)으로 예시되며, 상기 ALD 시스템은 기판(14)을 상부에 지지하도록 구성된 기판 홀더(48)를 갖는 처리 챔버(46)를 포함한다. 처리 챔버(46)는 (실리콘 함유 가스를 포함할 수 있는) 제1 재료 공급 시스템(52), (질소 함유 가스를 포함할 수 있는) 제2 재료 공급 시스템 54), 퍼지 가스 공급 시스템(56) 및 보조 가스 공급 시스템(58)(희석 가스 또는 원하는 스페이서 층 재료를 증착하는 데 필요한 기타 가스를 포함할 수 있음)에 결합된 상부 조립체(50)(예를 들어, 샤워 헤드) 및 기판 온도 제어 시스템(60)을 더 포함한다.
대안적으로 또는 부가적으로, 컨트롤러(62)가 추가적인 컨트롤러/컴퓨터로부터 설정 및/또는 구성 정보를 획득할 수 있는 하나 이상의 추가적인 컨트롤러/컴퓨터(미도시)에 결합될 수 있다. 컨트롤러(62)는 임의의 수의 처리 요소(52, 54, 56, 58, 60)를 구성하는 데 사용될 수 있고, 처리 요소로부터 데이터를 수집, 제공, 처리, 저장 및/또는 표시할 수 있다. 컨트롤러(62)는 하나 이상의 처리 요소(52, 54, 56, 58, 60)를 제어하기 위한 다수의 애플리케이션을 포함할 수 있고, 원한다면, 사용자가 하나 이상의 처리 요소(52, 54, 56, 58, 60)를 모니터링 및/또는 제어하기 위한 사용하기 쉬운 인터페이스를 제공할 수 있는 그래픽 사용자 인터페이스("GUI", 미도시)를 포함할 수 있다.
처리 챔버(46)는 덕트(70)를 통해 진공 펌핑 시스템(66) 및 밸브(68)를 포함하는 압력 제어 시스템(64)에 추가로 연결되고, 압력 제어 시스템(64)은 처리 챔버(46)를 SiN 스페이서 층(104)을 형성하기에 적합하고 제1 및 제2 공정 재료의 사용에 적합한 압력으로 제어 가능하게 배기시키도록 구성된다. 진공 펌핑 시스템(66)은 약 5000 리터/초(및 그 이상)까지 펌핑 속도를 낼 수 있는 터보 분자 진공 펌프("TMP") 또는 극저온 펌프를 포함할 수 있고, 밸브(68)는 챔버 압력을 조절하기 위한 게이트 밸브를 포함할 수 있다. 또한, 챔버 공정을 모니터링하기 위한 장치(미도시)가 처리 챔버(46)에 결합될 수 있다. 압력 제어 시스템(64)은 예를 들어, ALD 공정 도중에 처리 챔버 압력이 약 0.1 Torr 내지 약 100 Torr 사이에서 제어되도록 구성될 수 있다.
제1 및 제2 재료 공급 시스템(52, 54), 퍼지 가스 공급 시스템(56) 및 각각의 하나 이상의 보조 가스 공급 시스템(58)은 하나 이상의 압력 제어 장치, 하나 이상의 유동 제어 장치, 하나 이상의 필터, 하나 이상의 밸브 및/또는 하나 이상의 유동 센서를 포함할 수 있다. 유동 제어 장치는 공압 구동 밸브, 전자 기계적 (솔레노이드) 밸브 및/또는 고속 펄스화 가스 분사 밸브를 포함할 수 있다. 본 발명의 실시예에 따르면, 가스가 처리 챔버(46) 내로 연속적으로 및 교대로 펄스화될 수 있는 데, 여기서 각 가스 펄스의 길이는 예를 들어 약 0.1초 내지 약 100초 사이일 수 있다. 대안적으로, 각각의 가스 펄스의 길이는 약 1초 내지 약 10초일 수 있다. 실리콘 함유 가스 및 질소 함유 가스에 대한 예시적인 가스 펄스 길이는 약 0.3초 내지 약 3초, 예를 들어 약 1초일 수 있다. 예시적인 퍼지 가스 펄스는 약 1초 내지 약 20초, 예를 들어 약 3초일 수 있다. 여전히 도 6을 참조하면, 컨트롤러(62)는 ALD 시스템(44)으로의 입력을 전달 및 활성화하고 ALD 시스템(44)으로부터의 출력을 모니터링하기에 충분한 제어 전압을 생성할 수 있는 디지털 포트(170), 메모리 및 마이크로프로세서를 포함할 수 있다. 또한, 컨트롤러(62)는 처리 챔버(46), 기판 홀더(48), 상부 어셈블리(50), 처리 요소(52, 54, 56, 58), 기판 온도 제어 시스템(60) 및 압력 제어 시스템(64)에 연결될 수 있고 이들과 정보를 교환할 수 있다. 예를 들어, 컨트롤러(62)의 메모리에 저장된 프로그램은 증착 공정을 수행하기 위해 공정 레시피에 따라 ALD 시스템(44)의 전술한 구성 요소에 대한 입력을 활성화시키는 데 이용될 수 있다.
컨트롤러(62)는 메모리에 포함된 하나 이상의 명령의 하나 이상의 시퀀스를 실행하는 프로세서에 응답하여 본 발명의 마이크로 프로세서 기반의 처리 단계의 일부 또는 전부를 수행하는 범용 컴퓨터 시스템으로서 구현될 수 있다. 이러한 명령은 하드 디스크 또는 탈착 가능한 매체 드라이브와 같은 다른 컴퓨터 판독 가능 매체로부터 컨트롤러 메모리 내에서 판독될 수 있다. 다중 처리 장치 내의 하나 이상의 프로세서는 주 메모리에 포함된 명령 시퀀스를 실행하기 위해 컨트롤러 마이크로 프로세서로서 사용될 수도 있다. 대안적인 실시예에서, 하드웨어에 내장된 회로가 소프트웨어 명령 대신 또는 소프트웨어 명령과 함께 사용될 수 있다. 따라서, 실시예들은 하드웨어 회로 및 소프트웨어의 특정 조합으로 한정되지 않는다.
컨트롤러(62)는 본 발명의 교시에 따라 프로그래밍된 명령을 보유하고, 데이터 구조, 테이블, 레코드 또는 본 발명을 구현하는 데 필요할 수 있는 데이터를 포함하는 컨트롤러 메모리와 같은 적어도 하나의 컴퓨터 판독 가능 매체 또는 메모리를 포함한다. 컴퓨터 판독 가능 매체의 예는 하드 디스크, 플로피 디스크, 테이프, 광 자기 디스크, PROM(EPROM, EEPROM, 플래시 EPROM), DRAM, SRAM, SDRAM 또는 임의의 다른 자기 매체, 컴팩트 디스크(예, CD-ROM), 또는 펀치 카드, 종이 테이프, 또는 구멍의 패턴, 반송파(후술됨), 또는 컴퓨터가 판독할 수 있는 임의의 다른 매체를 갖는 다른 물리적 매체와 같은 임의의 다른 광학 매체이다.
컨트롤러(62)를 제어하고 본 발명을 구현하기 위한 장치 또는 장치들을 구동시키고 및/또는 컨트롤러(62)가 인간 사용자와 상호 작용할 수 있게 하는 소프트웨어가 컴퓨터 판독 가능 매체의 임의의 하나 또는 그 조합에 저장되고, 상주한다. 이러한 소프트웨어는 장치 드라이버, 운영 시스템, 개발 도구 및 어플리케이션 소프트웨어가 포함될 수 있지만 이에 국한되지는 않는다. 이러한 컴퓨터 판독 가능 매체는 본 발명을 구현하는데 수행되는 처리의 전부 또는 일부(처리가 분배되는 경우)를 수행하기 위한 본 발명의 컴퓨터 프로그램 제품을 더 포함한다.
컴퓨터 코드 장치는 한정되는 것은 아니지만 스크립트, 해석 가능 프로그램, 동적 링크 라이브러리("DLL"), Java 클래스 및 완전한 실행 가능 프로그램을 포함하는 임의의 해석 가능하거나 실행 가능한 코드 메카니즘일 수 있다. 또한, 본 발명의 처리의 부분은 더 양호한 성능, 신뢰성 및/또는 비용을 위해 분배될 수 있다.
본 명세서에서 사용되는 "컴퓨터 판독 가능 매체"라는 용어는 실행을 위해 컨트롤러(62)의 프로세서에 명령을 제공하는 데 참여하는 임의의 매체를 지칭한다. 따라서, 컴퓨터 판독 가능 매체는 비 휘발성 매체, 휘발성 매체 및 전송 매체를 포함하지만 이에 한정되지 않는 다수의 형태를 취할 수 있다. 비 휘발성 매체는 예를 들어 광학 디스크, 자기 디스크 및 하드 디스크 또는 탈착식 매체 드라이브와 같은 광 자기 디스크를 포함한다. 휘발성 매체는 주 메모리와 같은 동적 메모리를 포함한다. 또한, 다양한 형태의 컴퓨터 판독 가능 매체는 실행을 위해 컨트롤러(62)의 프로세서에 하나 이상의 명령의 하나 이상의 시퀀스를 수행하는 것과 관련될 수 있다. 예를 들어, 명령은 초기에 원격 컴퓨터의 자기 디스크 상에 전달될 수 있다. 원격 컴퓨터는 본 발명의 전부 또는 일부를 원격적으로 구현하기위한 명령을 동적 메모리에 로딩하여 네트워크를 통해 명령을 컨트롤러(62)로 전송할 수 있다.
컨트롤러(62)는 ALD 시스템(44)에 대해 국부적으로 위치될 수 있거나, ALD 시스템(44)에 대해 원거리에 위치될 수 있다. 예를 들어, 컨트롤러(62)는 직접 접속, 인트라넷, 인터넷 및 무선 접속 중 적어도 하나를 이용하여 ALD 시스템(44)과 데이터를 교환할 수 있다. 컨트롤러(62)는 예를 들어 고객 사이트(즉, 장치 제조사 등)에서 인트라넷에 결합될 수 있거나, 또는 예를 들어 벤더 사이트(즉, 장비 제조사)에서 인트라넷에 결합될 수 있다. 또한, 예를 들어, 컨트롤러(62)는 인터넷에 결합될 수 있다. 또한, 다른 컴퓨터(즉, 컨트롤러, 서버 등)는 직접 접속, 인트라넷 및 인터넷 중 적어도 하나를 통해 데이터를 교환하기 위해 예를 들어 컨트롤러(62)에 액세스할 수 있다. 당업자에 의해 알 수 있는 바와 같이, 컨트롤러(62)는 무선 접속을 통해 ALD 시스템(44)과 데이터를 교환할 수 있다.
SiN 스페이서 층(104)의 증착은 SiN 스페이서 층(104) 재료의 상이한 성분(여기서는, 예를 들어, 실리콘 및 질소)을 증착하기 위해 순차적 및 교호적 펄스 시퀀스에 의해 진행될 수 있다. ALD 공정은 전형적으로 가스 펄스 당 구성 요소의 단일층보다 적은 양을 증착하기 때문에, 필름의 상이한 성분의 개별 증착 시퀀스를 사용하여 균질한 재료를 형성하는 것이 가능하다. 각각의 가스 펄스는 처리 챔버(46)로부터 미반응 가스 또는 부산물을 제거하기 위해 개별 퍼지 또는 배기 단계를 포함할 수 있다. 본 발명의 다른 실시예에 따르면, 퍼지 또는 배기 단계 중 하나 이상은 생략될 수 있다.
따라서, 하나의 예시적인 실시예로서, 처리된 돌출 형상부(102)를 갖는 기판(14)은 ALD 시스템(44)의 처리 챔버(46) 내에 배치되고 실리콘을 함유하는 가스 펄스 및 질소 함유 가스의 가스 펄스에 순차적으로 노출되며, 상기 질소 함유 가스는 H3, 플라즈마 여기된 질소(예, PEALD 시스템에서 사용됨), 또는 이들의 조합 및 선택적으로 아르곤(Ar)과 같은 불활성 가스를 포함할 수 있다.
실리콘은 돌출 형상부(102)의 표면 상에서 반응하여 단일층 두께보다 작은 화학적 흡착층을 형성할 수 있다. 질소 함유 가스의 가스 펄스로부터의 질소는 화학적 흡착 표면층과 반응할 수 있다. 이러한 순차적인 가스 노출을 반복함으로써, 즉 상기 2가지 노출을 여러 번 교대로 반복함으로써, 원하는 두께가 달성될 때까지 사이클 당 약 1 옹스트롬(10-10 m)의 층별 성장을 달성하는 것이 가능하다.
도 7에 표현된 예시적인 플라즈마 처리 시스템(500) 처리 챔버(510), 처리될 기판(525)이 부착되는 기판 홀더(520)(하부 전극), 가스 주입 시스템(540) 및 진공 펌핑 시스템(550)을 포함한다. 처리 챔버(510)는 플라즈마가 가열된 전자들과 이온화 가능한 가스 사이의 충돌을 통해 형성되는 기판(525)의 표면에 인접한 처리 영역(545) 내에 플라즈마의 발생을 가능케 하도록 구성된다. 이온화 가능한 가스 또는 가스 혼합물이 가스 주입 시스템(540)을 통해 도입되고 공정 압력이 조절된다. 예를 들어, 게이트 밸브(미도시)는 진공 펌핑 시스템(550)을 조절하도록 사용된다. 바람직하게는, 플라즈마는 미리 결정된 재료 공정에 특정한 재료를 형성하고 기판(525)에 대한 재료의 증착 또는 기판(525)의 노출면으로부터 재료의 제거를 지원하는 데 사용된다.
기판(525)은 기판 홀더(520) 내에 수용되고 기판 홀더 내에 수용된 장치에 의해 기계적으로 변환되는 기판 리프트 핀(미도시)에 의해 수용되는 로봇식 기판 이송 시스템을 통해 슬롯 밸브(미도시) 및 챔버 피드 스루(미도시)를 통해 챔버(510) 내외로 전달된다. 일단 기판 (525)이 기판 이송 시스템으로부터 수용되면, 기판 홀더(520)의 상부 표면으로 하강된다.
대안적인 실시예에서, 기판(525)은 정전 클램프(미도시)를 통해 기판 홀더(520)에 부착된다. 또한, 기판 홀더(520)는 기판 홀더(520)로부터 열을 수용하여 열교환 시스템(미도시)으로 열을 전달하거나, 또는 가열시, 열교환 시스템으로부터 열을 전달하는 재순환하는 냉매 흐름을 포함하는 냉각 시스템을 더 포함한다. 또한, 가스는 기판(525)과 기판 홀더(520) 사이의 가스-갭 열 전도성을 향상시키기 위해 기판의 후면으로 전달될 수 있다. 이러한 시스템은 기판의 온도 제어가 상승된 또는 감소된 온도로 요구될 때 활용된다. 예를 들어, 기판의 온도 제어는 플라즈마로부터 기판(525)으로 전달된 열 플럭스와 기판 홀더(520)로의 전도에 의해 기판(525)으로부터 제거된 열 플럭스의 균형으로 인해 달성된 정상 상태 온도를 초과하는 온도에서 유용할 수 있다. 다른 실시예에서, 저항 가열 요소 또는 열-전기 히터/냉각기와 같은 가열 요소가 포함된다.
제1 실시예에서, 기판 홀더(520)는 무선 주파수(RF) 파워가 처리 영역(545)에서 플라즈마에 결합되는 전극으로서도 기능한다. 예를 들어, 기판 홀더(520)는 RF 발생기(530)로부터 임피던스 매치 네트워크(532)를 통해 기판 홀더(520)로 RF 파워의 전송을 통해 RF 전압으로 전기적으로 바이어스된다. RF 바이어스는 전자를 가열하여 플라즈마를 형성하고 유지하는 역할을 한다. 이러한 구성에서, 시스템은 반응성 이온 에칭(RIE) 반응기로서 작동하며, 챔버 및 상부 가스 주입 전극은 접지면으로서 작용한다. RF 바이어스의 통상적인 주파수는 0.1 MHz 내지 100 MHz이고 13.56 MHz일 수 있다. 대안적인 실시예에서, RF 파워는 다중 주파수로 기판 홀더 전극에 인가된다. 또한, 임피던스 매치 네트워크(532)는 반사된 파워를 최소화함으로써 처리 챔버(10) 내의 플라즈마로의 RF 파워의 전달을 최대화하는 역할을 한다. 매치 네트워크 토폴로지(예, L-타입, π-타입, T-타입 등) 및 자동 제어 방법은 당업계에 공지되어 있다.
계속해서 도 7을 참조하면, 공정 가스(542)(예, NF3, O2 및 선택적으로 Ar, N2 또는 Ar 및 N2 모두를 함유함)가 가스 주입 시스템(540)을 통해 처리 영역(545)에 도입된다. 가스 주입 시스템(540)은 샤워 헤드를 포함할 수 있고, 공정 가스(542)는 가스 전달 시스템(미도시)으로부터 가스 주입 플레넘(미도시), 일련의 배플 플레이트(미도시) 및 다중 오리피스 샤워 헤드 가스 주입 플레이트(미도시)를 통해 처리 영역(545)에 공급된다.
진공 펌핑 시스템(550)은 바람직하게 초당 5000 리터(또는 그 이상)의 펌핑 속도가 가능한 터보 분자 진공 펌프(TMP) 및 챔버 압력을 조절하기 위한 게이트 밸브를 포함한다. 건식 플라즈마 에칭에 이용되는 종래의 플라즈마 처리 장치에서, 1000~3000 리터/초의 TMP가 사용된다. TMP는 통상적으로 50 mTorr 미만의 저압 처리에 유용하다. 더 높은 압력에서 TMP 펌핑 속도는 크게 감소한다. 고압 처리(즉, 100 mTorr 초과)의 경우, 기계식 부스터 펌프 및 건식 러핑(roughing) 펌프가 사용된다.
컴퓨터(555)는 플라즈마 처리 시스템(500)으로의 입력을 전달 및 활성화하고 플라즈마 처리 시스템(500)으로부터의 출력을 모니터링 하기에 충분한 제어 전압을 생성할 수 있는 디지털 I/O 포트, 메모리 및 마이크로프로세서를 포함한다. 또한, 컴퓨터(555)는 RF 발생기(530), 임피던스 매치 네트워크(532), 가스 주입 시스템(540) 및 진공 펌핑 시스템(550)에 결합되어 이들과 정보를 교환한다. 메모리에 저장된 프로그램은 저장된 처리 레시피에 따라 플라즈마 처리 시스템(500)의 전술한 구성 요소에 대한 입력을 활성화하는 데 사용된다.
플라즈마 처리 시스템(500)은 RF 파워가 임피던스 매치 네트워크(574)를 통해 RF 발생기(572)로부터 결합될 수 있는 상부 플레이트 전극(570)을 더 포함한다. 상부 전극에 대한 RF 파워의 인가를 위한 통상적인 주파수는 10 MHz~200 MHz의 범위이고, 바람직하게는 60 MHz이다. 또한, 하부 전극에 대한 파워의 인가를 위한 통상적인 주파수는 0.1 MHz~30 MHz의 범위이다. 또한, 컴퓨터(555)는 상부 플레이트 전극(570)에 대한 RF 파워의 인가를 제어하기 위해 RF 발생기(572) 및 임피던스 매치 네트워크(574)에 결합된다. 일부 실시예에 따르면, 플라즈마는 상부 플레이트 전극(570)이 접지되거나 파워가 공급되지 않는 동안 하부 전극(520)에 RF 파워를 공급하는 것에 의해 처리 챔버(510)에 생성될 수 있다.
02, NF3 및 선택적으로 N2, Ar, 또는 N2 및 Ar 모두를 함유하는 비-중합 공정 가스를 사용한 Si3N4 층의 건식 에칭은 플라즈마에서의 F 라디칼의 생성, Si3N4 층으로의 F 라디칼의 확산, Si3N4 층 상의 F 라디칼의 흡착, SiF4 및 NF3 에칭 생성물을 형성하기 위해 F와 Si3N4의 표면 반응 및 Si3N4 층으로부터 SiF4 및 NF3 에칭 생성물의 탈착 및 제거를 포함하는 다음의 에칭 메커니즘을 포함하는 것으로 생각된다:
NF3 해리
e- + NF3 → NF2 + F-
NF + NF → N2 + 2F
NF + NF2 → N2 + F2 + F
O2 첨가에 의한 원자 불소의 생성 증가
O + NF2 → NF + OF
O + NF → N2 + F2 + F
20F → 2F + 02
O + OF → 02 + F
Si3N4 에칭
Si3N4 + 24F → 3SiF4 + 4NF3
도 8은 본 발명의 실시예에 따라 플라즈마 처리 챔버 가스 압력의 함수로서 SiN 에칭량(800), SiO2 에칭량(802) 및 SiN/SiO2 에칭 선택비(804)를 예시한다. 공정 가스는 NF3, O2 및 CCP 플라즈마 소스를 사용하여 플라즈마 여기된 Ar으로 구성된 것이다. 처리 조건은 상부 플레이트 전극에 인가된 0W, 기판을 지지하는 하부 플레이트 전극에 인가된 13.56MHz의 RF 파워의 5OW, 160 sccm의 O2 가스 유량, 480 sccm의 NF3 가스 유량, 1000 sccm의 Ar 가스 유량, 및 60초의 플라즈마 노출 시간을 포함한다. 하부 플레이트 전극(기판 홀더)은 15 ℃로 냉각시켰다. 플라즈마 처리 챔버 내의 가스 압력은 100 mTorr, 300 mTorr 및 5O0 mTorr이었다. 실험 결과는 SiN/SiO2 에칭 선택비(804)가 3O0 mTorr가 넘는 가스 압력에서 크게 증가하여 300 mTorr에서의 약 4로부터 500 mTorr에서의 70을 초과하는 값까지 증가하였다. 300 mTorr를 초과하는 가스 압력에서의 예상치 않게 높은 SiN/SiO2 에칭 선택비(804)는 반도체 제조를 위한 실질적으로 무한한 SiN/SiO2 에칭 선택비에 해당한다.
가스 압력이 증가함에 따른 이러한 예상치 못하게 높은 SiN/SiO2 에칭 선택비는 적어도 부분적으로는 라디칼 플럭스의 증가 및 기판에 노출된 이온 플럭스의 감소를 수반하는 플라즈마 내의 이온 에너지의 감소에 기인하는 것으로 고려된다. 또한, 하부 플레이트 전극에 인가된 낮은 RF 파워(5OW 또는 그 미만)는 SiN 및 SiO2의 스퍼터링 임계값 미만인 운동 에너지를 갖는 플라즈마 종을 생성한다. 따라서, 높은 SiN/SiO2 에칭 선택비는 SiO2에 비해 SiN의 열역학적으로 유리한 라디칼 에칭에 거의 전적으로 기인한 것으로 생각된다.
도 9는 본 발명의 실시예에 따라 O2/NF3 가스 유동 비율의 함수로서의 SiN 에칭량(900), 에칭량(902) 및 SiN/SiO2 에칭 선택비(904)를 예시한다. 공정 가스는 NF3, O2, 및 CCP 플라즈마 소스를 사용하여 플라즈마 여기된 Ar으로 구성된 것이다. 처리 조건은 상부 플레이트 전극에 인가된 0W, 기판을 지지하는 하부 플레이트 전극에 인가된 13.56 MHz의 RF 파워의 50W, 가변적인 O2 가스 유량 및 NF3 가스 유량, 1000sccm의 Ar 가스 유량 및 60초의 플라즈마 노출 시간을 포함하였다. 하부 플레이트 전극(기판 홀더)은 15 ℃로 냉각되었다. 플라즈마 처리 챔버 내의 가스 압력은 500 mTorr이었다. 실험 결과는 약 0.1~약 0.5의 O2/NF3 가스 유량비에서 매우 높은 SiN/SiO2 에칭 선택비(904)를 나타낸다. 약 80의 최대 Si/SiO2 에칭 선택비(904)가 약 1/3의 O2/NF3 가스 유량비에서 관찰되었다.
일 실시예에 따르면, CCP 플라즈마 처리 챔버 내의 가스 압력은 300 mTorr보다 클 수 있다. 일 실시예에 따르면, CCP 플라즈마 처리 챔버 내의 가스 압력은 약 500 mTorr 이상이다. 일부 실시예에 따르면, O2/NF3 가스 유량비는 약 0.1~약 0.5, 약 0.15~약 0.45, 또는 약 0.2~약 0.4이다. 일 실시예에 따르면, O2/NF3 가스 유량비는 약 1/3이다. 일 실시예에 따르면, 약 0.1~약 0.5의 O2/NF3 가스 유량비가 선택되어 SiO2에 대한 SiN의 최대 에칭 선택비가 얻어질 수 있다. 다른 실시예에 따르면, 약 0.2~약 0.4의 O2/NF3 가스 유량비가 선택되어 SiO2에 대해 SiN의 t에 대한 최대 에칭 선택비가 얻어질 수 있다.
도 10은 본 발명의 일 실시예에 따라 기판의 높은 라디칼 플럭스 및 무시할 수 있는 이온 충격을 갖는 플라즈마 공정에 대한 가스 압력의 함수로서 SiN 에칭량(1000), SiO2 에칭량(1002) 및 SiN/SiO2 에칭 선택비(1004)를 예시한다. 공정 가스는 NF3 가스와 원격 플라즈마 소스를 사용하여 플라즈마 여기된 O2 가스로 구성된 것이다. 약 90의 최대 SiN/SiO2 에칭 선택비(1004)가 관찰되었다.
다른 층에 대해 SiN을 선택적으로 에칭하기 위해 비-중합 화학 물질을 사용하는 기판 처리 방법이 다양한 실시예에 개시되어 있다. 본 발명의 실시 예들에 대한 상기 설명은 예시 및 설명의 목적으로 제공된 것이다. 본 발명은 완전하거나 개시된 정확한 형태로 한정되도록 의도된 것이 아니다. 이 설명 및 하기의 청구범위는 설명의 목적으로만 사용되고 제한적으로 해석되지 않는 용어를 포함한다. 당업자는 상기 교시에 비추어 많은 수정 및 변형이 가능함을 알 수 있다. 당업자는 도면에 예시된 다양한 구성 요소에 대한 다양한 등가 조합 및 대체를 인식할 것이다. 따라서, 본 발명의 범위는 이 상세한 설명에 의해서가 아니라 오히려 첨부된 청구범위에 의해 한정되도록 의도된다.

Claims (20)

  1. 기판 처리 방법으로서:
    SiN을 함유하는 제1 재료 및 상기 제1 재료와 상이한 제2 재료를 함유하는 기판을 플라즈마 처리 챔버 내에 제공하는 단계;
    NF3 및 O2를 함유하는 공정 가스를 플라즈마 여기시키는 단계; 및
    상기 제2 재료에 대해 상기 제1 재료를 선택적으로 에칭하도록 상기 기판을 상기 플라즈마 여기된 공정 가스에 노출시키는 단계
    를 포함하는 것을 특징으로 하는 기판 처리 방법.
  2. 제1항에 있어서, 상기 제2 재료는 Si, SiO2 및 이들의 조합으로 이루어진 그룹으로부터 선택되는 것을 특징으로 하는 기판 처리 방법.
  3. 제1항에 있어서, 상기 노출시키는 단계 도중에 상기 플라즈마 처리 챔버 내의 가스 압력은 300 mTorr보다 큰 것을 특징으로 하는 기판 처리 방법.
  4. 제1항에 있어서, O2/NF3 가스 유량비가 약 0.1~약 0.5인 것을 특징으로 하는 기판 처리 방법.
  5. 제1항에 있어서, O2/NF3 가스 유량비가 약 1/3인 것을 특징으로 하는 기판 처리 방법.
  6. 제1항에 있어서,
    상기 제2 재료에 대한 상기 제1 재료의 최대 에칭 선택비(selectivity)가 얻어지도록 약 0.1~약 0.5의 O2/NF3 가스 유량비를 선택하는 단계를 더 포함하는 것을 특징으로 하는 기판 처리 방법.
  7. 제1항에 있어서, 상기 플라즈마 여기된 공정 가스 내의 플라즈마 종(plasma species)의 운동 에너지는 상기 제1 및 제2 재료의 스퍼터링 임계값 미만인 것을 특징으로 하는 기판 처리 방법.
  8. 제1항에 있어서, 상기 플라즈마 여기된 공정 가스를 형성하는 단계는 접지되거나 파워가 공급되지 않은 상부 플레이트 전극 및 상기 기판을 지지하는 RF 파워 공급된 하부 플레이트 전극을 포함하는 용량성 결합 플라즈마 소스를 사용하여 플라즈마를 생성하는 단계를 포함하고, 상기 하부 플레이트 전극에 인가된 RF 파워는 상기 제1 및 제2 재료의 스퍼터링 임계값 미만의 운동 에너지를 갖는 플라즈마 여기된 공정 가스 내에 플라즈마 종을 생성하는 것을 특징으로 하는 기판 처리 방법.
  9. 제8항에 있어서, 상기 하부 플레이트 전극에 인가되는 RF 파워는 약 5OW 또는 그 미만인 것을 특징으로 하는 기판 처리 방법.
  10. 제1항에 있어서, 상기 공정 가스는 Ar, N2, 또는 Ar 및 N2를 더 함유하는 것을 특징으로 하는 기판 처리 방법.
  11. 제1항에 있어서, 상기 공정 가스는 NF3, O2, N2 및 Ar로 구성되는 것을 특징으로 하는 기판 처리 방법.
  12. 제1항에 있어서, 상기 제2 재료는 상기 기판 상의 돌출 형상부(raised feature)를 포함하고, 상기 제1 재료는 상기 돌출 형상부의 수평부 및 수직부 상에 컨포멀 필름(conformal film)을 형성하고, 상기 노출시키는 단계는 상기 돌출 형상부의 상기 수직부 상에 상기 제1 재료의 측벽 스페이서를 형성하는 스페이서 에칭 공정을 포함하는 것을 특징으로 하는 기판 처리 방법.
  13. 제1항에 있어서, 상기 제2 재료는 상기 기판 상의 돌출 형상부를 포함하고, 상기 제1 재료는 상기 돌출 형상부의 수직부 상에 측벽 스페이서를 형성하고, 상기 노출시키는 단계는 상기 돌출 형상부로부터 상기 제1 재료의 상기 측벽 스페이서를 제거하는 것을 특징으로 하는 기판 처리 방법.
  14. 제1항에 있어서, 상기 제1 재료는 상기 기판 상의 돌출 형상부를 포함하고, 상기 제2 재료는 상기 돌출 형상부의 수직부 상에 측벽 스페이서를 형성하고, 상기 노출시키는 단계는 상기 측벽 스페이서를 제외하고 상기 제1 재료의 상기 돌출 형상부를 제거하는 것을 특징으로 하는 기판 처리 방법.
  15. 제1항에 있어서, 상기 플라즈마 여기된 공정 가스를 형성하는 단계는 높은 라디칼-이온 플럭스 비율을 생성하는 원격 플라즈마 소스를 사용하여 플라즈마를 생성하는 단계를 포함하는 것을 특징으로 하는 기판 처리 방법.
  16. 기판 처리 방법으로서:
    SiN을 함유하는 제1 재료 및 Si, SiO2 및 이들의 조합으로 이루어진 그룹으로부터 선택된 제2 재료를 함유하는 기판을 플라즈마 처리 챔버 내에 제공하는 단계;
    NF3 및 O2를 함유하는 플라즈마 여기된 공정 가스를 형성하는 단계; 및
    상기 제2 재료에 대해 상기 제1 재료를 선택적으로 에칭하도록 상기 기판을 상기 플라즈마 여기된 공정 가스에 노출시키는 단계
    를 포함하고,
    상기 노출시키는 단계는 다음의 3가지 플라즈마 처리 조건인:
    a) 300 mTorr보다 큰 상기 플라즈마 처리 챔버 내의 가스 압력;
    b) 약 0.1~약 0.5의 O2/NF3 가스 유량비; 및
    c) 상기 제1 및 제2 재료의 스퍼터링 임계치 미만의 플라즈마 여기된 공정 가스 내의 플라즈마 종의 운동 에너지;
    중 적어도 하나를 포함하는 것을 특징으로 하는 기판 처리 방법.
  17. 제16항에 있어서, 상기 공정 가스는 Ar, N2 또는 Ar 및 N2를 더 함유하는 것을 특징으로 하는 기판 처리 방법.
  18. 기판 처리 방법으로서:
    SiN을 함유하는 제1 재료 및 상기 제1 재료와 상이한 제2 재료를 함유하는 기판을 플라즈마 처리 챔버 내에 제공하는 단계;
    NF3 및 O2를 함유하는 플라즈마 여기된 공정 가스를 형성하는 단계; 및
    상기 제2 재료에 대해 상기 제1 재료를 선택적으로 에칭하도록 상기 기판을 상기 플라즈마 여기된 공정 가스에 노출시키는 단계
    를 포함하고,
    상기 노출시키는 단계는 상기 기판을 이온이 아닌 실질적으로 플라즈마 여기된 라디칼에만 노출시키는 단계를 포함하는 것을 특징으로 하는 기판 처리 방법.
  19. 제18항에 있어서, 상기 공정 가스는 Ar, N2 또는 Ar 및 N2를 더 함유하는 것을 특징으로 하는 기판 처리 방법.
  20. 제18항에 있어서, 상기 제2 재료는 Si, SiO2 및 이들의 조합으로 이루어진 그룹으로부터 선택된 것을 특징으로 하는 기판 처리 방법.
KR1020187038078A 2016-05-29 2017-05-26 선택적 실리콘 질화물 에칭 방법 KR102523717B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201662342991P 2016-05-29 2016-05-29
US62/342,991 2016-05-29
US201662384481P 2016-09-07 2016-09-07
US62/384,481 2016-09-07
PCT/US2017/034860 WO2017210140A1 (en) 2016-05-29 2017-05-26 Method of selective silicon nitride etching

Publications (2)

Publication Number Publication Date
KR20190004363A true KR20190004363A (ko) 2019-01-11
KR102523717B1 KR102523717B1 (ko) 2023-04-19

Family

ID=60418870

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020187038078A KR102523717B1 (ko) 2016-05-29 2017-05-26 선택적 실리콘 질화물 에칭 방법

Country Status (5)

Country Link
US (1) US10381235B2 (ko)
JP (1) JP7008918B2 (ko)
KR (1) KR102523717B1 (ko)
TW (1) TWI648785B (ko)
WO (1) WO2017210140A1 (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190030181A (ko) * 2017-09-13 2019-03-21 도쿄엘렉트론가부시키가이샤 자기 정렬된 다중 패터닝을 위한 선택적 질화물 에칭 방법
KR20210110750A (ko) * 2019-02-01 2021-09-08 레르 리키드 쏘시에떼 아노님 뿌르 레뜌드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 질화규소 스페이서의 선택성 에칭 동안 프로파일 제어를 개선시키는 방법

Families Citing this family (238)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR20190038945A (ko) * 2016-08-29 2019-04-09 도쿄엘렉트론가부시키가이샤 실리콘 질화물의 준원자 층 에칭 방법
US10192743B2 (en) * 2016-08-29 2019-01-29 Tokyo Electron Limited Method of anisotropic extraction of silicon nitride mandrel for fabrication of self-aligned block structures
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10446407B2 (en) * 2017-01-18 2019-10-15 Tokyo Electron Limited Method of preferential silicon nitride etching using sulfur hexafluoride
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10446405B2 (en) 2017-02-23 2019-10-15 Tokyo Electron Limited Method of anisotropic extraction of silicon nitride mandrel for fabrication of self-aligned block structures
TWI756367B (zh) 2017-02-23 2022-03-01 日商東京威力科創股份有限公司 矽氮化物之準原子層蝕刻方法
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
JP7124098B2 (ja) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10720337B2 (en) 2018-07-20 2020-07-21 Asm Ip Holding B.V. Pre-cleaning for etching of dielectric materials
US10720334B2 (en) 2018-07-20 2020-07-21 Asm Ip Holding B.V. Selective cyclic dry etching process of dielectric materials using plasma modification
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
CN111092013B (zh) * 2018-10-23 2022-07-19 中芯国际集成电路制造(上海)有限公司 半导体器件的形成方法
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090104782A1 (en) * 2007-10-22 2009-04-23 Applied Materials, Inc. Selective etching of silicon nitride
KR20140053339A (ko) * 2011-08-18 2014-05-07 어플라이드 머티어리얼스, 인코포레이티드 실리콘-및-질소-함유 필름들에 대한 건식-식각
US20140187046A1 (en) * 2012-12-28 2014-07-03 Commissariat A L'energie Atomique Et Aux Ene Alt Method for forming spacers for a transitor gate
WO2015038252A1 (en) * 2013-09-16 2015-03-19 Applied Materials, Inc. Selective etch of silicon nitride
US20150132968A1 (en) * 2012-11-20 2015-05-14 Applied Materials, Inc. Dry-etch selectivity
US20150214067A1 (en) * 2011-03-14 2015-07-30 Applied Materials, Inc. Methods for etch of sin films
US20160027655A1 (en) * 2014-07-24 2016-01-28 Applied Materials, Inc. Single platform, multiple cycle spacer deposition and etch

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6340322A (ja) * 1986-08-05 1988-02-20 Fujitsu Ltd 半導体装置の製造方法
US4793897A (en) * 1987-03-20 1988-12-27 Applied Materials, Inc. Selective thin film etch process
JPH05109760A (ja) * 1991-10-18 1993-04-30 Seiko Epson Corp 半導体装置
US5238532A (en) * 1992-02-27 1993-08-24 Hughes Aircraft Company Method and apparatus for removal of subsurface damage in semiconductor materials by plasma etching
JPH06168920A (ja) * 1992-11-30 1994-06-14 Sumitomo Metal Ind Ltd 薄膜の除去方法
US6235213B1 (en) * 1998-05-18 2001-05-22 Micron Technology, Inc. Etching methods, methods of removing portions of material, and methods of forming silicon nitride spacers
JP2003068707A (ja) 2001-08-28 2003-03-07 Seiko Epson Corp 半導体装置の製造方法
JP4209253B2 (ja) * 2003-05-22 2009-01-14 忠弘 大見 フッ素添加カーボン膜の形成方法
WO2007016631A1 (en) 2005-08-02 2007-02-08 Massachusetts Institute Of Technology Method of using nf3 for removing surface deposits
KR20080029151A (ko) * 2006-09-28 2008-04-03 삼성전자주식회사 반도체 장치의 절연막 형성 방법
TW200904220A (en) * 2007-07-03 2009-01-16 Hannspree Inc Combination of hanging-type speaker device and display
US7709396B2 (en) * 2008-09-19 2010-05-04 Applied Materials, Inc. Integral patterning of large features along with array using spacer mask patterning process flow
WO2012015575A1 (en) * 2010-07-29 2012-02-02 Conocophillips Company Metal impurity and high molecular weight components removal of biomass derived biocrude
US8679982B2 (en) * 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
TWI476832B (zh) * 2011-09-28 2015-03-11 Tokyo Electron Ltd 蝕刻方法及裝置
KR101276258B1 (ko) 2011-11-21 2013-06-20 피에스케이 주식회사 반도체 제조 장치 및 반도체 제조 방법
KR101987688B1 (ko) 2011-12-13 2019-06-12 엘지디스플레이 주식회사 어레이 기판의 제조방법
JP5520974B2 (ja) 2012-01-25 2014-06-11 東京エレクトロン株式会社 被処理基体の処理方法
JP6376549B2 (ja) * 2012-02-24 2018-08-22 東レ株式会社 ポリフェニレンサルファイド繊維、ポリフェニレンサルファイド繊維からなる濾布およびポリフェニレンサルファイド繊維の製造方法
US9362130B2 (en) * 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
JP2015228433A (ja) * 2014-06-02 2015-12-17 東京エレクトロン株式会社 エッチング方法
JP2016119344A (ja) * 2014-12-19 2016-06-30 株式会社日立ハイテクノロジーズ プラズマ処理方法
KR20190038945A (ko) * 2016-08-29 2019-04-09 도쿄엘렉트론가부시키가이샤 실리콘 질화물의 준원자 층 에칭 방법
US10192743B2 (en) * 2016-08-29 2019-01-29 Tokyo Electron Limited Method of anisotropic extraction of silicon nitride mandrel for fabrication of self-aligned block structures
US10062575B2 (en) * 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10026621B2 (en) * 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10446407B2 (en) * 2017-01-18 2019-10-15 Tokyo Electron Limited Method of preferential silicon nitride etching using sulfur hexafluoride

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090104782A1 (en) * 2007-10-22 2009-04-23 Applied Materials, Inc. Selective etching of silicon nitride
KR20110084419A (ko) * 2008-10-07 2011-07-22 어플라이드 머티어리얼스, 인코포레이티드 실리콘 질화물의 선택적 에칭
US20150214067A1 (en) * 2011-03-14 2015-07-30 Applied Materials, Inc. Methods for etch of sin films
KR20140053339A (ko) * 2011-08-18 2014-05-07 어플라이드 머티어리얼스, 인코포레이티드 실리콘-및-질소-함유 필름들에 대한 건식-식각
US20150132968A1 (en) * 2012-11-20 2015-05-14 Applied Materials, Inc. Dry-etch selectivity
US20140187046A1 (en) * 2012-12-28 2014-07-03 Commissariat A L'energie Atomique Et Aux Ene Alt Method for forming spacers for a transitor gate
WO2015038252A1 (en) * 2013-09-16 2015-03-19 Applied Materials, Inc. Selective etch of silicon nitride
US20160027655A1 (en) * 2014-07-24 2016-01-28 Applied Materials, Inc. Single platform, multiple cycle spacer deposition and etch

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190030181A (ko) * 2017-09-13 2019-03-21 도쿄엘렉트론가부시키가이샤 자기 정렬된 다중 패터닝을 위한 선택적 질화물 에칭 방법
KR20210110750A (ko) * 2019-02-01 2021-09-08 레르 리키드 쏘시에떼 아노님 뿌르 레뜌드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 질화규소 스페이서의 선택성 에칭 동안 프로파일 제어를 개선시키는 방법

Also Published As

Publication number Publication date
US10381235B2 (en) 2019-08-13
TWI648785B (zh) 2019-01-21
JP2019517742A (ja) 2019-06-24
TW201802936A (zh) 2018-01-16
WO2017210140A1 (en) 2017-12-07
KR102523717B1 (ko) 2023-04-19
JP7008918B2 (ja) 2022-01-25
US20170345674A1 (en) 2017-11-30

Similar Documents

Publication Publication Date Title
KR102523717B1 (ko) 선택적 실리콘 질화물 에칭 방법
US10446407B2 (en) Method of preferential silicon nitride etching using sulfur hexafluoride
KR102347402B1 (ko) 측벽 이미지 전사 방법
KR101643830B1 (ko) 결합된 실리콘 산화물 에칭 및 오염 제거 프로세스
TWI621155B (zh) 在自對準圖案化架構中不使用硬遮罩而增加圖案密度之方法
US10763083B2 (en) High energy atomic layer etching
US20220415660A1 (en) Processing apparatus
US20170345673A1 (en) Method of selective silicon oxide etching
US7781340B2 (en) Method and system for etching high-k dielectric materials
KR102550244B1 (ko) 유전체 재료의 식각을 위한 사전 세척
TWI629710B (zh) 用於多重圖案化架構之選擇性間隔件蝕刻用方法及系統
US20180158684A1 (en) Method of processing target object
US7056830B2 (en) Method for plasma etching a dielectric layer
JP2008244479A (ja) 金属窒化物を乾式エッチングする方法及びシステム
US10937662B2 (en) Method of isotropic etching of silicon oxide utilizing fluorocarbon chemistry
US11658038B2 (en) Method for dry etching silicon carbide films for resist underlayer applications

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant