KR20190030181A - 자기 정렬된 다중 패터닝을 위한 선택적 질화물 에칭 방법 - Google Patents

자기 정렬된 다중 패터닝을 위한 선택적 질화물 에칭 방법 Download PDF

Info

Publication number
KR20190030181A
KR20190030181A KR1020180109137A KR20180109137A KR20190030181A KR 20190030181 A KR20190030181 A KR 20190030181A KR 1020180109137 A KR1020180109137 A KR 1020180109137A KR 20180109137 A KR20180109137 A KR 20180109137A KR 20190030181 A KR20190030181 A KR 20190030181A
Authority
KR
South Korea
Prior art keywords
substrate
process gas
chemical mixture
plasma
silicon
Prior art date
Application number
KR1020180109137A
Other languages
English (en)
Other versions
KR102448699B1 (ko
Inventor
소남 디. 셰르파
알록 란잔
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20190030181A publication Critical patent/KR20190030181A/ko
Application granted granted Critical
Publication of KR102448699B1 publication Critical patent/KR102448699B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02538Group 13/15 materials
    • H01L21/0254Nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)
  • Bipolar Transistors (AREA)

Abstract

에칭 방법이 설명된다. 상기 방법은 불활성 가스 및 He 및 H2로 이루어진 그룹으로부터 선택된 적어도 하나의 추가 가스를 함유하는 제1 프로세스 가스의 플라즈마 여기에 의해 제1 화학 혼합물을 형성하는 단계와, 제1 재료의 제1 영역을 변경하기 위하여 기판 상의 제1 재료를 제1 화학 혼합물에 노출시키는 단계를 포함한다. 그 후, 상기 방법은 불활성 가스 그리고 C, H, 및 F를 함유하는 추가 가스를 함유하는 제2 프로세스 가스의 플라즈마 여기에 의해 제2 화학 혼합물을 형성하는 단계와, 제2 재료에 대하여 실리콘 질화물을 함유하는 제1 재료를 선택적으로 에칭하고 기판의 제1 영역으로부터 변경된 제1 재료를 제거하기 위하여 기판 상의 제1 재료를 제2 플라즈마 여기 프로세스 가스에 노출시키는 단계를 포함한다.

Description

자기 정렬된 다중 패터닝을 위한 선택적 질화물 에칭 방법{SELECTIVE NITRIDE ETCHING METHOD FOR SELF-ALIGNED MULTIPLE PATTERNING}
관련 출원에 대한 상호 참조
본 출원은 2017년 9월 13일자로 출원되고 명칭이 “SELECTIVE NITRIDE ETCHING METHOD FOR SELF-ALIGNED MULTIPLE PATTERNING”인 미국 가출원 제62/558,186호의 이익을 주장하며, 이는 그 전체가 참고로 본 명세서에 통합된다.
발명의 분야
본 발명은 에칭 방법에 관한 것으로, 보다 상세하게는 전자 디바이스 애플리케이션의 박막을 에칭하기 위한 정밀 에칭 기술에 관한 것이다.
본 발명은 집적 회로 및 트랜지스터 그리고 집적 회로에 대한 트랜지스터 구성요소와 같은 반도체 디바이스를 제조하는 방법에 관한 것이다. 반도체 디바이스의 제조에서는(특히 미시적 스케일(microscopic scale)에 있어서), 막 형성 증착(deposition), 에칭 마스크의 형성, 패터닝, 재료의 에칭, 및 제거, 도핑 처리와 같은 다양한 제조 프로세스를 반복적으로 수행하여 기판 상에 원하는 반도체 디바이스 요소들을 형성한다. 역사적으로, 미세제조에 있어서, 트랜지스터는 위에 형성된 배선/금속배선과 함께 하나의 평면에서 생성되어, 2 차원(two-dimensional; 2D) 회로 또는 2D 제조로 특징지어져 있다. 스케일링 노력으로 2D 회로의 단위 면적당 트랜지스터 수는 크게 증가했지만, 스케일링이 한 자리 수(single digit) 나노미터 반도체 디바이스 제조 노드로 들어가는 과정에서 스케일링 노력이 더 큰 문제점에 직면하게 된다. EUV(극 자외선) 리소그래피의 지연으로 인해, 반도체 디바이스 제작자는, 자기 정렬된 다중(multiple) 패터닝 기술을 사용하여 종래의 리소그래피를 확장시켰다.
디바이스 구조가 고밀도화되고 수직적으로 개발됨에 따라, 정밀 재료 에칭에 대한 필요성이 더욱 강해졌다. 플라즈마 에칭 프로세스에서의 선택성, 프로파일, ARDE(종횡비 의존형 에칭)와 균일성 간의 트레이드-오프를 맞추기가 어려워진다. 이러한 트레이드-오프의 균형을 맞춤에 의한 패터닝 및 패턴 전송에 대한 현재의 접근법은 지속 가능하지 않다. 이러한 트레이드-오프에 대한 근본 원인은 이온 에너지, 이온 플럭스, 및 라디칼 플럭스를 독립적으로 제어할 수 없다는 것이다. 그러나, 원자 층 에칭(atomic layer etching; ALE)과 같은 자체 제한(self-limiting) 프로세스는, 에칭 프로세스를 표면 변경과 그 변경된 표면 영역의 제거라는 순차적인 단계로 분리함으로써 이러한 트레이드-오프를 피할 수 있는 실행 가능한 경로를 제공하여, 라디칼 플럭스와 이온 플럭스 및 에너지의 역할의 분리를 허용한다.
본 명세서의 기술은 정밀 에칭 기술을 사용하는 디바이스 제조에 관한 것이다.
에칭 방법이 설명된다. 이 방법은 불활성 가스 그리고 He 및 H2로 이루어진 그룹으로부터 선택된 적어도 하나의 추가 가스를 함유하는 제1 프로세스 가스의 플라즈마 여기에 의해 제1 화학 혼합물(chemical mixture)을 형성하는 단계와, 상기 제1 재료의 제1 영역을 변경하기 위하여 기판 상의 제1 재료를 상기 제1 화학 혼합물에 노출시키는 단계를 포함한다. 그 후, 상기 방법은 불활성 가스 그리고 C, H, 및 F를 함유하는 추가 가스를 함유하는 제2 프로세스 가스의 플라즈마 여기에 의해 제2 화학 혼합물을 형성하는 단계와, 제2 재료에 관하여 실리콘 질화물을 함유하는 제1 재료를 선택적으로 에칭하고 상기 기판의 제1 영역으로부터 상기 변경된 제1 재료를 제거하기 위하여 기판 상의 제1 재료를 제2 플라즈마 여기 프로세스 가스에 노출시키는 단계를 포함한다.
물론, 본 명세서에 설명된 바와 같이 상이한 단계들의 논의 순서는, 명확하게 하기 위해 제시되어 있다. 일반적으로, 이러한 단계들은 임의의 적절한 순서로 수행될 수 있다. 부가적으로, 본 명세서의 상이한 특징들, 기술들, 구성들 등의 각각이 본 명세서의 상이한 장소들에서 논의될 수 있지만, 각각의 개념들이 서로 독립적으로 또는 서로 조합되어 실행될 수 있는 것으로 의도된다. 따라서, 본 발명은 많은 다른 방식으로 구현되고 도시될 수 있다.
이 개요 부분은 본 개시 내용 또는 청구된 발명의 모든 실시예 및/또는 점진적으로 신규한 양태를 특정하지는 않는다. 그 대신, 이 개요는 종래 기술에 비해 다른 실시예 및 신규성의 대응점에 대한 예비 논의만을 제공한다. 본 발명 및 실시예의 추가적인 세부사항 및/또는 가능한 관점에 대해, 독자는 이하에 더 논의되는 바와 같이 본 개시 내용의 상세한 설명 부분 및 대응하는 도면을 참조한다.
첨부된 도면들에서,
도 1은 일 실시예에 따른 기판 상의 박막을 에칭하는 방법의 개략도를 도시한다.
도 2는 일 실시예에 따른 기판을 에칭하는 방법을 도시하는 흐름도를 제공한다.
도 3은 일 실시예에 따른 기판을 에칭하기 위한 예시적인 데이터를 제공한다.
도 4a 내지 도 4d는 다양한 실시예에 따른 에칭 방법을 수행하기 위한 플라즈마 처리 시스템의 개략도를 제공한다.
본 명세서의 기술은 정밀 에칭 기술을 사용하는 디바이스 제조에 관한 것이다. FEOL(front end of line)(예를 들어, 트랜지스터 제조) 또는 BEOL(back end of line)(예를 들어, 상호접속부 제조) 양자에서의 반도체 제조에 있어서 몇몇 예들이 나타나게 되며, 여기서 산화물 및 질화물 막(사실상, 일반적으로 실리콘을 함유함)은 높은 정밀도로 에칭될 필요가 있다.
반도체 제조에서의 많은 제조 시퀀스는 2D(2 차원) 및 3D(3 차원) 디바이스 구조에 대한 정밀 에칭 기술을 필요로 한다. 여전히, 3층 에칭 마스크(예를 들어, 포토레지스트, 반사 방지 코팅(ARC), 유기 탄소 필름을 포함함)는 하부 층으로 마스크를 패터닝하는 워크호스(workhorse) 역할을 한다. 현재의 과제는 로딩 아티팩트(artifact)가 없는 패턴 전사, 수직 측벽 프로파일 및 중간층(들)에 대한 광범위한 선택성을 갖는 사각형 바닥을 얻는 것을 수반한다. 연속적인 플라즈마 에칭 프로세스는 이러한 문제를 해결할 수는 없다. 또한, 현재의 과제는 맨드릴 정렬 다중 패턴을 나타내기 위해, 스페이서 에칭을 포함하는 중대한 에칭 단계들을 실행하는 것을 수반한다.
다양한 실시예에 따르면, 실리콘 질화물 막(예를 들어, SiNx 또는 Si3N4)을 에칭하기 위해 저 분자량의 불활성 가스, 수소 및 C, H, 및 F-기반 화학(chemistry)을 포함하는 화학 물질을 사용하는 정밀 순환 플라즈마 에칭 기술이 기재되어 있다. 자기 정렬 다중 패터닝 계획(scheme)에 적용될 때, 스페이서 에칭은 하부 막과 맨드릴 재료에 대한 이방성 및 선택성을 필요로 하는데, 예를 들어, 컨포멀하게 도포된 실리콘 질화물 층은, 맨드릴의 최상부, 및 다른 재료들에 관한 맨드릴 패턴 사이의 개방 공간의 바닥에서 보다 빠르게 에칭되어야한다.
몇몇 실시예에 따르면, 도 1 및 도 2는 박막을 에칭하는 방법을 도시한다. 흐름도(200)로 도시된 방법은, 단계 210에서 실리콘 질화물 재료를 함유하는 제1 재료(100) 및 이 제1 재료(100)와 상이한 제2 재료(110)(또는 120)를 갖는 기판(120)을 제공하는 단계를 포함한다. 기판(120)은 반도체 가공물(workpiece) 또는 패널과 같은 평탄한 가공물을 포함할 수 있다. 기판(120)은 또한 다양한 조성의 추가 층 및/또는 구조를 포함할 수 있다. 일부 실시예에서, 제1 재료 및 제2 재료(100, 110)와 기판(120) 사이에 실리콘 산화물(예를 들어, SiO2) 층이 배치될 수도 있다.
상기 방법은 단계 220에서 불활성 가스 그리고 He 및 H2로 이루어진 그룹으로부터 선택된 적어도 하나의 추가 가스를 함유하는 제1 프로세스 가스의 플라즈마 여기에 의해 제1 화학 혼합물을 형성하는 단계와, 단계 230에서 제1 재료(100)의 제1 영역(101)을 변경하기 위해 기판 상의 제1 재료(100)를 제1 화학 혼합물에 노출시키는 단계를 더 포함하며, 이것의 조합은 도 1의 도면 부호 102로서 도시된다. 예를 들어 도시된 바와 같이, 제1 영역(101)은 기판 평면과 대체로 평행하게 배향된 제1 재료(100)의 표면(예를 들어, 입사 이온 플럭스에 수직인 표면)을 포함할 수 있다. 도면 부호 102 동안에, 제1 재료(100)의 노출된 표면의 제1 영역(101)은 한정된 깊이로 변경되어 변경된 서브 층을 형성한다. 변경된 서브 층은 제1 재료(100)의 전체 두께를 관통할 수도 있고 또는 관통할 수 없을 수도 있다.
그 후, 상기 방법은 단계 240에서 불활성 가스 그리고 C, H, 및 F를 함유하는 추가 가스를 함유하는 제2 프로세스 가스의 플라즈마 여기에 의해 제2 화학 혼합물을 형성하는 단계와, 단계 250에서 제2 재료에 관하여 제1 재료(100)를 선택적으로 에칭하고 기판(120)의 제1 영역 (101)으로부터 변경된 제1 재료를 제거하기 위해 기판 상의 제1 재료(100)를 제2 플라즈마 여기 프로세스 가스에 노출시키는 단계를 포함하며, 이것의 조합은 도 1의 도면 부호 104로서 도시된다. 도면 부호 104 동안에, 제1 재료(100)의 변경된 서브 층은 제2 화학 혼합물에 노출되어, 제거되거나 에칭된다. 제거의 결과로서, 제1 재료(100)의 제2 영역(103)이 남는다. 예를 들어 도시된 바와 같이, 제2 영역(103)은 일반적으로 기판 평면에 수직하게 배향된 제1 재료(100)의 표면(예를 들어, 입사 이온 플럭스와 평행한 표면)을 포함할 수 있다.
상기 방법은, 제1 재료(100)의 추가 부분을 점진적으로 제거하기 위하여 상기 제1 화학 혼합물(220)을 형성하는 단계, 상기 제1 재료(100)을 상기 제1 화학 혼합물(230)에 노출시키는 단계, 상기 제2 화학 혼합물(240)을 형성하는 단계, 및 상기 제1 재료(100)를 상기 제1 화학 혼합물(250)에 노출시키는 단계를 반복하는 단계를 더 포함한다. 시퀀스(104)(도 1 참조) 동안에, 단계 250에서 제거되지 않은 제1 재료(100)의 노출된 표면 위에 보호 층이 형성될 수도 있다. 그 후, 시퀀스(102)(도 1 참조)에서의 제1 화학 혼합물에 대한 후속 노출 동안에, 제2 재료(110) 위의 보호 층은 부분적으로 또는 완전히 제거될 수 있다.
도 1에 도시된 바와 같이, 제2 재료(110)는 기판(100) 상에 형성된 맨드릴 패턴을 포함할 수 있으며, 맨드릴 패턴은 개방 공간에 의해 분리된 기판(120)으로부터 돌출하는 피쳐(feature)를 포함하고, 제1 재료(100)는 맨드릴 패턴 위에 컨포멀하게 도포된다. 기판(120)의 제1 영역(101)은 맨드릴 패턴의 피쳐의 최상부 표면 및 맨드릴 패턴의 개방 공간의 바닥 표면을 포함할 수 있으며, 여기서 맨드릴 패턴으로부터의 변경된 제1 재료의 제거는, 맨드릴 패턴의 측벽 상에 남아 있는 제1 재료(100)를 남긴다. 전술한 프로세스 시퀀스는 자기 정렬 다중 패터닝 프로세스를 위한 타겟 사양(specification)을 충족시키는 맨드릴 패턴 상에 측벽 피쳐를 생성하는데 사용될 수 있다.
제1 재료(100)는 맨드릴 패턴에 컨포멀하게 적용될 수도 있는 실리콘 질화물을 포함할 수 있다. 실리콘 질화물은 기상 증착(deposition) 프로세스 또는 다른 공지된 프로세스를 사용하여 증착될 수 있다. 제2 재료(110)은, 탄소 함유의 소프트 또는 하드 마스크, 실리콘, 또는 Si, Ge, 또는 금속(M), 및 옵션으로 O, N, C, F, Cl, Br 및 S로 이루어진 그룹으로부터 선택된 하나 이상의 요소를 포함할 수 있다. 예를 들어, 제2 재료(110)는 실리콘, 실리콘 산화물, 실리콘 탄화물, 금속, 금속 산화물, 금속 질화물, 금속 탄화물, 또는 금속 합금, 또는 이들의 조합을 포함할 수 있다. 부가적으로, 예를 들어, 제2 재료(110)는 Si 함유 반사 방지 코팅(ARC), 실리콘 또는 실리콘 산화물을 포함할 수 있다.
제1 프로세스 가스는 2개의 상이한 불활성 가스 요소, 또는 불활성 가스 요소 및 수소 함유 가스를 포함할 수 있다. 제1 프로세스 가스는 Ar 및 He, 또는 H2 및 임의의 불활성 가스를 포함할 수 있다. 대안적으로, 제1 프로세스 가스는 본질적으로 Ar 및 He, 또는 Ar 및 H2로 이루어질 수 있다. 대안적으로, 제1 프로세스 가스는 Ar 및 He, 또는 Ar 및 H2로 이루어질 수 있다.
제2 프로세스 가스는, CxHyFz 형태의 화합물과 같은 부분 치환된 할로실란을 포함할 수 있으며, x, y, 및 z는 0보다 큰 정수이다. 일 실시예에서, 제2 프로세스 가스는 CHF3를 함유하며, 옵션으로 불활성 가스를 함유한다. 대안적으로, 제2 프로세스 가스는 CHF3 및 Ar로 이루어진다.
일례로서, 도 3은 특정 에칭 시간 동안에 에칭된 실리콘 질화물의 양을 나타낸다. 도면 부호 310에서, 실리콘 질화물 층은 수소(H2) 플라즈마에만 노출된다. 도면 부호 320에서, 실리콘 질화물 층은 전술한 바와 같이 2단계 시퀀스에 노출되며, 여기서 제1 단계는 수소(H2) 플라즈마를 포함하고, 제2 단계는 CHF3 플라즈마를 포함한다. 도면 부호 330에서, 실리콘 질화물 층은 CHF3 플라즈마에만 노출된다. 압력, 온도, 플라즈마/바이어스 전력(들) 등을 포함하는 다른 프로세스 조건은 일정하게 유지된다. 본 발명자들은 다단계 프로세스(즉, 도면 부호 320)에서 실질적으로 더 큰 에칭 레이트를 관찰하였다.
제1 프로세스 및/또는 제2 프로세스 가스의 플라즈마 여기는, 인 시츄(in-situ)로[즉, 제1 및/또는 제2 화학 혼합물은, 기판과 근접 접촉하는 기상(gas phase), 진공 환경 내에서 형성됨] 또는 엑스 시츄(ex-situ)로[즉, 제1 및/또는 제2 화학 혼합물은 기판에 관하여 원격으로 위치되는 기상, 진공 환경 내에서 형성됨] 수행될 수 있다. 도 4a 내지 도 4d는 프로세스 가스의 플라즈마 여기를 용이하게 하는데 사용될 수 있는 일부 플라즈마 생성 시스템을 제공한다. 도 4a는 용량 결합형 플라즈마(capacitively coupled plasma; CCP) 시스템을 도시하며, 여기서 플라즈마는 상부 플레이트 전극(upper plate electrode; UEL)과 하부 플레이트 전극(lower plate electrode) 사이의 기판에 근접하여 형성되며, 하부 전극은 기판을 지지하고 유지하는 정전척(electrostatic chuck; ESC)으로도 기능한다. 플라즈마는 상기 전극들 중 적어도 하나에 고주파(radio frequency; RF) 전력을 연결함으로써 형성된다. 도 4a에 도시된 바와 같이, RF 전력은 상부 전극 및 하부 전극 모두에 연결되고, 전력 연결은 상이한 RF 주파수를 포함할 수도 있다. 대안적으로, 복수의 RF 전력 소스가 동일한 전극에 연결될 수도 있다. 또한, 직류(direct current; DC) 전력이 상부 전극에 연결될 수도 있다.
도 4b는 유도 결합형 플라즈마(inductively coupled plasma) 시스템을 도시하며, 여기서 플라즈마는 유도성 요소(예를 들어, 평면 코일 또는 솔레노이드/나선형 코일)와 하부 플레이트 전극(LEL) 사이의 기판에 근접하여 형성되며, 하부 전극은 기판을 지지하고 유지하는 정전척(ESC)으로도 기능한다. 플라즈마는 유도 결합 요소에 고주파(RF) 전력을 연결함으로써 형성된다. 도 4b에 도시된 바와 같이, RF 전력은 상기 유도 결합 요소와 하부 전극 모두에 연결되고, 전력 연결은 상이한 RF 주파수를 포함할 수도 있다.
도 4c는 표면 파 플라즈마(surface wave plasma; SWP) 시스템을 도시하며, 여기서 플라즈마는 슬롯형 평면 안테나와 하부 플레이트 전극(LEL) 사이의 기판에 근접하여 형성되며, 하부 전극은 기판을 지지하고 유지하는 정전척(ESC)으로도 기능한다. 플라즈마는 도파관 및 동축 라인을 통해 마이크로파 주파수로 고주파(RF) 전력을 슬롯형 평면 안테나에 연결함으로써 형성된다. 도 4c에 도시된 바와 같이, RF 전력은 슬롯형 평면 안테나와 하부 전극 모두에 연결되고, 전력 연결은 상이한 RF 주파수를 포함할 수도 있다.
도 4d는 원격 플라즈마 시스템을 도시하며, 여기서 플라즈마는 원격 플라즈마 소스로부터 기판 근처의 처리 영역으로의 하전된 입자의 이송을 방해하도록 배치된 필터에 의해 기판으로부터 멀리 떨어져 있고 기판으로부터 분리된 영역에 형성된다. 기판은 기판을 유지하기 위한 정전척(ESC)으로서도 기능하는 하부 플레이트 전극(LEL)에 의해 지지된다. 플라즈마는 고주파(RF) 전력을 원거리에 위치된 영역에 인접한 플라즈마 생성 디바이스에 연결함으로써 형성된다. 도 4d에 도시된 바와 같이, RF 전력은 원격 영역에 인접한 플라즈마 생성 디바이스 및 하부 전극 모두에 연결되고, 전력 연결은 상이한 RF 주파수를 포함할 수도 있다.
도 4a 내지 도 4d의 플라즈마 처리 시스템은, 설명된 계단식(stepped) 이온/라디칼 프로세스를 구현하기 위한 다양한 기술을 예시하기 위한 것이다. 설명된 시스템들의 조합 및 변형 모두를 포함하는 다른 실시예들이 고려된다.
제1 프로세스 가스의 플라즈마 여기에 의해 제1 화학 혼합물을 형성하고, 기판 상의 제1 재료를 제1 화학 혼합물에 노출시키는 경우, 노광을 위한 가스 압력은 1000 mTorr 이하일 수 있다. 예를 들어, 가스 압력은 10 mTorr 내지 100 mTorr의 범위일 수 있다. 부가적으로, 기판은 RF 전력을 하부 플레이트 전극(LEL)에 연결함으로써 전기적으로 바이어싱될 수도 있다. RF 전력은 또한 플라즈마 생성 디바이스에 인가될 수도 있고 인가되지 않을 수도 있다.
제2 프로세스 가스의 플라즈마 여기에 의해 제2 화학 혼합물을 형성하고, 기판 상의 제2 재료를 제2 화학 혼합물에 노출시키는 경우, 노광을 위한 가스 압력은 1000 mTorr 이하일 수 있다. 예를 들어, 가스 압력은 10 mTorr 내지 100 mTorr의 범위일 수 있다. 부가적으로, 기판은 RF 전력을 하부 플레이트 전극(LEL)에 연결함으로써 전기적으로 바이어싱될 수도 있다. RF 전력은 또한 플라즈마 생성 디바이스에 인가될 수도 있고 인가되지 않을 수도 있다.
제1 프로세스 가스의 플라즈마 여기에 의해 제1 화학 혼합물을 형성하고, 기판 상의 제1 재료를 제1 화학 혼합물에 노출시키는 경우 그리고 제2 프로세스 가스의 플라즈마 여기에 의해 제2 화학 혼합물을 형성하는 경우에, 본 발명자는 맨드릴 패턴 측벽 상에 형성된 스페이서 피쳐의 정확한 프로파일 제어를 초래하는 순환(cyclic) 플라즈마 에칭을 관찰하였다.
아래의 청구 범위에서, 임의의 종속 제한물은 임의의 독립 청구항에 의존할 수 있다.
전술한 설명에서, 프로세싱 시스템의 특정 기하학적 구조 및 여기에 사용되는 다양한 구성요소 및 프로세스의 설명과 같은 특정 세부사항이 설명되었다. 그러나, 본 명세서의 기술들은 이러한 특정 세부사항들로부터 벗어나는 다른 실시예들에서 실시될 수 있고, 그러한 세부사항들은 설명의 목적을 위한 것이며 제한적인 것은 아니라는 것을 이해해야한다. 본 명세서에 개시된 실시예들은 첨부 도면을 참조하여 설명되어 있다. 이와 마찬가지로, 설명의 목적을 위해, 철저한 이해를 돕기 위하여 특정 번호, 재료, 및 구성이 제시되어 있다. 그럼에도 불구하고, 이러한 특정 세부사항없이 실시예가 실시될 수도 있다. 실질적으로 동일한 기능 구성을 갖는 구성요소들은 동일한 참조 부호로 표시되므로, 임의의 중복 설명은 생략될 수도 있다.
다양한 기술들이 다양한 실시예들을 이해하는데 도움이 되는 복수의 별개의 동작들로서 설명되었다. 설명의 순서는 이러한 동작이 반드시 순서에 따라야 하는 것을 의미하는 것으로 해석되어서는 안된다. 실제로, 이러한 동작은 표시 순서대로 수행될 필요가 없다. 설명된 동작들은 설명된 실시예와 상이한 순서로 수행될 수도 있다. 다양한 추가 동작들이 수행될 수도 있고 및/또는 설명된 동작들은 추가적인 실시예들에서 생략될 수도 있다.
본 명세서에서 사용되는 "기판" 또는 "타겟 기판"은 일반적으로 본 발명에 따라 처리되는 대상물(object)을 지칭한다. 기판은 디바이스, 특히 반도체 또는 다른 전자 디바이스의 임의의 재료 부분 또는 구조를 포함할 수도 있으며, 예를 들어, 반도체 웨이퍼, 레티클과 같은 베이스 기판 구조, 또는 박막과 같은 베이스 기판 구조 상의 또는 그 위에 있는 층일 수도 있다. 따라서, 기판은 패터닝되거나 패터닝되지 않은 임의의 특정 베이스 구조, 하부 층 또는 상부 층으로 제한되지 않고, 임의의 이러한 층 또는 베이스 구조, 및 층 및/또는 베이스 구조의 임의의 조합을 포함하도록 고려된다. 이 설명은 특정 유형의 기판을 참조할 수도 있지만, 이는 단지 예시 목적을 위한 것이다.
당업자는 또한 본 발명의 동일한 목적을 여전히 달성하면서 전술한 기술의 동작에 많은 변형을 가할 수 있음을 이해할 것이다. 이러한 변형은 본 개시 내용의 범위에 의해 커버되는 것으로 의도된다. 이와 같이, 본 발명의 실시예에 대한 전술한 설명은 제한하려는 것이 아니다. 오히려, 본 발명의 실시예에 대한 임의의 제한은 다음의 청구 범위에 제시된다.

Claims (20)

  1. 에칭 방법으로서,
    실리콘 질화물 재료를 함유하는 제1 재료 및 상기 제1 재료와 상이한 제2 재료를 갖는 기판을 제공하는 단계와,
    불활성 가스 그리고 He 및 H2로 이루어진 그룹으로부터 선택된 적어도 하나의 추가 가스를 함유하는 제1 프로세스 가스의 플라즈마 여기에 의해 제1 화학 혼합물(chemical mixture)을 형성하는 단계와,
    상기 제1 재료의 제1 영역을 변경하기 위하여 상기 기판 상의 제1 재료를 상기 제1 화학 혼합물에 노출시키는 단계와,
    그 후, 불활성 가스 그리고 C, H, 및 F를 함유하는 추가 가스를 함유하는 제2 프로세스 가스의 플라즈마 여기에 의해 제2 화학 혼합물을 형성하는 단계와,
    상기 제2 재료에 관하여 상기 제1 재료를 선택적으로 에칭하고 상기 기판의 상기 제1 영역으로부터 상기 변경된 제1 재료를 제거하기 위하여 상기 기판 상의 제1 재료를 상기 제2 플라즈마 여기 프로세스 가스에 노출시키는 단계
    를 포함하는 에칭 방법.
  2. 제1항에 있어서, 상기 제1 재료는 상기 기판 상에 형성된 맨드릴(mandrel) 패턴에 컨포멀하게(conformally) 도포되고, 상기 맨드릴 패턴은 개방 공간에 의해 분리된 상기 기판으로부터 돌출하는 피쳐(feature)들을 포함하는 것인 에칭 방법.
  3. 제2항에 있어서, 상기 기판의 제1 영역은 상기 맨드릴 패턴의 상기 피쳐들의 최상부 표면 및 상기 맨드릴 패턴의 상기 개방 공간의 바닥 표면을 포함하고, 상기 맨드릴 패턴으로부터의 상기 변경된 제1 재료의 제거는, 상기 맨드릴 패턴의 측벽에 남아있는 제1 재료를 남기는 것인 에칭 방법.
  4. 제1항에 있어서, 상기 제1 프로세스 가스는 Ar 및 He, 또는 Ar 및 H2로 이루어지는 것인 에칭 방법.
  5. 제1항에 있어서, 상기 제2 프로세스 가스는 CxHyFz 형태의 화합물을 포함하고, x, y, 및 z는 0보다 큰 정수인 것인 에칭 방법.
  6. 제1항에 있어서, 상기 제2 프로세스 가스는 CHF3를 함유하는 것인 에칭 방법.
  7. 제1항에 있어서, 상기 제2 프로세스 가스는 CHF3 및 Ar로 이루어지는 것인 에칭 방법.
  8. 제1항에 있어서, 상기 제1 재료는 맨드릴 패턴에 컨포멀하게 도포된 실리콘 질화물을 포함하는 것인 에칭 방법.
  9. 제1항에 있어서, 상기 제2 재료는 탄소 함유의 소프트 또는 하드 마스크를 포함하는 것인 에칭 방법.
  10. 제1항에 있어서, 상기 제2 재료는 실리콘을 포함하는 것인 에칭 방법.
  11. 제1항에 있어서, 상기 제2 재료는 Si, Ge, 또는 금속(M), 및 옵션으로 O, N, C, F, Cl, Br, 및 S로 이루어진 그룹으로부터 선택된 하나 이상의 요소를 포함하는 것인 에칭 방법.
  12. 제1항에 있어서, 상기 제2 재료는 실리콘, 실리콘 산화물, 실리콘 탄화물, 금속, 금속 산화물, 금속 질화물, 금속 탄화물, 또는 금속 합금, 또는 이들의 조합을 포함하는 것인 에칭 방법.
  13. 제1항에 있어서, 상기 제2 재료는 실리콘(Si) 함유 반사 방지 코팅(anti-reflective coating; ARC), 실리콘 또는 실리콘 산화물을 포함하는 것인 에칭 방법.
  14. 제1항에 있어서, 상기 제1 화학 혼합물에 노출되는 동안에 상기 제1 재료에 변경된 서브 층을 형성하도록 상기 제1 재료의 노출된 표면을 한정된 깊이(finite depth)로 변경하는 단계와,
    상기 제2 화학 혼합물에 노출되는 동안에 상기 제1 재료의 상기 변경된 서브 층을 에칭하는 단계를 더 포함하는 에칭 방법.
  15. 제14항에 있어서, 상기 제2 화학 혼합물에 노출되는 동안에 상기 제2 재료 위에 보호 층을 형성하는 단계를 더 포함하는 에칭 방법.
  16. 제15항에 있어서, 상기 제1 화학 혼합물에 노출되는 동안에 상기 제2 재료 위의 상기 보호 층을 제거하는 단계를 더 포함하는 에칭 방법.
  17. 제1항에 있어서, 상기 제1 프로세스 가스 또는 상기 제2 프로세스 가스의 플라즈마 여기는, 상부 플레이트 전극 및 상기 기판을 지지하는 하부 플레이트 전극을 포함하는 용량 결합형 플라즈마 소스를 사용하여 플라즈마를 생성하는 단계를 포함하는 에칭 방법.
  18. 제1항에 있어서, 상기 제1 프로세스 가스 또는 상기 제2 프로세스 가스의 플라즈마 여기는, 유도성 요소 및 상기 기판을 지지하는 하부 플레이트 전극을 포함하는 유도 결합형 플라즈마 소스를 사용하여 플라즈마를 생성하는 단계를 포함하는 에칭 방법.
  19. 제1항에 있어서, 상기 제1 프로세스 가스 또는 상기 제2 프로세스 가스의 플라즈마 여기는, 원격 플라즈마 소스를 사용하여 플라즈마를 생성하는 단계를 포함하는 에칭 방법.
  20. 제1항에 있어서, 상기 제1 재료의 추가 부분을 점진적으로(incrementally) 제거하기 위해 상기 제1 화학 혼합물을 형성하는 단계, 상기 제1 재료를 상기 제1 화학 혼합물에 노출시키는 단계, 상기 제2 화학 혼합물을 형성하는 단계, 및 상기 제1 재료를 상기 제2 화학 혼합물에 노출시키는 단계를 반복하는 단계를 더 포함하는 에칭 방법.
KR1020180109137A 2017-09-13 2018-09-12 자기 정렬된 다중 패터닝을 위한 선택적 질화물 에칭 방법 KR102448699B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762558186P 2017-09-13 2017-09-13
US62/558,186 2017-09-13
US16/120,547 2018-09-04
US16/120,547 US10607852B2 (en) 2017-09-13 2018-09-04 Selective nitride etching method for self-aligned multiple patterning

Publications (2)

Publication Number Publication Date
KR20190030181A true KR20190030181A (ko) 2019-03-21
KR102448699B1 KR102448699B1 (ko) 2022-09-28

Family

ID=65632007

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020180109137A KR102448699B1 (ko) 2017-09-13 2018-09-12 자기 정렬된 다중 패터닝을 위한 선택적 질화물 에칭 방법

Country Status (3)

Country Link
US (1) US10607852B2 (ko)
KR (1) KR102448699B1 (ko)
TW (1) TWI794289B (ko)

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20160035581A1 (en) * 2012-12-28 2016-02-04 Commissariat A L'energie Atomique Et Aux Energies Alternatives Microelectronic method for etching a layer
JP2016149451A (ja) * 2015-02-12 2016-08-18 関東電化工業株式会社 ドライエッチングガス組成物及びドライエッチング方法
KR20190003795A (ko) * 2016-05-29 2019-01-09 도쿄엘렉트론가부시키가이샤 수소 플라즈마를 사용한 실리콘 추출 방법
KR20190004363A (ko) * 2016-05-29 2019-01-11 도쿄엘렉트론가부시키가이샤 선택적 실리콘 질화물 에칭 방법
KR20190030182A (ko) * 2017-09-13 2019-03-21 도쿄엘렉트론가부시키가이샤 자기 정렬된 다중 패터닝을 위한 선택적 산화물 에칭 방법
JP2019517743A (ja) * 2016-05-29 2019-06-24 東京エレクトロン株式会社 側壁イメージ転写の方法
JP2021519514A (ja) * 2018-03-26 2021-08-10 ラム リサーチ コーポレーションLam Research Corporation 炭素膜の原子層堆積

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20040066170A (ko) * 2001-12-13 2004-07-23 어플라이드 머티어리얼스, 인코포레이티드 질화물 숄더에 대해 높은 민감도를 갖는 자기 정렬 콘택에칭
US20110253670A1 (en) * 2010-04-19 2011-10-20 Applied Materials, Inc. Methods for etching silicon-based antireflective layers
WO2012058377A2 (en) * 2010-10-29 2012-05-03 Applied Materials, Inc. Methods for etching oxide layers using process gas pulsing
FR2993576B1 (fr) * 2012-07-20 2018-05-18 Nanoplas Dispositif de traitement d'un objet par plasma
US9165783B2 (en) * 2012-11-01 2015-10-20 Applied Materials, Inc. Method of patterning a low-k dielectric film
US9515166B2 (en) * 2014-04-10 2016-12-06 Applied Materials, Inc. Selective atomic layer deposition process utilizing patterned self assembled monolayers for 3D structure semiconductor applications
US20180277387A1 (en) * 2014-08-06 2018-09-27 American Air Liquide, Inc. Gases for low damage selective silicon nitride etching
US9478433B1 (en) * 2015-03-30 2016-10-25 Applied Materials, Inc. Cyclic spacer etching process with improved profile control
US9741566B2 (en) * 2015-03-30 2017-08-22 Applied Materials, Inc. Methods for manufacturing a spacer with desired profile in an advanced patterning process
US9484202B1 (en) * 2015-06-03 2016-11-01 Applied Materials, Inc. Apparatus and methods for spacer deposition and selective removal in an advanced patterning process
US10468251B2 (en) * 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
WO2018044713A1 (en) * 2016-08-29 2018-03-08 Tokyo Electron Limited Method of quasi-atomic layer etching of silicon nitride
US10504742B2 (en) * 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20160035581A1 (en) * 2012-12-28 2016-02-04 Commissariat A L'energie Atomique Et Aux Energies Alternatives Microelectronic method for etching a layer
JP2016149451A (ja) * 2015-02-12 2016-08-18 関東電化工業株式会社 ドライエッチングガス組成物及びドライエッチング方法
KR20190003795A (ko) * 2016-05-29 2019-01-09 도쿄엘렉트론가부시키가이샤 수소 플라즈마를 사용한 실리콘 추출 방법
KR20190004363A (ko) * 2016-05-29 2019-01-11 도쿄엘렉트론가부시키가이샤 선택적 실리콘 질화물 에칭 방법
JP2019517742A (ja) * 2016-05-29 2019-06-24 東京エレクトロン株式会社 選択的窒化シリコンエッチングの方法
JP2019517743A (ja) * 2016-05-29 2019-06-24 東京エレクトロン株式会社 側壁イメージ転写の方法
JP2019522906A (ja) * 2016-05-29 2019-08-15 東京エレクトロン株式会社 水素プラズマを用いたシリコン抽出方法
KR20190030182A (ko) * 2017-09-13 2019-03-21 도쿄엘렉트론가부시키가이샤 자기 정렬된 다중 패터닝을 위한 선택적 산화물 에칭 방법
JP2021519514A (ja) * 2018-03-26 2021-08-10 ラム リサーチ コーポレーションLam Research Corporation 炭素膜の原子層堆積

Also Published As

Publication number Publication date
US20190080924A1 (en) 2019-03-14
TW201926462A (zh) 2019-07-01
US10607852B2 (en) 2020-03-31
TWI794289B (zh) 2023-03-01
KR102448699B1 (ko) 2022-09-28

Similar Documents

Publication Publication Date Title
CN107431011B (zh) 用于原子层蚀刻的方法
JP2017199909A (ja) Aleおよび選択的蒸着を用いた基板のエッチング
US9911607B2 (en) Method of processing target object
US10535531B2 (en) Method of cyclic plasma etching of organic film using carbon-based chemistry
US10541146B2 (en) Method of cyclic plasma etching of organic film using sulfur-based chemistry
TWI703618B (zh) 用於圖案化具有所需尺度的材料層的方法
TWI784967B (zh) 矽氮化物之準原子層蝕刻方法
TWI746622B (zh) 用以製造自對準塊體結構之矽氮化物心軸的不等向性抽出方法
KR102412439B1 (ko) 자기 정렬된 다중 패터닝을 위한 선택적 산화물 에칭 방법
KR102594444B1 (ko) 황 기반 화학물을 이용한 실리콘 함유 유기 막의 플라즈마 에칭 방법
WO2013073193A1 (ja) 半導体装置の製造方法
TW201903895A (zh) 用於製造自對準塊體結構之矽氮化物心軸的異向性抽出方法
KR102537097B1 (ko) 실리콘 질화물의 유사 원자층 에칭 방법
KR102638422B1 (ko) 유황 및/또는 탄소계 화학물을 사용하는 유기막의 주기적 플라즈마 에칭 방법
KR102448699B1 (ko) 자기 정렬된 다중 패터닝을 위한 선택적 질화물 에칭 방법
US9280051B2 (en) Methods for reducing line width roughness and/or critical dimension nonuniformity in a patterned photoresist layer

Legal Events

Date Code Title Description
E701 Decision to grant or registration of patent right
GRNT Written decision to grant