JP2019522906A - 水素プラズマを用いたシリコン抽出方法 - Google Patents

水素プラズマを用いたシリコン抽出方法 Download PDF

Info

Publication number
JP2019522906A
JP2019522906A JP2019514200A JP2019514200A JP2019522906A JP 2019522906 A JP2019522906 A JP 2019522906A JP 2019514200 A JP2019514200 A JP 2019514200A JP 2019514200 A JP2019514200 A JP 2019514200A JP 2019522906 A JP2019522906 A JP 2019522906A
Authority
JP
Japan
Prior art keywords
plasma
substrate
process gas
forming
sio
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2019514200A
Other languages
English (en)
Other versions
JP6958980B2 (ja
JP6958980B6 (ja
Inventor
ディー. シェルパ,ソナム
ディー. シェルパ,ソナム
ランジャン,アロック
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of JP2019522906A publication Critical patent/JP2019522906A/ja
Publication of JP6958980B2 publication Critical patent/JP6958980B2/ja
Application granted granted Critical
Publication of JP6958980B6 publication Critical patent/JP6958980B6/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)

Abstract

水素プラズマを用いたシリコン抽出方法が、種々の実施形態において開示された。基板プロセス方法は、シリコンを含む第1材料と、第1材料とは異なる第2材料とを含む基板を提供するステップと、H2及び任意でArを含むプラズマ励起プロセスガスを形成するステップと、第2材料と比較して第1材料を選択的にエッチングするために、プラズマ励起プロセスガスに基板を曝露するステップと、を含む。一実施形態によれば、第2材料は、SiN、SiO2及びそれらの組み合わせからなる群から選択される。

Description

関連出願についてのクロスリファレンス
本出願は、2016年5月29日に出願された米国仮特許出願第62/342,992号に関連し、これに基づく優先権を主張する。
その全体の内容は参照により本明細書に組み込まれる。
本発明は半導体製造及び半導体デバイスの分野に関し、より詳しくは水素プラズマを用いたシリコン抽出方法又はシリコン引き抜き方法(a method of silicon extraction)に関する。
ラインエッチング及びパターニングプロセスのフロントエンドは、下地材料に対して高い又は無限の選択性を有するシリコンの抽出を必要とする。シリコンを抽出するために使用される現在の方法は、エッチング副生成物の再堆積および高エネルギーイオンによる衝撃を伴う。これらのプロセスは、フーティング(footing)と下地材料への重大な損傷とをもたらす。したがって、これらの問題を克服するために、シリコン抽出のための新しいプロセス方法が必要とされている。
本発明の実施態様は、シリコン抽出のための水素プラズマを用いた基板プロセス方法を記載する。水素プラズマは、酸化物、窒化物、及び他の材料に対して非常に高い選択性でシリコンを抽出することができる。このプロセスは基板上への副産物堆積(例えば、ポリマー)がなく、水素イオンによる下地材料への損傷はごくわずかである。
一実施形態によれば、方法は、元素ケイ素(elemental silicon)を含む第1材料と、第1材料とは異なる第2材料とを含む基板を提供するステップと、H及び任意でArを含むプラズマ励起プロセスガスを形成するステップと、第2材料と比較して第1材料を選択的にエッチングするために、プラズマ励起プロセスガスに基板を曝露するステップと、を含む。
一実施形態において、第2材料は、SiN、SiO及びそれらの組み合わせからなる群から選択される、
添付の図面に関連して考慮されるとき、以下の詳細な説明を参照することにより本発明がより完全に理解されるにつれて、本発明及びその多くの付随する利点のより完全な理解が容易に得られるであろう。
図1A−1Bは、基板プロセス方法を、断面図を介して模式的に示す図である。 図2A−2Bは、本発明の一実施例による基板プロセス方法を、断面図を介して模式的に示す図である。 図3Aー3Bは、本発明の一実施例による基板プロセス方法を、断面図を介して模式的に示す図である。 本発明の一実施形態による、SiNエッチング及びSiOエッチングに対する選択的Siエッチングの実験結果を示す図である。 本発明の一実施形態による、SiNエッチング及びSiOエッチングに対する選択的Siエッチングの実験結果を示す図である。 本発明の一実施形態による、Siエッチングの実験結果を示す図である。 本発明の一実施形態による、Siエッチングの実験結果を示す図である。 図8A−8Fは、本発明の一実施形態による、SiNエッチング及びSiOエッチングに対する選択的Siエッチングの実験結果を示す図である。 本発明の一実施形態による、容量結合プラズマ(CCP)システムを模式的に示す図である。
本発明の実施形態は、他の材料と比較して元素シリコン(Si)を選択的にエッチングするために、非重合化学を使用する基板プロセス方法を記載する。
本明細書において用いられるとき、「SiN」の表記は、ケイ素及び窒素を主成分として含有する層を含み、その層はある範囲のSi及びNの組成物を有することができる。Siは窒化ケイ素の中で最も熱力学的に安定であり、従って窒化ケイ素の中で最も商業的に重要である。しかしながら、本発明の実施形態は、広範囲のSi及びNの組成物を有するSiN層に適用することができる。
さらに、「SiO」の表記は、主成分としてケイ素及び酸素を含有する層を含むことを意味し、その層はある範囲のSi及びOの組成物を有することができる。SiOは酸化ケイ素の中で最も熱力学的に安定であり、従って酸化ケイ素の中で最も商業的に重要である。
図1A及び1Bは、基板プロセス方法を、断面図を介して模式的に示す。図1Aは、基板100と、二酸化ケイ素(SiO)層101と、Si隆起フィーチャ102と、Si隆起フィーチャ102の垂直部分105上の(on the vertical portions 105)窒化ケイ素(SiN)側壁106と、を示す。SiN側壁スペーサ106は、Si隆起フィーチャ102の水平部分103及び垂直部分105上にSiNスペーサ層をコンフォーマルに堆積させ、続いて、フルオロカーボン含有プラズマを含みうる、異方性エッチングプロセスにおいて、水平部分103上のSiNスペーサ層104を優先的にエッチングすることにより形成されることができる。Si隆起フィーチャ102は、しばしばマンドレルと呼ばれ、それらはハロゲン含有エッチングプロセス(すなわち、マンドレルプルプロセス)を用いて除去されることができる。
図1Bは、Si隆起フィーチャ102を除去するためのハロゲン含有エッチングプロセスのいくつかの欠点を図示し、SiとSiOとの間の選択性が低いことによるSiO層101内の酸化物(例えばSiO)リセス(recess)109と、ポリマー残留物107の存在と、SiN側壁スペーサ106の頂部においてテーパ状輪郭を生じるスペーサ浸食と、を含む。本発明の実施形態は、ハロゲン含有エッチングプロセスのこれらの欠点に対処する。
図2A及び2Bは、本発明の一実施例による基板プロセス方法を、断面図を介して模式的に示す。図1Aは、図2Aとして再現され、基板100、SiO層101、Si隆起フィーチャ102、およびSi隆起フィーチャ102の垂直部分105上のSiN側壁スペーサ106を示す。Si隆起フィーチャ102は、多結晶Si(ポリSi)又はアモルファスSi(a‐Si)を含むことができる。
図2Bは、基板からSi隆起フィーチャ102を選択的に取り除くプラズマエッチングプロセスの結果を示す。プラズマエッチングプロセスは、H及び任意のArガスを含むプロセスガスをプラズマ励起するステップと、図2Aの構造をプラズマ励起プロセスガスに曝露するステップとを含む。一実施形態によれば、プロセスガスは、Hから成る。他の実施態様によれば、プロセスガスは、H及びArから成る。図2Bの結果として生じる構造は、SiO層101上のSiN側壁スペーサ106を含み、図1Bに示された上述の欠点を有しない。
図2A及び2Bに表される方法は、基板上の隆起フィーチャを有する第1材料と、隆起フィーチャの垂直部分上に側壁スペーサを形成する第2材料とを含む基板を提供するステップであって、第1材料及び第2材料は、下地の第3材料と直接接触し、第1材料は元素Siを含み、第2材料はSiNを含み、第3材料はSiOを含む、ステップと、H及び任意でArを含むプラズマ励起プロセスガスを形成するステップと、第2材料及び第3材料と比較して第1材料を選択的にエッチングするために、プラズマ励起プロセスガスに基板を曝露するステップと、を含む。
図3Aおよび3Bは、本発明の一実施例による基板プロセス方法を、断面図を介して模式的に示す。図3Aは、SiO層300と、Si層302と、SiO層306と、露出Si層310に接するSiN側壁スペーサ308とを含む構造を示す。
本発明一実施形態によれば、図3Aの構造は、SiO層306及びSiN側壁スペーサ308と比較してSi層310を選択的にエッチングするエッチングプロセスを用いて処理されることができる。エッチングプロセスは、H及び任意のArガスを含有するプロセスガスをプラズマ励起するステップと、図3Aの構造をプラズマ励起プロセスガスに曝露するステップと、を有する。一実施例によれば、プロセスガスは、Hからなる。他の実施態様によれば、プロセスガスは、H及びArから成る。図3Bは、部分的なSiプルエッチングプロセスの後の構造を示す。
図4は、本発明の一実施形態による、SiNエッチング482及びSiOエッチング484に対する選択的Siエッチング480の実験結果を示す。プラズマエッチングは、60MHzにおいて200Wの上部電極電力、10℃の基板ホルダ温度及びH及びArを含有するプロセスガスのプロセス条件を含んだ容量結合プラズマ(CCP)システムにおいて行われた。下部電極は電力が供給されなかった。チャンバ圧力は20〜100mTorrの間で変化された。エッチング結果は、SiNエッチング及びSiOエッチングと比較して、Siエッチングに対して非常に高いエッチング選択性を示す。これらのプラズマプロセス条件下では、原子状水素が支配的なエッチャント種である。本発明の実施形態によれば、プロセス条件は60MHzにおいて200〜1000Wの上部電極電力を含むことができる。
図5は、本発明の一実施形態による、SiNエッチング582及びSiOエッチング604に対する選択的Siエッチング580の実験結果を示す。プラズマエッチングは、13.56MHzにおいて75Wの下部電極電力、10℃の基板ホルダ温度及びH及びArを含有するプロセスガスのプロセス条件を含んだCCPシステムにおいて行われた。上部電極は電力が供給されなかった。チャンバ圧力は20〜150mTorrの間で変化された。結果は、SiNエッチング及びSiOエッチングと比較して、Siエッチングに対して非常に高いエッチング選択性を示す。これらのプロセス条件下では、水素イオンは、基板に対するスパッタリング閾値より大きいイオンエネルギー(Eイオン)のエネルギーを有する(energetic with ion energy (Eion) > sputtering threshold for the
substrate)が、依然として原子状水素が支配的なエッチャント種である。本発明の実施形態によれば、プロセス条件は13.56MHzにおいて75〜250Wの下部電極電力を含むことができる。
図6及び図7は本発明の実施態様によるSiエッチングのための実験の結果を示す。図6において、プロットは、プラズマ実行時間に対する発光分光法(OES)(optical emission spectroscopy (OES) vs. plasma run time)を用いて656.5nmで測定されたHプラズマ強度600を示す。図7において、プロットは、プラズマ実行時間に対するOESを用いて414.0nmで測定されるSiHプラズマ強度800を示す。図7及び8の結果は、原子状水素によってシリコンの化学エッチングの徴候を示す。プラズマエッチングは、60MHzにおいて200Wの上部電極電力、10℃の基板ホルダ温度及びH及びArを含有するプロセスガスのプロセス条件を含んだCCPシステムにおいて行われた。下部電極は電力が供給されなかった。チャンバ圧力は20mTorrであった。本発明の実施形態によれば、プロセス条件は60MHzにおいて200〜1000Wの上部電極電力及び20〜150mTorrのチャンバ圧力を含むことができる。
図8Aー8Fは、本発明の一実施形態による、SiNエッチング及びSiOエッチングに対する選択的Siエッチングの実験結果を示す図である。図8A及び8Bの断面走査電子顕微鏡学(SEM)は、ポリSi隆起層上のSiN側壁スペーサを含む受け取った状態の(as-received)試料を示す。ポリSi隆起層とSiN側壁スペーサとは両方ともSiO層上に横たわっている。
図8C及び8Dは、SiN側壁スペーサ及びSiO層と比較してポリSi隆起層をエッチングするプラズマエッチングプロセス(マンドレルプル)後のSEMグラフを示す。プラズマエッチングは、CCPプラズマプロセスシステムを用いて、60MHzにおいて200Wの上部電極電力、10℃の基板ホルダ温度及びH及びArを含有するプロセスガスのプロセス条件で行われた。下部電極は電力が供給されなかった。チャンバ圧力は、20mTorrであった。本発明の実施形態によれば、プロセス条件は60MHzにおいて200〜1000Wの上部電極電力及び20〜150mTorrのチャンバ圧力を含むことができる。
図8Eおよび図8Fは、従来の、CCPプラズマプロセスシステム内でハロゲン含有化学作用を用いてSiN側壁スペーサを形成するためのプラズマエッチングプロセス(マンドレルプル)後のSEMグラフを示す。プロセス条件は、60MHzで500Wの上部電極電力、13.56MHzで100Wの下部電極電力、90sccmのClガスフロー、50℃の基板保持温度、及び75秒の実行時間を含んだ。チャンバ圧力は、80mTorrであった。
図8C及び図8Dの本発明のエッチングプロセスを図8E及び8Fの従来のエッチングプロセスと比較すると、本発明のエッチングプロセスは、高いエッチング選択性により、ポリマー残留物を生じず、SiN側壁スペーサのテーパ部を減少させ、酸化物のリセス(recess)を減少させることが示される。
本発明の実施形態によれば、プロセスガスは、様々な異なるプラズマ源を用いて励起されるプラズマでもよい。一実施形態によれば、プラズマ源は、上部プレート電極と、基板を支持する下部プレート電極とを有するCCP源を含むことができる。無線周波数(RF)電力は、RF発振器とインピーダンスネットワークとを用いて、上部プレート電極に、下部プレート電極に、又は上部プレート電極と下部プレート電極との両方に供給されることができる。上部電極に印加するRF電力の典型的な周波数は、10MHz〜200MHzの範囲であり、60MHzであってもよい。加えて、下部電極に印加するRF電力の典型的な周波数は、0.1MHz〜100MHzの範囲であり、13.56MHzであってもよい。図8C及び8Dには、マンドリルプルエッチングプロセスを実行するために用いることができるCCPシステムが示される。一実施形態によれば、プラズマ励起プロセスガスを形成することは、高いラジカル対イオンフラックス比率(a high radical to ion flux ratio)を作り出す遠隔プラズマ源を使用してプラズマを生成することを含む。遠隔プラズマ源は、プラズマプロセスチャンバの外側に位置することができ、プラズマ励起ガスは、基板を処理するために、プラズマプロセスチャンバ内に流入する。
図9において表される例示的なプラズマプロセスデバイス500は、チャンバ510と、処理されるべき基板525が固定される基板ホルダ520と、ガス注入システム540と、真空ポンプシステム550とを含む。チャンバ510は、基板525の表面に隣接するプロセス領域545内のプラズマの生成を容易にするように構成されており、プラズマは加熱電子とイオン化可能ガスとの間の衝突を経て形成される。イオン化可能ガス又はガス混合物はガス注入システム540を介して導入され、プロセス圧力は調整される。例えば、ゲートバルブ(図示せず)は、真空ポンプシステム550を絞る(throttle)ために用いられる。
基板525は、ロボット基板搬送システムを介して、チャンバフィードスルー(図示せず)及びスロットバルブ(図示せず)を通ってチャンバ510内へ及び外へ搬送され、ロボット基板搬送システムでは、基板ホルダ520内に収容された基板ロフトピン(図示せず)によって受け取られ、その中に収容されたデバイスによって機械的に搬送される。基板525が基板搬送システムから受け取られると、それは基板ホルダ520の上部表面まで下される。
別の実施例において、基板525は、静電クランプ(図示せず)を介して基板ホルダ520に固定される。さらにまた、基板ホルダ520は、基板ホルダ520から熱を受け取って熱を熱交換器システム(図示せず)に伝達するか、又は、加熱時には熱を熱交換システム伝達する再循環冷却剤フローを含む冷却装置をさらに含む。さらにまた、基板525と基板ホルダ520の間にガス−ギャップ熱伝導性を改良するために、ガスは基板の背部に届けられることができる。かかるシステムは、上昇され又は低下された温度において基板の温度制御が必要とされるときに利用される。例えば、基板の温度制御は、プラズマから基板525に供給される熱フラックスと、基板ホルダ520への伝導によって基板525から除去された熱フラックスとのバランスにより達成される定常状態温度を超える温度で有用であり得る。他の実施形態として、加熱要素、例えば抵抗式加熱要素又は熱電ヒーター/クーラーが、含まれる。
第1実施形態においては、基板ホルダ520は、さらに無線周波数(RF)電力をプロセス領域545内のプラズマに結合させる電極として役立つ。例えば、基板ホルダ520は、RF発振器530からインピーダンス整合ネットワーク532を介して基板ホルダ520へのRF電力の伝送を介してRF電圧で電気的にバイアスされる。RFバイアスは、電子を加熱し、それにより、プラズマを形成して維持するのに役立つ。この構成において、システムは反応イオンエッチング(RIE)リアクターとして動作し、チャンバ及び上部ガス注入電極は接地表面として役立つ。RFバイアスのための典型的周波数は、0.1MHz〜100MHzの範囲であり、13.56MHzでもよい。別の実施例において、RF電力は、複数の周波数で基板ホルダ電極に印加される。さらにまた、インピーダンス整合ネットワーク532は、反射電力を最小化することによってプロセスチャンバ10内のプラズマへのRF電力の転送を最大にするのに役立つ。整合ネットワークトポロジ(例えばLタイプ、πタイプ、Tタイプなど)及び自動制御方法は、当業者に知られている。
引き続き図9を参照すると、プロセスガス542(例えば、H及び任意でArを含む)が、ガス注入システム540を介してプロセス領域545に導入される。ガス注入システム540はシャワーヘッドを含むことができ、プロセスガス542はガス供給システム(図示せず)からプロセス領域545に、ガス注入プレナム(図示せず)、一連のバッフルプレート(図示せず)及び
マルチオリフィスシャワーヘッドガス注入プレート(図示せず)を介して供給される。
真空ポンプシステム550は、好ましくは、最高5000リットル毎秒(さらに以上)のポンピング速度を可能にするターボ分子真空ポンプ(TMP)と、チャンバ圧力を制限するためのゲートバルブとを含む。ドライプラズマエッチングのために利用される従来のプラズマプロセスデバイスにおいては、1000〜3000リットル毎秒のTMPが、使用される。TMPは、典型的に50mTorr未満の低圧プロセスに役立つ。より高い圧力において、TMPポンプ速度は、顕著に下がる。高圧プロセス(即ち、約100mTorrを超える)のために、機械式ブースターポンプ及びドライ粗引きポンプが用いられる。
コンピュータ555は、プラズマプロセスシステム500と通信し、プラズマプロセスシステム500への入力をアクティブにし、プラズマプロセスシステム500からの出力をモニタリングするために十分な制御電圧の生成を可能にすることができる、マイクロプロセッサ、メモリ、及びデジタルI/Oポートを有することができる。さらに、コンピュータ555は、RF発振器530、インピーダンス整合ネットワーク532、ガス注入システム540及び真空ポンプシステム550と接続され、情報を交換する。メモリに格納されたプログラムは、格納されたプロセス処方に従ってプラズマプロセスシステム500の上述したコンポーネントへの入力を活性化するために利用される。
プラズマプロセスシステム500は、さらに、RF発振器572からのRF電力がインピーダンス整合ネットワーク574を介して接続された上部プレート電極570を含む。上部電極に対するRF電力の印加のための典型的な周波数は、10MHz〜200MHzの範囲であり、好ましくは60MHzである。加えて、下部電極へ電力を印加するための典型的な周波数は、0.1MHzから30MHzの範囲である。さらにまた、コンピュータ555は、上部プレート電極570へのRF電力の印加を制御するために、RF発振器572及びインピーダンス整合ネットワーク574に接続されている。
水素プラズマを用いたシリコン抽出方法は、種々の実施形態において開示された。本発明の実施形態の前述の説明は、例示及び説明のために提示されたものである。開示された正確な形態を網羅すること又は本発明を開示された正確な形態に限定することを意図するものではない。この説明及び以下の特許請求の範囲は、説明のためだけに使用される用語を含み、限定するものとして解釈されるべきではない。当業者は、上記教示に照らして多くの改変及び変形が可能であることを理解することができる。当業者は、図面に示された様々な構成要素の様々な均等な組み合わせおよび置換を認識するであろう。したがって、本発明の範囲は、この詳細な説明によってではなく、添付の特許請求の範囲によって限定されることが意図される。

Claims (19)

  1. 基板プロセス方法であって、
    元素Siを含む第1材料と、前記第1材料とは異なる第2材料とを含む基板を提供するステップと、
    及び任意でArを含むプラズマ励起プロセスガスを形成するステップと、
    前記第2材料と比較して前記第1材料を選択的にエッチングするために、前記プラズマ励起プロセスガスに前記基板を曝露するステップと、
    を含む、方法。
  2. 前記プロセスガスがHを含む、
    請求項1記載の方法。
  3. 前記プロセスガスがH及びArを含む、
    請求項1記載の方法。
  4. 前記第2材料は、SiN、SiO及びそれらの組み合わせからなる群から選択される、
    請求項1記載の方法。
  5. 前記第2材料は有機材料を含む、
    請求項1記載の方法。
  6. 前記第1材料は前記基板上の隆起フィーチャを含み、
    前記第2材料は前記隆起フィーチャの垂直部分上の側壁スペーサを形成し、
    前記曝露するステップは、前記第1材料の前記隆起フィーチャを除去するが前記側壁スペーサは除去しない、
    請求項1記載の方法。
  7. 前記第2材料は、SiN及びSiOを含む群から選択される、
    請求項6記載の方法。
  8. 前記第1材料及び前記第2材料は、下地のSiO材料と直接接触し、
    前記第2材料はSiNを含む、
    請求項6記載の方法。
  9. 前記プラズマ励起プロセスガスを形成するステップは、上部プレート電極と前記基板を支持する下部プレート電極とを含む容量結合プラズマ源を用いてプラズマを生成するステップを含む、
    請求項1記載の方法。
  10. 前記プラズマ励起プロセスガスを形成するステップは、高いラジカル対イオンフラックス比率を作り出す遠隔プラズマ源を使用してプラズマを生成するステップを含む、
    請求項1記載の方法。
  11. 基板プロセス方法であって、
    元素Siを含む第1材料と、SiN、SiO及びそれらの組み合わせからなる群から選択される第2材料とを含む基板を提供するステップと、
    及びArを含むプラズマ励起プロセスガスを形成するステップと、
    前記第2材料と比較して前記第1材料を選択的にエッチングするために、前記プラズマ励起プロセスガスに前記基板を曝露するステップと、
    を含む、方法。
  12. 前記第1材料は前記基板上の隆起フィーチャを含み、
    前記第2材料は前記隆起フィーチャの垂直部分上の側壁スペーサを形成し、
    前記曝露するステップは、前記第1材料の前記隆起フィーチャを除去するが前記側壁スペーサは除去しない、
    請求項11記載の方法。
  13. 前記プラズマ励起プロセスガスを形成するステップは、上部プレート電極と前記基板を支持する下部プレート電極とを含む容量結合プラズマ源を用いてプラズマを生成するステップを含む、
    請求項11記載の方法。
  14. 前記プラズマ励起プロセスガスを形成するステップは、高いラジカル対イオンフラックス比率を作り出す遠隔プラズマ源を使用してプラズマを生成するステップを含む、
    請求項11記載の方法。
  15. 基板プロセス方法であって、
    基板を提供するステップであって、前記基板は、該基板上に隆起フィーチャを有する第1材料と、前記隆起フィーチャの垂直部分上の側壁スペーサを形成する第2材料と、を含み、前記第1材料及び前記第2材料は、下地のSiO第3材料と直接接触し、前記第1材料は元素Siを含み、前記第2材料はSiNを含み、前記第3材料はSiOを含む、ステップと、
    及び任意でArを含むプラズマ励起プロセスガスを形成するステップと、
    前記第2材料及び前記第3材料と比較して前記第1材料を選択的にエッチングするために、前記プラズマ励起プロセスガスに前記基板を曝露するステップと、
    を含む、方法。
  16. 前記プラズマ励起プロセスガスを形成するステップは、上部プレート電極と前記基板を支持する下部プレート電極とを含む容量結合プラズマ源を用いてプラズマを生成するステップを含む、
    請求項15記載の方法。
  17. 前記プラズマ励起プロセスガスを形成するステップは、高いラジカル対イオンフラックス比率を作り出す遠隔プラズマ源を使用してプラズマを生成するステップを含む、
    請求項15記載の方法。
  18. 前記プロセスガスがHを含む、
    請求項15記載の方法。
  19. 前記プロセスガスがH及びArを含む、
    請求項15記載の方法。
JP2019514200A 2016-05-29 2017-05-26 水素プラズマを用いたシリコン抽出方法 Active JP6958980B6 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201662342992P 2016-05-29 2016-05-29
US62/342,992 2016-05-29
PCT/US2017/034852 WO2017210139A1 (en) 2016-05-29 2017-05-26 Method of silicon extraction using a hydrogen plasma

Publications (3)

Publication Number Publication Date
JP2019522906A true JP2019522906A (ja) 2019-08-15
JP6958980B2 JP6958980B2 (ja) 2021-11-02
JP6958980B6 JP6958980B6 (ja) 2021-12-22

Family

ID=60418817

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2019514200A Active JP6958980B6 (ja) 2016-05-29 2017-05-26 水素プラズマを用いたシリコン抽出方法

Country Status (5)

Country Link
US (1) US20170345667A1 (ja)
JP (1) JP6958980B6 (ja)
KR (1) KR102360404B1 (ja)
TW (1) TWI687995B (ja)
WO (1) WO2017210139A1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190030181A (ko) * 2017-09-13 2019-03-21 도쿄엘렉트론가부시키가이샤 자기 정렬된 다중 패터닝을 위한 선택적 질화물 에칭 방법

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190038945A (ko) * 2016-08-29 2019-04-09 도쿄엘렉트론가부시키가이샤 실리콘 질화물의 준원자 층 에칭 방법
US10446405B2 (en) 2017-02-23 2019-10-15 Tokyo Electron Limited Method of anisotropic extraction of silicon nitride mandrel for fabrication of self-aligned block structures
US10431470B2 (en) 2017-02-23 2019-10-01 Tokyo Electron Limited Method of quasi-atomic layer etching of silicon nitride
US20220130641A1 (en) * 2019-02-06 2022-04-28 Evatec Ag Method of producing ions and apparatus

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2014011357A (ja) * 2012-06-29 2014-01-20 Tokyo Electron Ltd 成膜方法、成膜装置及び記憶媒体
US20150279687A1 (en) * 2014-03-31 2015-10-01 Applied Materials, Inc. Halogen-free gas-phase silicon etch

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100607409B1 (ko) * 2004-08-23 2006-08-02 삼성전자주식회사 기판 식각 방법 및 이를 이용한 반도체 장치 제조 방법
US8808563B2 (en) * 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US8969212B2 (en) * 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9773649B2 (en) * 2014-11-17 2017-09-26 Lam Research Corporation Dry development and image transfer of si-containing self-assembled block copolymers

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2014011357A (ja) * 2012-06-29 2014-01-20 Tokyo Electron Ltd 成膜方法、成膜装置及び記憶媒体
US20150279687A1 (en) * 2014-03-31 2015-10-01 Applied Materials, Inc. Halogen-free gas-phase silicon etch

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190030181A (ko) * 2017-09-13 2019-03-21 도쿄엘렉트론가부시키가이샤 자기 정렬된 다중 패터닝을 위한 선택적 질화물 에칭 방법
KR102448699B1 (ko) 2017-09-13 2022-09-28 도쿄엘렉트론가부시키가이샤 자기 정렬된 다중 패터닝을 위한 선택적 질화물 에칭 방법

Also Published As

Publication number Publication date
TWI687995B (zh) 2020-03-11
TW201806029A (zh) 2018-02-16
KR102360404B1 (ko) 2022-02-08
WO2017210139A1 (en) 2017-12-07
JP6958980B2 (ja) 2021-11-02
JP6958980B6 (ja) 2021-12-22
US20170345667A1 (en) 2017-11-30
KR20190003795A (ko) 2019-01-09

Similar Documents

Publication Publication Date Title
JP6958980B6 (ja) 水素プラズマを用いたシリコン抽出方法
US9171736B2 (en) Spacer material modification to improve K-value and etch properties
KR100465947B1 (ko) 불화 가스 및 산소를 함유한 가스 혼합물을 사용하는텅스텐의 플라즈마 공정
TWI604524B (zh) 用於蝕刻低k及其它介電質膜的製程腔室
US8183161B2 (en) Method and system for dry etching a hafnium containing material
US20140199851A1 (en) Method of patterning a silicon nitride dielectric film
US20080081483A1 (en) Pulsed plasma etching method and apparatus
JP2016154234A (ja) サブ10nmパターニングを実現するための材料プロセシング
US7709397B2 (en) Method and system for etching a high-k dielectric material
TW201347008A (zh) 用以減少蝕刻時對於低k閘極間隔物之損壞的方法
TWI514467B (zh) 形成間隔物側壁上之含SiOCl的層以預防間隔物蝕刻時之臨界尺寸損失
JP2019517743A (ja) 側壁イメージ転写の方法
TWI488235B (zh) 全金屬閘極結構之圖案成形方法
US8501628B2 (en) Differential metal gate etching process
US11121002B2 (en) Systems and methods for etching metals and metal derivatives
TWI610362B (zh) 表面介面工程方法
JP4577328B2 (ja) 半導体装置の製造方法
US11682560B2 (en) Systems and methods for hafnium-containing film removal
US20210233775A1 (en) High-throughput dry etching of silicon oxide and silicon nitride materials by in-situ autocatalyst formation
US11804380B2 (en) High-throughput dry etching of films containing silicon-oxygen components or silicon-nitrogen components by proton-mediated catalyst formation
US20230343554A1 (en) Methods To Provide Anisotropic Etching Of Metal Hard Masks Using A Radio Frequency Modulated Pulsed Plasma Scheme

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20200515

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20210105

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20210402

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210604

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20210907

A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A711

Effective date: 20211005

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20211005

R150 Certificate of patent or registration of utility model

Ref document number: 6958980

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R154 Certificate of patent or utility model (reissue)

Free format text: JAPANESE INTERMEDIATE CODE: R154