TW201347008A - 用以減少蝕刻時對於低k閘極間隔物之損壞的方法 - Google Patents

用以減少蝕刻時對於低k閘極間隔物之損壞的方法 Download PDF

Info

Publication number
TW201347008A
TW201347008A TW102110048A TW102110048A TW201347008A TW 201347008 A TW201347008 A TW 201347008A TW 102110048 A TW102110048 A TW 102110048A TW 102110048 A TW102110048 A TW 102110048A TW 201347008 A TW201347008 A TW 201347008A
Authority
TW
Taiwan
Prior art keywords
spacer
substrate
protective layer
gate structure
plasma
Prior art date
Application number
TW102110048A
Other languages
English (en)
Other versions
TWI553712B (zh
Inventor
Alok Ranjan
Angelique D Raley
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of TW201347008A publication Critical patent/TW201347008A/zh
Application granted granted Critical
Publication of TWI553712B publication Critical patent/TWI553712B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • H01L21/0212Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC the material being fluoro carbon compounds, e.g.(CFx) n, (CHxFy) n or polytetrafluoroethylene
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4983Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET with a lateral structure, e.g. a Polysilicon gate with a lateral doping variation or with a lateral composition variation or characterised by the sidewalls being composed of conductive, resistive or dielectric material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6653Unipolar field-effect transistors with an insulated gate, i.e. MISFET using the removal of at least part of spacer, e.g. disposable spacer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/518Insulating materials associated therewith the insulating material containing nitrogen, e.g. nitride, oxynitride, nitrogen-doped material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Ceramic Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

描述一種用於執行間隔物蝕刻處理的方法。該方法包含在具有保形地塗佈於閘極結構上之低k間隔物材料的基板上設置該閘極結構,並執行間隔物蝕刻處理程序以自該閘極結構及該基板部份地移除該間隔物材料,而留下沿著該閘極結構的側壁固定的側壁間隔物。該間隔物蝕刻處理程序可包含:在該間隔物材料的一暴露表面上沈積間隔物保護層,並執行一或更多蝕刻處理以選擇性地且非等向性地移除該間隔物保護層及該間隔物材料,俾留下在該閘極結構的該側壁上之該側壁間隔物,其中,儘管藉由一或更多蝕刻處理被部份地或完全地消耗,該間隔物保護層在成份及/或介電常數中顯示減少的變異。

Description

用以減少蝕刻時對於低K閘極間隔物之損壞的方法 【相關申請案的交互參照】
根據37 CFR § 1.78(a)(4),本申請案主張在2012年3月22日提出申請的美國臨時專利申請案第61/613,985號的權利及優先權,其整體內容係併入於此以供參考。
本申請案關於製備用於在基板上的結構之側壁間隔物的方法。
在半導體製造中及在製造電晶體閘極的期間,間隔物材料保形地塗佈至電晶體閘極,然後部份地移除以在電晶體閘極的側壁上形成側壁間隔物。在從電晶體閘極及基板部份地移除間隔物材料的期間,間隔物蝕刻處理的成功由量測除了其他者之外的下列效能度量來判定:(a)側壁間隔物基腳的尺寸,(b)基板凹陷部的深度,(c)側壁間隔物臨界尺寸(CD)縮減的量,及(d)間隔物頂部凹陷部的深度。習知間隔物蝕刻處理在這些效能度量的至少一者中產生不能接受的結果。
更甚者,在電晶體閘極的尺寸持續縮小時,在閘極及接點之間、及在閘極及源極/汲極(S/D)的面之間的邊緣電容已增加。為了抵銷邊緣電容上的此增加,低k介電材料已提供作為間隔物材料。因此,間隔物蝕刻處理的成功亦由量測在用於間隔物材料的低k介電材料的結果性介電常數上間隔物蝕刻處理的功效來判定。習知間隔物蝕刻處理使不同組成的間 隔物材料減少,而因此造成不期望的介電常數的增加。
本發明之實施例關於製備側壁間隔物的方法、且尤其關於製 備用於如閘極結構的結構之側壁間隔物的方法。下列利用間隔物蝕刻處理程序之側壁間隔物的製備中,該間隔物保護層顯示成份及/或介電值中由於間隔物蝕刻處理程序而減少的變異。
根據一實施例,描述用於執行間隔物蝕刻處理的方法。本方 法包含:在具有保形地塗佈在閘極結構上之低k間隔物材料的基板上設置該閘極結構,其中該低k間隔物材料含有Si、N、C、及B;且執行間隔物蝕刻處理程序以自該閘極結構及該基板部份地移除該間隔物材料,而留下沿著該閘極結構的側壁定位的側壁間隔物。該間隔物蝕刻處理程序可包含:在該間隔物材料的暴露表面上沈積間隔物保護層,並執行一或更多蝕刻處理以選擇性地且非等向性地移除該間隔物保護層及該間隔物材料,俾留下在該閘極結構的該側壁上之該側壁間隔物,其中,在藉由該一或更多蝕刻處理部份地或全部地消耗時,該間隔物保護層限制在該一或更多蝕刻處理中該側壁間隔物對一或更多化學組成的暴露且在該側壁間隔物中減少B或C的消耗。
根據另一實施例,描述用於執行間隔物蝕刻處理的方法。本 方法包含:在具有保形地塗佈在閘極結構上之低k間隔物材料的基板上設置該閘極結構,其中原始沈積的該低k間隔物材料的介電常數係以一起始值為特徵,並執行間隔物蝕刻處理程序以自該閘極結構及該基板部份地移除該間隔物材料,而留下沿著該閘極結構的側壁定位的側壁間隔物。該間隔物蝕刻處理程序可包含:在該間隔物材料的暴露表面上沈積間隔物保護層,並執行一或更多蝕刻處理以選擇性地且非等向性地移除該間隔物保護層及該間隔物材料,俾留下在該閘極結構的該側壁上之該側壁間隔物,其中,在藉由該一或更多蝕刻處理部份地或全部地消耗時,該間隔物保護層限制在該一或更多蝕刻處理中該側壁間隔物對一或更多化學組成的暴露且在該側壁間隔物中減少B或C的消耗,其中該低k間隔物材料的該介電常 數以緊接執行一或更多蝕刻處理之後的最終值為特徵,且其中在該最終值及該起始值之間的差異為低於或等於該起始值的5%。
100‧‧‧閘極結構
101‧‧‧閘極結構
102‧‧‧閘極結構
110‧‧‧基板
112A‧‧‧基板凹陷深度
112B‧‧‧基板凹陷深度
120‧‧‧閘極介電質
121‧‧‧起始閘極介電質厚度
130‧‧‧閘極電極
131‧‧‧起始閘極電極厚度
140‧‧‧閘極蓋層
140A‧‧‧最終閘極蓋層
140B‧‧‧最終閘極蓋層
141‧‧‧起始閘極蓋層厚度
141A‧‧‧最終閘極蓋層厚度
141B‧‧‧最終閘極蓋層厚度
150‧‧‧間隔物材料層
150A‧‧‧側壁間隔物
150B‧‧‧側壁間隔物
151‧‧‧起始間隔物材料層CD
151A‧‧‧最終間隔物材料層CD
151B‧‧‧最終間隔物材料層CD
152A‧‧‧側壁間隔物基腳
152B‧‧‧側壁間隔物基腳
153A‧‧‧間隔物凹陷深度
153B‧‧‧間隔物凹陷深度
200‧‧‧閘極結構
201‧‧‧蓋區
202‧‧‧基板區域
210‧‧‧基板
230‧‧‧層
232‧‧‧基部
235‧‧‧側壁
250‧‧‧低k間隔物材料
252‧‧‧暴露表面
255‧‧‧側壁部份
260‧‧‧間隔物保護層
270‧‧‧殘留部份
280‧‧‧側壁間隔物
300‧‧‧流程圖
310‧‧‧步驟
320‧‧‧步驟
400‧‧‧流程圖
410‧‧‧步驟
420‧‧‧步驟
500‧‧‧電漿處理系統
510‧‧‧電漿處理室
520‧‧‧基板固持器
522‧‧‧電極
525‧‧‧基板
526‧‧‧背面氣體供給系統
528‧‧‧夾持系統
530‧‧‧RF產生器
531‧‧‧脈動偏壓訊號控制器
532‧‧‧阻抗匹配網路
540‧‧‧氣體分配系統
545‧‧‧電漿處理區域
550‧‧‧真空泵系統
555‧‧‧控制器
600‧‧‧電漿處理系統
660‧‧‧磁場系統
700‧‧‧電漿處理系統
770‧‧‧上電極
772‧‧‧RF產生器
774‧‧‧阻抗匹配網路
800‧‧‧電漿處理系統
890‧‧‧電源
900‧‧‧電漿處理系統
980‧‧‧感應線圈
982‧‧‧RF產生器
984‧‧‧阻抗匹配網路
1000‧‧‧電漿處理系統
1080‧‧‧感應線圈
1100‧‧‧電漿處理系統
1130‧‧‧SWP來源
1190‧‧‧功率耦合系統
在隨附圖式中:圖1A至1C顯示用於在基板上的閘極結構的側壁間隔物的製備之示意圖;圖2A至2D顯示根據一實施例用於執行間隔物蝕刻處理的方法之示意圖;圖3提供顯示根據一實施例用於執行間隔物蝕刻處理的方法之流程圖;圖4提供顯示根據另一實施例用於執行間隔物蝕刻處理的方法之流程圖;圖5顯示根據一實施例的電漿處理系統之示意圖;圖6顯示根據另一實施例的電漿處理系統之示意圖;圖7顯示根據另一實施例的電漿處理系統之示意圖;圖8顯示根據另一實施例的電漿處理系統之示意圖;圖9顯示根據另一實施例的電漿處理系統之示意圖;圖10顯示根據另一實施例的電漿處理系統之示意圖;且圖11顯示根據另一實施例的電漿處理系統之示意圖。
在下列描述中,如處理系統的特定幾何形狀、不同構件的描述及其中所使用的處理之具體細節係針對說明性且不為限制性的目的而闡述。然而,應理解本發明可在離開這些具體細節的其他實施例中加以實施。
同樣地,為了解釋的目的,具體數字、材料、及配置係為了提供本發明完全的理解而闡述。然而,本發明可在沒有具體細節的情況下加以實施。再者,可理解在圖式中顯示的不同實施例係說明性的表示且並不必然依比例描繪。
不同操作將以最有助於理解本發明的方式描述成依次的複 數分離操作。然而,描述的順序不應被理解為意指這些操作必然地依照順序。尤其,這些操作不需以呈現的順序執行。所描述的操作可以不同於所述實施例的順序加以執行。可執行不同的額外操作及/或可在額外實施例中省略所描述的操作。
如在此處所使用的「基板」一般表示按照本發明加以處理的 物件。該基板可包含裝置(尤其是半導體或其他電子裝置)的任何材料部份或結構,且可為例如基部基板結構(如半導體晶圓)、或在基部基板結構上或覆蓋在基部基板結構上如薄膜的一層。因此,基板不意為限制於任何特定基部結構、下方層或上方層、圖案化或未圖案化,反倒是考慮包含任何此層或基部結構、及層及/或基部結構的任何組合。下列描述可參照特定類型的基板,但此僅為說明性的目的且非限制。
如以上所提及,在半導體製造中,當製造電晶體閘極時,間 隔物材料係保形地塗佈於電晶體閘極,然後部份地移除以在電晶體閘極的側壁上形成側壁間隔物。例如,圖1A提供形成於基板110上的閘極結構100之圖示,其中該閘極結構100包含閘極介電質120、閘極電極130、及閘極蓋層140。其中,閘極介電質120可以如約10-40nm(奈米)的起始閘極介電質厚度121為特徵,及閘極電極130可以如約30-100nm的起始閘極電極厚度131為特徵。進一步而言,閘極蓋層140可以如約10-20nm的起始閘極蓋層厚度141為特徵。
以起始間隔物材料層臨界尺寸(CD)151為特徵的間隔物材料 層150係隨後形成在閘極結構100上。間隔物材料層150可經由氣相沈積處理加以塗佈成如圖1A中所顯示地與閘極結構100的表面形貌一致。例如,可利用化學氣相沈積(CVD)處理、電漿增強CVD(PECVD)處理、原子層沈積(ALD)處理、電漿增強ALD(PEALD)處理、物理氣相沈積(PVD)處理、濺鍍處理等來沈積間隔物材料層150。起始間隔物材料層CD 151的範圍可從約2 nm(奈米)至約20 nm,或較佳地從約5 nm至約15 nm,或更佳地從約8 nm至約12 nm(如約9-10 nm)。
在從閘極結構100及基板110部份地移除間隔物材料層150 以產生側壁間隔物(150A、150B)的期間,該間隔物蝕刻處理藉由測量除其他者之外的下列效能度量來評估:(a)沿著閘極結構100的側壁的間隔物材料層150之CD減少或縮減的量,(b)側壁間隔物基腳的存在及/或尺寸,(c)在基板的暴露表面中顯示的基板凹陷的量,(d)顯示於間隔物材料層150中接近閘極結構100的頂部的間隔物凹陷的量,(e)從閘極蓋層140的上表面所消耗的覆蓋材料的量,及(f)間隔物蝕刻處理在使用於間隔物材料的低k介電材料之產生的介電常數上的功效。
如在圖1B中所顯示,習知間隔物蝕刻處理在這些效能度量 的至少一者中產生不能接受的結果。例如,在習知間隔物蝕刻處理之後,閘極結構101被製造成具有以最終間隔物材料層CD 151A為特徵的側壁間隔物150A。在最終間隔物材料層CD 151A及起始間隔物材料層CD 151之間的差異為間隔物材料層150的CD減少或縮減的量之度量。CD減少或縮減的量可為過度,且往往可超過起始間隔物材料層CD 151的20%、30%、及甚至50%。
此外,例如閘極結構101可顯示側壁間隔物基腳152A。更 甚者,例如,閘極結構101可顯示下列的任一者(i)形成在基板110的暴露表面中、且以可超過1nm、2nm、及甚至5nm的基板凹陷深度112A為特徵的基板凹陷部,(ii)於接近閘極結構101的頂部的間隔物材料層150中、及以可超過1nm、2nm、及甚至5nm的間隔物凹陷深度153A為特徵的間隔物凹陷部;及(iii)從產生最終閘極蓋層140A的閘極蓋層140的上表面所消耗、且以在最終閘極蓋層厚度141A及起始閘極蓋層厚度141之間可超過5nm的差異為特徵之覆蓋材料的量。
更甚者,例如側壁間隔物150A可顯示可歸因於與習知間隔 物蝕刻處理的處理化學的交互作用之成份的差異及/或介電常數的增加。如上所述,在閘極及接點之間、及在閘極及源極/集極(S/D)的面之間的邊緣電容隨著閘極結構101的尺寸的減少而增加。為了抵銷此邊緣電容的增加,已將低k介電材料提供作為間隔物材料。然而,習知間隔物蝕刻處理使不同組成的間隔物材料減少,而因此造成不期望的介電常數的增加。
如在圖1C中所顯示且在以下進一步描述,呈現在這些效能 度量的至少一者中產生可接受的結果之間隔物蝕刻處理。例如,在間隔物蝕刻處理之後,閘極結構102被製造成具有以最終間隔物材料層CD 151B為特徵的側壁間隔物150B。在最終間隔物材料層CD 151B及起始間隔物材料層CD 151之間的差異為間隔物材料層150的CD減少或縮減的量之度量。CD減少或縮減的量可減少至少於2 nm,且期望地少於1 nm。替代地,CD減少或縮減的量可減少至少於起始間隔物材料層CD 151的20%,且期望地少於起始間隔物材料層CD 151的10%。
此外,例如閘極結構102可顯示減少或實質上無側壁間隔物 基腳152B。更甚者,例如閘極結構102可顯示下列的任一者:(i)形成在基板110的暴露表面中、且以可少於3nm、2nm、1.5 nm、及甚至1nm的基板凹陷深度112B為特徵的減少的基板凹陷部,(ii)於約在閘極結構102的頂部的間隔物材料層150中、及以可少於5nm、2nm、及甚至1nm的間隔物凹陷深度153B為特徵的間隔物凹陷部;及(iii)從產生最終閘極蓋層140B的閘極蓋層140的上表面所消耗、以在最終閘極蓋層厚度141B及起始閘極蓋層厚度141之間可少於5nm的差異為特徵之覆蓋材料的量。
更甚者,例如閘極結構102可在側壁間隔物150B的介電常 數中顯示減少或實質上無增加。閘極結構102可顯示減少或實質上無對於側壁間隔物150B的成份的改變,其將在以下更詳細地討論。
因此,根據不同實施例,描述用於執行間隔物蝕刻處理的方 法。該方法係圖示於圖2A至2D中,並在圖3中藉由流程圖300的方式呈現。如圖3中所呈現,流程圖300在310中開始於在具有低k間隔物材料250保形地塗佈在閘極結構200上的情況下在基板210上設置閘極結構200(見圖2A)。儘管閘極結構200為2D閘極結構(或平面閘極結構)的說明,仍亦考量如FINFET(鰭式場效電晶體)的3D閘極結構及間隔物層可保形地塗佈於其上的其他結構。低k間隔物材料250可含有Si、N、及選自由C及B組成的群組之至少一元素。此外,低k間隔物材料250可含有Si、N、B、及C。例如,低k間隔物材料250可包含SiBN、SiCN、SiBCN、或SiCBN。
此外,原始沈積的低k間隔物材料250可以具有少於7.5的 起始值的介電常數為特徵。例如,原始沈積的低k間隔物材料250可以具有 在從約4.0至約7.0的範圍內之起始值的介電常數為特徵。替代地,例如介電常數可具有在從約5.0至約6.0的範圍內之起始值。又替代地,例如介電常數可具有在從約5.0至約5.5的範圍內之起始值。
更甚者,低k間隔物材料250可利用如化學氣相沈積(CVD)、 電漿增強CVD(PECVD)、燈絲輔助CVD(FACVD)、原子層沈積(ALD)、或電漿增強ALD(PEALD)之氣相沈積處理加以形成。此外,保形地塗佈在閘極結構200上的低k間隔物材料250可具有低於或等於約20 nm、或低於或等於約10 nm的厚度。
閘極結構200包含一或更多層230的圖案化膜堆疊,除了其 他者外,一或更多層230可包含閘極蓋層、閘極電極層、閘極介電層、閘極界面層等。閘極蓋層可包含如SiO2的氧化物。閘極結構200可更包含額外的間隔物材料。
閘極電極層可包含多晶矽(polysilicon、或poly-Si)層及/或含 金屬層。該含金屬層可包含金屬、金屬合金、金屬氮化物、或金屬氧化物,且可包含例如鈦、鈦鋁合金、鉭、鉭鋁合金、鈦氮化物、鈦矽氮化物、鈦鋁氮化物、鉭氮化物、鉭矽氮化物、鉿氮化物、鉿矽氮化物、鋁氮化物、或鋁氧化物。含金屬層可取代傳統poly-Si閘極電極層或與傳統poly-Si閘極電極層結合。
閘極介電層可包含SiO2或高k(高介電常數)層,且可例如包 含如氧化鑭(La2O3)的含鑭層,或如鉿氧化物層(如HfOx、HfO2)的含鉿層、鉿矽酸鹽層(如HfSiO)、或氮化鉿矽酸鹽(如HfSiO(N))。此外,例如高k層可併入金屬矽酸鹽或氧化物(如Ta2O5(k~26)、TiO2(k~80)、ZrO2(k~25)、Al2O3(k~9))。更甚者,例如高k層可包含混合稀土族氧化物、混合稀土族鋁酸鹽、混合稀土族氮化物、混合稀土族鋁氮化物、混合稀土族氮氧化物、或混合稀土族鋁氮氧化物。閘極界面層可包含配置在高k層及基板210之間的二氧化矽(SiO2)的薄層。
基板210可包含塊體矽基板、單晶矽(摻雜或未摻雜)基板、 絕緣體上覆半導體(SOI)基板、或含有例如Si、SiC、SiGe、SiGeC、Ge、GaAs、InAs、InP、及其他III/V或II/IV化合物半導體、或任何其組合之任何其他半 導體基板(II、III、V、VI族表示在元素週期表中的古典或舊IUPAC命名;這些族將根據修訂或新IUPAC命名分別表示2、13、15、16族)。基板可為任何尺寸,例如200 mm(毫米)基板、300 mm基板、450 mm基板、或甚至更大的基板。
在320中,執行間隔物蝕刻處理程序以自閘極結構200及基 板210部份地移除低k間隔物材料250,同時留住沿著閘極結構200的側壁235固定的側壁間隔物280。低k間隔物材料250的部份移除可包含自閘極結構200的蓋區201、及在基板210上鄰近閘極結構200的基部232之基板區域202移除低k間隔物材料250。如圖4中所顯示,描述用於執行間隔物蝕刻處理程序的方法。
本方法包含開始於410中在低k間隔物材料250(見圖2B)的 暴露表面252上沈積間隔物保護層260之流程圖400。該間隔物保護層260可包含含SiOCl層。該SiOCl層含有Si、O、及Cl。該間隔物保護層260可藉由在含有Si、Cl、及O的環境中執行氣相沈積處理而形成。
在一實施例中,間隔物保護層260係藉由執行包含使用含有 SiCl4及O2作為起始成份的膜形成處理組成物來產生電漿的電漿輔助沈積處理而形成。儘管膜形成處理組成物包含SiCl4及O2,仍考慮其他含Cl及含O的氣體或蒸氣。例如,膜形成處理組成物可包含矽烷(SiH4)、含Cl氣體(如Cl2、HCl等)、及含氧氣體(如O2)作為起始成份。
為了在電漿輔助沈積處理中形成電漿,應選擇在大氣及/或 真空壓力下存在於氣體狀態及/或蒸氣相中單獨或與載運氣體(如稀有氣體元素或氮)組合之膜形成處理組成物的組成。
電漿輔助沈積處理可排除施加射頻(RF)偏壓至使基板210支撐於其上的基板固持器。基板210的溫度的範圍可從約0度C至約100度C。更甚者,當形成間隔物保護層260時,至少一處理參數可在電漿輔助沈積處理中被調整以降低化學滲透性及/或增加間隔物保護層260對後續蝕刻處理的抗蝕性。
在另一實施例中,含SiOCl層係藉由暴露基板210於SiCl4及H2O、且加熱基板210而形成。基板210的溫度的範圍可從約30度C至 約100度C。
替代地,間隔物保護層260可含有C及選自由F及H組成 的群組之至少一元素。間隔物保護層260可藉由在含有C及選自由F及H組成的群組之至少一元素的環境中執行氣相沈積處理而形成。
在一實施例中,間隔物保護層260係藉由執行包含使用含有 表示為CxHyFz(其中x及z不為零)的碳氟化合物氣體作為起始成份的膜形成處理組成物來產生電漿之電漿輔助沈積處理而形成。例如,碳氟化合物氣體可包含CF4、C4F6、C4F8、C5F8、CH3F、CHF3、CH2F2等。例如,膜形成處理組成物可包含C4F8及Ar作為起始成份。
在另一實施例中,間隔物保護層260係藉由執行包含使用含 有表示為CxHy(其中x及y不為零)的碳氫化合物氣體作為起始成份之膜形成處理組成物來產生電漿之電漿輔助沈積處理而形成。例如,碳氫化合物氣體可包含CH4、C2H2、C2H4、C2H6、C3H8等。
為了在電漿輔助沈積處理中形成電漿,應選擇在大氣及/或 真空壓力下存在於氣體狀態及/或蒸氣相中單獨或與載運氣體(如稀有氣體元素或氮)組合之膜形成處理組成物的組成。
電漿輔助沈積處理可排除施加射頻(RF)偏壓至使基板210支撐於其上的基板固持器。基板210的溫度的範圍可從約0度C至約100度C。更甚者,當形成間隔物保護層260時,至少一處理參數可在電漿輔助沈積處理中被調整以降低化學滲透性及/或增加間隔物保護層260對後續蝕刻處理的抗蝕性。
在420中,執行一或更多蝕刻處理以從閘極結構200的蓋區201、及從在基板210上鄰近閘極結構200的基部232之基板區域202選擇性地及非等向性地移除間隔物保護層260及低k間隔物材料以在閘極結構200(見圖2C)的側壁235上留下側壁間隔物280。側壁間隔物280可包含低k間隔物材料250的側壁部份255,且可能包含間隔物保護層260的殘留部份270。在藉由一或更多蝕刻處理被部份地或全部地消耗時,間隔物保護層260限制側壁間隔物280在一或更多蝕刻處理中對一或更多化學組成的暴露、並減少在側壁間隔物中B或C的消耗(相對於排除間隔物保護層260形成的 習知方法)。
此外,如藉由一或更多蝕刻處理而形成的側壁間隔物280 可以具有實質上與介電常數的初始數值相同的最終數值為特徵。例如,側壁間隔物280的介電常數(kf)的最終數值可在介電常數(ki)的起始數值的5%內(即| kf-ki |/ki≦0.05)。替代地,例如側壁間隔物280的介電常數(kf)的最終數值可在介電常數(ki)的起始數值的2%內(即| kf-ki |/ki≦0.02)。又替代地,例如側壁間隔物280的介電常數(kf)的最終數值可在介電常數(ki)的起始數值的1%內(即| kf-ki |/ki≦0.01)。
一或更多蝕刻處理可包含涉及從蝕刻處理組成物形成電 漿、及暴露基板210於電漿之電漿蝕刻處理。該蝕刻處理組成物可含有鹵化甲烷氣體。鹵化甲烷氣體可包含單取代鹵化甲烷(如CH3F)、雙取代鹵化甲烷(如CH2F2)、三取代鹵化甲烷(如CHF3)、或四取代鹵化甲烷(如CF4)。
此外,例如,蝕刻處理組成物可含有碳氫化合物(即CxHy, 其中x及y等於一或更大)。替代地,例如,蝕刻處理組成物可含有氟碳化合物(即CxFy,其中x及y等於一或更大)。又替代地,例如蝕刻處理組成物可含有具有化學式CxHyRz的氣體,其中R為鹵素元素,x及y等於一或更大,及z等於零或更大。
蝕刻處理組成物可含有含氟氣體、含氯氣體、含溴氣體、或 鹵化物氣體。例如,蝕刻處理組成物可含有HBr、F2、Cl2、Br2、BCl3、NF3、或SF6
蝕刻處理組成物可含有稀有氣體。蝕刻處理組成物可含有含氧氣體、含氫氣體、含氮氣體、或含碳氣體、或其二或更多的任何組合。例如,蝕刻處理組成物可含有H2、O2、N2、CO、CO2、NH3、NO、N2O、or NO2、或其二或更多的任何組合。
為了在電漿蝕刻處理中形成電漿,應選擇在大氣及/或真空壓力下存在於氣體狀態及/或蒸氣相中單獨或與載運氣體(如稀有氣體元素或氮)組合之蝕刻處理組成物的組成。
在一實施例中,蝕刻處理組成物可含有CH3F及Ar。在另一實施例中,蝕刻處理組成物可含有CH3F、O2、及Ar。在又另一實施例中, 蝕刻處理組成物可含有CF4及Ar。
如上所述,基板210係暴露於電漿以從閘極結構200的蓋區 201及從在基板210上鄰近閘極結構200的基部232的基板區域202選擇性地及非等向性地移除間隔物保護層260及低k間隔物材料250。一或更多蝕刻處理可包含蝕刻處理配方的製備。該蝕刻處理配方可包含由一或更多處理參數所定義的一或更多處理條件。該一或更多處理條件可由設定一或更多處理參數而建立,如:設定處理組成物的流速;設定在電漿處理系統中的壓力;針對施加於在用於支撐基板及電性地施加偏壓於基板之基板固持器內的較低電極的第一射頻(RF)訊號設定第一RF功率位準;針對施加於在基板之上相對較低電極的來源天線或電極之第二RF訊號設定第二RF(或微波)功率位準;針對電漿處理系統設定溫度條件;針對基板或基板固持器設定溫度條件;設定蝕刻時間;及/或設定過蝕時間。在蝕刻處理的期間,可改變處理參數的任一者。
在一實施例中,電漿蝕刻處理可包含一處理參數空間,其包 含:範圍高達約1000 mtorr(毫托)(如高達約200 mtorr,或從約30至約100 mtorr的範圍內)的腔室壓力、範圍高達約2000 sccm(每分鐘標準立方公分)(如高達約1000 sccm、或約1 sccm至約200 sccm)的處理氣體流速、範圍高達約2000 sccm(如高達約1000 sccm、或高達約100 sccm、或高達約10 sccm)的非必要的添加氣體流速、範圍高達約2000 sccm(如高達約2000 sccm、或高達約1000 sccm)的非必要的稀有氣體(如He或Ar)流速、範圍高達約3000 W(瓦特)(如高達約2500 W、或從約1500 W至約2500 W的範圍內)的電漿來源功率、及範圍高達約1000 W(如高達約500 W、或高達約300 W、或高達約250 W)用於電性地施加偏壓於基板的下電極(如在圖5-11中的電極522)RF功率位準。又,該電漿來源可在RF或微波頻率下操作,如10 MHz至5GHz。此外,下電極偏壓頻率的範圍可從約0.1MHz至約200MHz,如約2MHz或13.56MHz。
如圖2D中所顯示,在執行一或更多蝕刻處理之後,間隔物 保護層260的殘留部份270可從側壁間隔物280被選擇性地移除。在一實施例中,間隔物保護層260的殘留部份270的選擇性移除係藉由執行濕式清洗 製程而達成。例如,該濕式清洗製程可包含使間隔物保護層260的殘留部份270浸沒在如稀釋水性HF溶液的HF溶液中。
在一實施例中,形成間隔物保護層260的沈積處理、及一或 更多蝕刻處理係在相同電漿處理系統中執行。在替代的實施例中,形成間隔物保護層260的沈積處理、及一或更多蝕刻處理係在分離的電漿處理系統中執行。
在另一實施例中,重複如二或更多循環的複數循環之形成間 隔物保護層260的沈積處理、及一或更多蝕刻處理直到低k間隔物材料250從閘極結構200的蓋區201及從在基板210上的基板區域202移除以留下側壁間隔物280。
在另一實施例中,一或更多蝕刻處理可包含複數處理步驟。 在又另一實施例中,可執行過蝕處理。
在一例示中,含有C及F的間隔物保護層在含有S、B、C、 及N的低k間隔物材料上利用C4F8/Ar基的沈積化學加以氣相沈積。間隔物側壁係利用包含CH3F/O2/Ar基的蝕刻化學的間隔物蝕刻處理程序來形成。 利用電子能量耗損能譜(EELS)的間隔物側壁成份的量測已確認實質上無發生起因於間隔物保護層的出現之B及C的消耗。
根據上述不同實施例之用於執行間隔物蝕刻處理程序的一 或更多方法可在圖5至11中所顯示及在以下描述之電漿處理系統的任一者中執行。
根據一實施例,配置成執行以上經確定的處理條件之電漿處 理系統500係顯示在包含電漿處理室510、將待處理的基板525固定於其上之基板固持器520、及真空泵系統550的圖5中。基板525可為半導體基板、晶圓、平板顯示器、或液晶顯示器。電漿處理室510可配置成幫助在鄰近基板525表面的電漿處理區域545中之電漿的產生。可離子化氣體或處理氣體的混合物係經由氣體分配系統540引入。對於給定的處理氣流而言,處理壓力係利用真空泵系統550來調整。電漿可用以產生預定的材料處理特有的材料、及/或幫助從基板525的暴露表面移除材料。電漿處理系統500可配置成處理任何期望尺寸的基板,如200 mm基板、300 mm基板、或更 大。
基板525可經由如機械夾持系統或電子夾持系統(如靜電夾 持系統)的夾持系統528被固定至基板固持器520。更甚者,基板固持器520可包含配置成調整及/或控制基板固持器520及基板525的溫度之加熱系統(未顯示)或冷卻系統(未顯示)。加熱系統或冷卻系統可包含:當冷卻時從基板固持器520接受熱並使熱轉移至熱交換器系統(未顯示)、或當加熱時使熱從熱交換器系統轉移至基板固持器520之傳熱流體的再循環流。在其他實施例中,如電阻加熱元件的加熱/冷卻元件、或熱電加熱器/冷卻器可包含在基板固持器520、及電漿處理室510的腔室壁、及在電漿處理系統500內的任何其他構件中。
此外,傳熱氣體可經由背面氣體供給系統526被傳送至基板 525的背面以改善在基板525及基板固持器520之間的氣體間隙熱傳導。此系統可在需要將基板的溫度控制在升高或降低之溫度時使用。例如,背面氣體供給系統可包含二區氣體分配系統,其中氦氣體間隙壓力可在基板525的中間及邊緣之間獨立地改變。
在圖5中所顯示的實施例中,基板固持器520可包含電極 522,RF功率係經由該電極522耦合至電漿處理區域545中的處理電漿。例如,基板固持器520可在RF電壓下從RF產生器530透過非必須的阻抗匹配網路532經由RF功率的傳送電性地施加偏壓至基板固持器520。該RF電性偏壓可用以加熱電子以形成並維持電漿。在此配置中,該系統可操作為反應離子蝕刻(RIE)反應器,其中該腔室及上氣體注入電極使用作為接地面。RF偏壓的典型頻率的範圍可從約0.1MHz至約100MHz。電漿處理的RF系統對本領域技術者來說為眾所周知。
更甚者,在RF電壓下的電極522的電性偏壓可利用脈動偏 壓訊號控制器531產生脈動。例如,來自RF產生器530的RF功率輸出可在關閉狀態及開啟狀態之間產生脈動。
替代地,RF功率係在複數頻率下施加至基板固持器電極。 更甚者,阻抗匹配網路532可藉由減少反射功率來改善在電漿處理室510中RF功率至電漿的轉移。匹配網路拓撲(如L型、π型、T型等)及自動控 制方法對本領域技術者來說為眾所周知。
氣體分配系統540可包含用於引入處理氣體混合物的噴淋 頭設計。替代地,氣體分配系統540可包含在基板525上方用於引入處理氣體混合物、並調整處理氣體的混合物的分佈之多區噴淋頭設計。例如,多區噴淋頭設計可配置成相對於至在基板525上方的實質中央區域之處理氣流或組成物的量調整至基板525上方的實質周圍區域之處理氣流或組成物。
真空泵系統550可包含具有抽取速度高達約每秒5000升(及 更大)之能力的渦輪分子真空泵(TMP)、及用於調節腔室壓力的閘閥。在使用於乾式電漿蝕刻的習知電漿處理裝置中,可使用每秒1000至3000升的TMP。TMP有助於典型地低於約50 mTorr的低壓處理。針對高壓處理(即大於約100 mTorr),可使用機械增壓泵及乾式粗抽泵。更甚者,用於監測腔室壓力(未顯示)的裝置可耦合至電漿處理室510。
控制器555包含微處理器、記憶體、及能產生足以連通及啟 動至電漿處理系統500的輸入及監控來自電漿處理系統500的輸出之控制電壓的數位I/O埠。此外,控制器555可耦合至RF產生器530、脈動偏壓訊號控制器531、阻抗匹配網路532、氣體分配系統540、真空泵系統550、及基板加熱/冷卻系統(未顯示)、背面氣體供給系統526、及/或靜電夾持系統528且可與其交換資料。例如,為了在基板525上執行如電漿蝕刻處理的電漿輔助處理,儲存在記憶體中的程式可用以根據處理配方啟動至前述電漿處理系統500的構件的輸入。
控制器555可相對電漿處理系統500近端地定位,或其可相 對電漿處理系統500遠端地定位。例如,控制器555可利用直接連接、內部網路、及/或網際網路與電漿處理系統500交換數據。控制器555可在如顧客端(即裝置生產者等)耦合至內部網路,或其可在如供應商端(即設備製造者等)耦合至內部網路。替代地或額外地,控制器555可耦合至網際網路。 更甚者,另一電腦(即控制器、伺服器等)可存取控制器555以經由直接連接、內部網路、及/或網際網路交換數據。
在圖6中所顯示的實施例中,電漿處理系統600可類似於圖 5的實施例,且為了潛在地增加電漿密度及/或改善電漿處理均勻度,故除 了參照圖5所述的該等構件之外更包含固定、或機械地或電性地旋轉的磁場系統660。此外,控制器555可為了控制轉速及場強度而耦合至磁場系統660。旋轉磁場的設計及實施為本領域技術者眾所周知。
在圖7中所顯示的實施例中,電漿處理系統700可類似於圖 5或圖6的實施例,且可更包含上電極770,RF功率可從RF產生器772經過非必須的阻抗匹配網路774耦合至該上電極770。施加RF功率至上電極的頻率的範圍可從約0.1MHz至約200MHz。此外,施加功率至下電極的頻率的範圍可從約0.1MHz至約100MHz。此外,控制器555係耦合至RF產生器772及阻抗匹配網路774以控制RF功率對上電極770的施加。上電極的設計及實施為本領域技術者眾所周知。上電極770及氣體分配系統540可如所示地設計在相同腔室組件內。替代地,上電極770可包含為了調整耦合至基板525上方的電漿之RF功率分佈的多區電極設計。例如,上電極770可分割成中央電極及邊緣電極。
在圖8中所顯示的實施例中,電漿處理系統800可類似於圖 7的實施例,且可更包含耦合至相對基板525的上電極770之直流(DC)電源890。上電極770可包含電極板。電極板可包含含矽電極板。此外,電極板可包含摻雜的矽電極板。DC電源890可包含可變的DC電源。此外,DC電源890可包含雙極DC電源。DC電源890可更包含配置成執行監測、調整、或控制DC電源890的極性、電流、電壓、或開/關狀態之至少一者的系統。 一旦電漿形成,DC電源890幫助彈道電子束的形成。電性濾波器(未顯示)可用以使RF功率從DC電源890解耦。
例如,藉由DC電源890施加至上電極770的DC電壓的範 圍可從約-2000伏(V)至約1000V。期望地,DC電壓的絕對值具有等或大於約100V的數值,且更期望地,DC電壓的絕對值具有等或大於約500V的數值。此外,期望DC電壓具有負極性。更甚者,期望DC電壓為具有大於產生在上電極770的表面上的自偏壓的絕對值之負電壓。面對基板固持器520的上電極770的表面可由含矽材料組成。
在圖9中所顯示的實施例中,電漿處理系統900可類似於圖 5及6的實施例且可更包含感應線圈980,RF功率係經由RF產生器982透 過非必須的阻抗匹配網路984耦合至該感應線圈980。RF功率從感應線圈980透過介電窗(未顯示)感應耦合至電漿處理區域545。施加RF功率至感應線圈980的頻率的範圍可從約10MHz至約100MHz。類似地,施加功率至夾盤電極的頻率的範圍可從約0.1MHz至約100MHz。此外,可使用開槽孔法拉第屏(未顯示)以減少感應線圈980及電漿處理區域545中的電漿之間的電容耦合。此外,控制器555可耦合至RF產生器982及阻抗匹配網路984以控制對感應線圈980的功率施加。
在替代的實施例中,如圖10中所示,電漿處理系統1000可 類似於圖9的實施例,且可更包含為「螺旋」線圈或「平繞」線圈的感應線圈1080,其如變壓耦合電漿(TCP)反應器中自上方與電漿處理區域545連通。感應耦合電漿(ICP)來源、或變壓耦合電漿(TCP)來源的設計及實施對本領域技術者為眾所周知。
替代地,電漿可利用電子迴旋共振(ECR)形成。在又另一實 施例中,電漿自螺旋波的發射而形成。在又另一實施例中,電漿係自傳遞表面波形成。上述每一電漿來源為本領域技術者眾所周知。
在圖11中所顯示的實施例中,電漿處理系統1100可類似於 圖5的實施例,且可更包含表面波電漿(SWP)來源1130。該SWP來源1130可包含如徑向線槽孔天線的槽孔天線,微波功率係經由功率耦合系統1190耦合至該處。
儘管僅若干本發明的實施例已在以上詳細地描述,本領域技 術者將容易地理解在實質上不離開本發明的新穎教示及優點的情況下之實施例中許多修改均為可能。因此,所有此修改意為包含在本發明之範疇內。
300‧‧‧流程圖
310‧‧‧步驟
320‧‧‧步驟

Claims (20)

  1. 一種用於執行間隔物蝕刻處理的方法,包含:在一基板上設置一閘極結構,該基板具有保形地塗佈在該閘極結構上的低k間隔物材料,其中該低k間隔物材料含有Si、N、C、及B;及執行一間隔物蝕刻處理程序以自該閘極結構及該基板部份地移除該低k間隔物材料,而留下沿著該閘極結構的一側壁定位的一側壁間隔物,該間隔物蝕刻處理程序包含:在該低k間隔物材料的一暴露表面上沈積一間隔物保護層;及執行一或更多蝕刻處理,以從該閘極結構的一蓋區及從在該基板上鄰近該閘極結構的基部的一基板區域選擇性地且非等向性地移除該間隔物保護層及該低k間隔物材料,俾留下在該閘極結構的該側壁上之該側壁間隔物,其中,在藉由該一或更多蝕刻處理部份地或全部地消耗時,該間隔物保護層限制該側壁間隔物在該一或更多蝕刻處理中對一或更多化學成份的暴露、且在該側壁間隔物中減少B或C的消耗。
  2. 如申請專利範圍第1項的用於執行間隔物蝕刻處理的方法,其中保形地塗佈在該閘極結構上的該低k間隔物材料具有低於或等於約10奈米(nm)的厚度。
  3. 如申請專利範圍第1項的用於執行間隔物蝕刻處理的方法,其中該間隔物保護層含有Si、O、及Cl。
  4. 如申請專利範圍第1項的用於執行間隔物蝕刻處理的方法,其中該形成該間隔物保護層包含在含有Si、Cl、及O的一環境中執行一氣相沈積處理。
  5. 如申請專利範圍第1項的用於執行間隔物蝕刻處理的方法,其中該形成該間隔物保護層包含執行一電漿輔助沈積處理,該電漿輔助沈積處理包含利用含有SiCl4及O2作為起始成份的處理組成物產生電漿。
  6. 如申請專利範圍第5項的用於執行間隔物蝕刻處理的方法,其中該電漿輔助沈積處理排除施加射頻(RF)偏壓至該基板置於其上的一基板固持器。
  7. 如申請專利範圍第5項的用於執行間隔物蝕刻處理的方法,其中該基板之溫度的範圍從約0度C至約100度C。
  8. 如申請專利範圍第5項的用於執行間隔物蝕刻處理的方法,其中該形成該間隔物保護層包含調整在該電漿輔助沈積處理中的至少一處理參數以減少該間隔物保護層的化學滲透性。
  9. 如申請專利範圍第1項的用於執行間隔物蝕刻處理的方法,其中該形成該間隔物保護層包含暴露該基板於SiCl4及H2O、並加熱該基板。
  10. 如申請專利範圍第1項的用於執行間隔物蝕刻處理的方法,其中該間隔物保護層含有C及選自由F及H組成的群組之至少一元素。
  11. 如申請專利範圍第1項的用於執行間隔物蝕刻處理的方法,其中該形成該間隔物保護層包含在含有C及選自由F及H組成的群組之至少一元素的環境中執行一氣相沈積處理。
  12. 如申請專利範圍第1項的用於執行間隔物蝕刻處理的方法,其中該形成該間隔物保護層包含執行一電漿輔助沈積處理,該電漿輔助沈積處理包含利用含有表示為CxHyFz(其中x及z為非零)的氟碳化合物氣體作為起始成份的膜形成處理組成物產生電漿。
  13. 如申請專利範圍第1項的用於執行間隔物蝕刻處理的方法,其中該形成該間隔物保護層包含執行一電漿輔助沈積處理,該電漿輔助沈積處理包含利用含有表示為CxHy(其中x及y為非零)的碳氫化合物氣體作為起始成份的膜形成處理組成物產生電漿。
  14. 如申請專利範圍第12項的用於執行間隔物蝕刻處理的方法,其中該電漿輔助沈積處理排除施加射頻(RF)偏壓至該基板放置於其上的一基板固持器。
  15. 如申請專利範圍第12項的用於執行間隔物蝕刻處理的方法,其中該基板的溫度的範圍從約0度C至約100度C。
  16. 如申請專利範圍第12項的用於執行間隔物蝕刻處理的方法,其中該形成該間隔物保護層包含調整在該氣相沈積處理中的至少一處理參數以減少該間隔物保護層的化學穿透性。
  17. 如申請專利範圍第1項的用於執行間隔物蝕刻處理的方法,其中該選擇性地及非等向性地移除該間隔物保護層及該間隔物材料包含利用一電 漿蝕刻處理,該電漿蝕刻處理包含使用含有含CxHyFz氣體(其中x及z為非零)作為起始成份的蝕刻處理組成物、及稀有氣體來產生電漿。
  18. 如申請專利範圍第1項的用於執行間隔物蝕刻處理的方法,更包含:自該閘極結構的該側壁選擇性地移除該間隔物保護層的任何殘留部份。
  19. 如申請專利範圍第1項的用於執行間隔物蝕刻處理的方法,更包含:重複該沈積該間隔物保護層及該執行該一或更多蝕刻處理,直到該側壁間隔物存留在該閘極結構上作為唯一的低k間隔物材料。
  20. 一種用於執行間隔物蝕刻處理的方法,包含:在基板上設置一閘極結構,該基板具有保形地塗佈在該閘極結構上的一低k間隔物材料,其中原始沈積的該低k間隔物材料的一介電常數以一起始值為特徵;及執行一間隔物蝕刻處理程序以自該閘極結構及該基板部份地移除該低k間隔物材料,而留下沿著該閘極結構的側壁定位的側壁間隔物,該間隔物蝕刻處理程序包含:在該低k間隔物材料的一暴露表面上沈積一間隔物保護層,及執行一或更多蝕刻處理以從該閘極結構的一蓋區及從在該基板上鄰近該閘極結構的基部的一基板區域選擇性地且非等向性地移除該間隔物保護層及該低k間隔物材料,俾留下在該閘極結構的該側壁上之該側壁間隔物,其中該低k間隔物材料的該介電常數以緊接該執行一或更多蝕刻處理之後的最終值為特徵,且其中在該最終值及該起始值之間的一差異為低於或等於該起始值的5%。
TW102110048A 2012-03-22 2013-03-21 用以減少蝕刻時對於低k閘極間隔物之損壞的方法 TWI553712B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201261613985P 2012-03-22 2012-03-22
US13/589,096 US9111746B2 (en) 2012-03-22 2012-08-18 Method for reducing damage to low-k gate spacer during etching

Publications (2)

Publication Number Publication Date
TW201347008A true TW201347008A (zh) 2013-11-16
TWI553712B TWI553712B (zh) 2016-10-11

Family

ID=49212222

Family Applications (1)

Application Number Title Priority Date Filing Date
TW102110048A TWI553712B (zh) 2012-03-22 2013-03-21 用以減少蝕刻時對於低k閘極間隔物之損壞的方法

Country Status (5)

Country Link
US (1) US9111746B2 (zh)
EP (1) EP2828887A4 (zh)
KR (1) KR101600738B1 (zh)
TW (1) TWI553712B (zh)
WO (1) WO2013142699A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI767061B (zh) * 2017-09-26 2022-06-11 日商東京威力科創股份有限公司 氮化鉭層中之特徵部的圖案化系統及方法

Families Citing this family (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9177875B2 (en) * 2013-11-15 2015-11-03 Taiwan Seminconductor Manufacturing Co., Ltd. Advanced process control method for controlling width of spacer and dummy sidewall in semiconductor device
US9171736B2 (en) 2014-03-03 2015-10-27 Tokyo Electron Limited Spacer material modification to improve K-value and etch properties
FR3023971B1 (fr) * 2014-07-18 2016-08-05 Commissariat Energie Atomique Procede de formation des espaceurs d'une grille d'un transistor
US9780191B2 (en) 2014-07-18 2017-10-03 Commissariat A L'energie Atomique Et Aux Energies Alternatives Method of forming spacers for a gate of a transistor
US9627533B2 (en) * 2015-02-05 2017-04-18 International Business Machines Corporation High selectivity nitride removal process based on selective polymer deposition
US10063062B2 (en) * 2015-06-18 2018-08-28 Tokyo Electron Limited Method of detecting plasma discharge in a plasma processing system
FR3037717B1 (fr) * 2015-06-19 2017-06-09 Commissariat Energie Atomique Procede de formation des espaceurs d'une grille d'un transistor
EP3107124B1 (fr) * 2015-06-19 2018-04-04 Commissariat A L'energie Atomique Et Aux Energies Alternatives Procédé de formation des espaceurs d'une grille d'un transistor
US10158003B2 (en) * 2015-08-12 2018-12-18 International Business Machines Corporation Epitaxial and silicide layer formation at top and bottom surfaces of semiconductor fins
US10622457B2 (en) 2015-10-09 2020-04-14 International Business Machines Corporation Forming replacement low-K spacer in tight pitch fin field effect transistors
US10181527B2 (en) 2015-10-16 2019-01-15 Samsung Electronics Co., Ltd. FinFet having dual vertical spacer and method of manufacturing the same
US9786492B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
KR102378021B1 (ko) 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. SiOC 박막의 형성
US9985107B2 (en) 2016-06-29 2018-05-29 International Business Machines Corporation Method and structure for forming MOSFET with reduced parasitic capacitance
US10256321B2 (en) 2016-08-19 2019-04-09 International Business Machines Corporation Semiconductor device including enhanced low-k spacer
US9704991B1 (en) 2016-10-31 2017-07-11 International Business Machines Corporation Gate height and spacer uniformity
US10008603B2 (en) 2016-11-18 2018-06-26 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-gate device and method of fabrication thereof
US10186420B2 (en) 2016-11-29 2019-01-22 Asm Ip Holding B.V. Formation of silicon-containing thin films
US10224414B2 (en) * 2016-12-16 2019-03-05 Lam Research Corporation Method for providing a low-k spacer
US10354880B2 (en) 2017-04-05 2019-07-16 International Business Machines Corporation Sidewall spacer with controlled geometry
US10847529B2 (en) 2017-04-13 2020-11-24 Asm Ip Holding B.V. Substrate processing method and device manufactured by the same
US10504901B2 (en) 2017-04-26 2019-12-10 Asm Ip Holding B.V. Substrate processing method and device manufactured using the same
JP7249952B2 (ja) 2017-05-05 2023-03-31 エーエスエム アイピー ホールディング ビー.ブイ. 酸素含有薄膜の制御された形成のためのプラズマ増強堆積プロセス
US10263080B2 (en) * 2017-05-24 2019-04-16 Qualcomm Incorporated Transistor with fluorinated graphene spacer
DE102018107984B4 (de) * 2017-11-15 2023-12-21 Taiwan Semiconductor Manufacturing Co., Ltd. Low-k-Gate-Abstandhalter und sein Bilden
TWI761636B (zh) 2017-12-04 2022-04-21 荷蘭商Asm Ip控股公司 電漿增強型原子層沉積製程及沉積碳氧化矽薄膜的方法
FR3076068B1 (fr) * 2017-12-27 2019-11-22 Commissariat A L'energie Atomique Et Aux Energies Alternatives Procede de gravure d'une couche dielectrique tridimensionnelle
CN115692202A (zh) 2018-05-09 2023-02-03 联华电子股份有限公司 半导体元件及其制作方法
KR102593708B1 (ko) * 2018-08-14 2023-10-26 삼성전자주식회사 반도체 장치 및 반도체 장치의 제조 방법
US10777419B2 (en) * 2018-10-28 2020-09-15 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with fin isolation and method of forming the same
FR3091002B1 (fr) * 2018-12-20 2021-01-08 Commissariat Energie Atomique Procédé de gravure d’une couche diélectrique tridimensionnelle
US11101364B2 (en) * 2019-03-08 2021-08-24 Globalfoundries U.S. Inc. Field-effect transistors with diffusion blocking spacer sections

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6616767B2 (en) * 1997-02-12 2003-09-09 Applied Materials, Inc. High temperature ceramic heater assembly with RF capability
US6323519B1 (en) * 1998-10-23 2001-11-27 Advanced Micro Devices, Inc. Ultrathin, nitrogen-containing MOSFET sidewall spacers using low-temperature semiconductor fabrication process
US6207544B1 (en) 1998-12-09 2001-03-27 Advanced Micro Devices, Inc. Method of fabricating ultra thin nitride spacers and device incorporating same
US6242334B1 (en) 1999-03-23 2001-06-05 United Microelectronics Corp. Multi-step spacer formation of semiconductor devices
US6277700B1 (en) 2000-01-11 2001-08-21 Chartered Semiconductor Manufacturing Ltd. High selective nitride spacer etch with high ratio of spacer width to deposited nitride thickness
US6261913B1 (en) 2000-08-23 2001-07-17 Micron Technology, Inc. Method for using thin spacers and oxidation in gate oxides
JP4733869B2 (ja) * 2001-07-25 2011-07-27 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
JP4255836B2 (ja) * 2001-12-19 2009-04-15 アドバンスト・マイクロ・ディバイシズ・インコーポレイテッド 改善されたトランジスタ性能に対する複合スペーサライナー
KR100449028B1 (ko) 2002-03-05 2004-09-16 삼성전자주식회사 원자층 증착법을 이용한 박막 형성방법
US6756313B2 (en) 2002-05-02 2004-06-29 Jinhan Choi Method of etching silicon nitride spacers with high selectivity relative to oxide in a high density plasma chamber
US6838396B2 (en) 2003-03-28 2005-01-04 International Business Machines Corporation Bilayer ultra-thin gate dielectric and process for semiconductor metal contamination reduction
DE10355575B4 (de) 2003-11-28 2010-01-07 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung von Seitenwandabstandselementen für ein Schaltungselement durch Erhöhen einer Ätzselektivität
US7022596B2 (en) * 2003-12-30 2006-04-04 Advanced Micro Devices, Inc. Method for forming rectangular-shaped spacers for semiconductor devices
US7230296B2 (en) * 2004-11-08 2007-06-12 International Business Machines Corporation Self-aligned low-k gate cap
US7695632B2 (en) * 2005-05-31 2010-04-13 Lam Research Corporation Critical dimension reduction and roughness control
KR100724568B1 (ko) 2005-10-12 2007-06-04 삼성전자주식회사 반도체 메모리 소자 및 그 제조방법
JP2008098205A (ja) * 2006-10-05 2008-04-24 Toshiba Corp 半導体装置及び半導体装置の製造方法
WO2008061085A1 (en) * 2006-11-10 2008-05-22 University Of South Carolina Selectively doped semi-conductors and methods of making the same
US8354347B2 (en) 2007-12-11 2013-01-15 Globalfoundries Singapore Pte. Ltd. Method of forming high-k dielectric stop layer for contact hole opening
US8148269B2 (en) * 2008-04-04 2012-04-03 Applied Materials, Inc. Boron nitride and boron-nitride derived materials deposition method
US20100062592A1 (en) * 2008-09-09 2010-03-11 Tokyo Electron Limited Method for forming gate spacers for semiconductor devices
US8008145B2 (en) * 2008-09-10 2011-08-30 Taiwan Semiconductor Manufacturing Company, Ltd. High-K metal gate structure fabrication method including hard mask
US8298949B2 (en) * 2009-01-07 2012-10-30 Lam Research Corporation Profile and CD uniformity control by plasma oxidation treatment
US9711407B2 (en) * 2009-04-14 2017-07-18 Monolithic 3D Inc. Method of manufacturing a three dimensional integrated circuit by transfer of a mono-crystalline layer
US8299508B2 (en) * 2009-08-07 2012-10-30 Taiwan Semiconductor Manufacturing Company, Ltd. CMOS structure with multiple spacers
KR20120092184A (ko) 2009-12-07 2012-08-20 어플라이드 머티어리얼스, 인코포레이티드 도핑된 영역을 세정하고 도핑된 영역 위에 음으로 대전된 패시베이션 층을 형성하는 방법
US8673725B2 (en) 2010-03-31 2014-03-18 Tokyo Electron Limited Multilayer sidewall spacer for seam protection of a patterned structure
US8664102B2 (en) 2010-03-31 2014-03-04 Tokyo Electron Limited Dual sidewall spacer for seam protection of a patterned structure
US8304840B2 (en) * 2010-07-29 2012-11-06 Taiwan Semiconductor Manufacturing Company, Ltd. Spacer structures of a semiconductor device

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI767061B (zh) * 2017-09-26 2022-06-11 日商東京威力科創股份有限公司 氮化鉭層中之特徵部的圖案化系統及方法

Also Published As

Publication number Publication date
KR20140138320A (ko) 2014-12-03
US9111746B2 (en) 2015-08-18
WO2013142699A1 (en) 2013-09-26
TWI553712B (zh) 2016-10-11
KR101600738B1 (ko) 2016-03-07
EP2828887A1 (en) 2015-01-28
US20130252430A1 (en) 2013-09-26
EP2828887A4 (en) 2015-11-11

Similar Documents

Publication Publication Date Title
TWI553712B (zh) 用以減少蝕刻時對於低k閘極間隔物之損壞的方法
US10290506B2 (en) Method for etching high-K dielectric using pulsed bias power
US8809194B2 (en) Formation of SiOCl-containing layer on spacer sidewalls to prevent CD loss during spacer etch
TWI524419B (zh) 具有減小的側壁間隔物薄型化之高選擇性間隔物蝕刻程序
TWI518797B (zh) 用於鰭式場效電晶體之深寬比依存的沉積以改善閘極間隔物輪廓、鰭損耗及硬遮罩損耗
US8906760B2 (en) Aspect ratio dependent deposition to improve gate spacer profile, fin-loss and hardmask-loss for FinFET scheme
US8980111B2 (en) Sidewall image transfer method for low aspect ratio patterns
TW202025212A (zh) 低溫高品質的介電膜
TW202004910A (zh) 用於低深寬比堆疊圖案化的方法和系統
TW201806029A (zh) 使用氫電漿之矽提取方法
JP5633928B2 (ja) 深溝ライナ除去方法
KR20140021610A (ko) 풀 메탈 게이트 구조를 패터닝하는 방법