JP2016154234A - サブ10nmパターニングを実現するための材料プロセシング - Google Patents

サブ10nmパターニングを実現するための材料プロセシング Download PDF

Info

Publication number
JP2016154234A
JP2016154234A JP2016029701A JP2016029701A JP2016154234A JP 2016154234 A JP2016154234 A JP 2016154234A JP 2016029701 A JP2016029701 A JP 2016029701A JP 2016029701 A JP2016029701 A JP 2016029701A JP 2016154234 A JP2016154234 A JP 2016154234A
Authority
JP
Japan
Prior art keywords
layer
substrate
oxygen
plasma
mandrel
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2016029701A
Other languages
English (en)
Inventor
エル オミーラ デイヴィッド
L O'meara David
エル オミーラ デイヴィッド
ディー ラレイ アンジェリーク
D Raley Angelique
ディー ラレイ アンジェリーク
明輝 高
Akiteru Ko
明輝 高
清仁 伊藤
Kiyohito Ito
清仁 伊藤
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of JP2016154234A publication Critical patent/JP2016154234A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32105Oxidation of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Nanotechnology (AREA)
  • Ceramic Engineering (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Plasma Technology (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

【課題】現在のフォトリソグラフ法を用いて得られるCDよりもCDの範囲が小さい構造体を作製する方法を提供する。【解決手段】第1の組成のパターン層を有する構造体と、該構造体の上に形成された第2の組成のキャップ層及び側壁とを含む基板上のパターン密度を高めるための方法が提供される。側壁が化学環境に晒され、第3の組成の化学変性側壁層が形成される。化学変性側壁層を残すために、構造体のキャップ層と内部非変性部とが選択的に除去される。基板の下位層に化学変性側壁層のパターン転写エッチングが行われる。構造体の幅、高さ、側壁の角度、ラインワイズラフネス及び/又はラインエッジラフネスを含む目標限界寸法を得るために1つ以上の集積化操作変数が制御される。【選択図】図1A

Description

本発明は、基板上に一連の半導体パターニング工程を行う方法に関し、具体的には基板上に10nm未満の構造体(structure)のパターニングを行うことに関する。
半導体の製造において、基板上への薄膜のパターニングは、ムーアの法則に従って時間と共に進化してきた幾つかの方法により実現できる。第1の方法は従来のリソグラフ法であるが、先端的なノードの限界寸法(critical dimensions)(CD)を得るためにこの方法が単独で用いられることはもはやない。
ダブルパターニングは、フィーチャー寸法(feature dimensions)を規定するのにスペーサー堆積(spacer deposition)を用いることによって、フォトリソグラフ法で実現できるものよりも小さなハードマスクフィーチャーを作成するのに用いられる方法である。一般的なダブルパターニング(DP)法では、マンドレル上への堆積、スペーサーを形成するためのエッチング及びマンドレルを除去するための別のエッチングという一連の工程が必要となるため、堆積及びエッチング双方のためのツールが必要となる。従来のDP法には、マンドレル形成の限界から生じるフィーチャーのピッチ及び堆積の「薄さ」の限界に起因する固有の空間的な限界がある。
今日、ダブルパターニング法は、要求されるCDを得るために自己整合ダブルパターニング又はDSA(自己組織化)等の付加的な方法と組み合わされる。これらの方法はコストがかかるとともに、幾つかの工程がプロセスに追加されることになる。先端的な極端紫外線(EUV)リソグラフ法が利用可能となれば、これらの問題の幾つかは緩和されるはずであるが、来るべき将来の技術にとっても、より小さなCDへの絶え間ない意欲は引き続き課題となる。
米国特許第5024716号明細書 米国特許出願公開第2011/0057562号明細書
現在のフォトリソグラフ法を用いて得られるCDよりもCDの範囲が小さい構造体を作製することへのニーズがある。より具体的には、(1)EUVリソグラフ法を用いることなくCDが10nm未満の構造体を得ること、(2)効率的なスループットを高めるために集積化(integration)ステップのセットアップ時間を最小限に抑えること、(3)プロセスを完了するのに必要となるツールの数を最小限に抑えること及び/又は(4)所有コストを下げることへのニーズがある。
集積化スキーム(integration scheme)を用いて、基板上の構造体のパターン密度を高めるための方法が提供される。当該方法は、プロセスチャンバ内に、第1の組成のパターン層を有する基板を提供するステップであって、該パターン層は少なくとも1つの構造体を含み、該構造体の上には第2の組成のキャップ層と側壁とが形成されている、ステップと、前記構造体の側壁及び上面を所定の深さまで化学変性(chemically modify)するために、化学環境(chemical environment)に前記構造体を晒すステップであって、該化学環境の成分を前記構造体の露出表面領域に導入するとともに、第3の組成の化学変性側壁層を形成することにより行われる、ステップと、前記化学変性側壁層を残すために、少なくとも1つのエッチングプロセスを用いて前記構造体の上面層と内側非変性部(interior, non-modified portion)とを選択的に除去するステップと、前記少なくとも1つのエッチングプロセスで用いられる一連のエッチング剤に対する前記化学変性側壁層のエッチング耐性を変性する成分が含まれるように前記化学環境を選択するステップであって、前記第3の組成は前記第1の組成よりも前記一連のエッチング剤に対する耐性が高い、ステップと、前記基板の下位層(underlying layer)に前記化学変性側壁層のパターン転写エッチング(pattern transfer etch)を行うステップとを含む。前記構造体の1つ以上の目標限界寸法(target critical dimensions)を得るために、選択された1つ以上の集積化操作変数(integration operating variables)が制御される。該1つ以上の目標限界寸法は前記構造体の幅、高さ、側壁の角度、ラインワイズラフネス及び/又はラインエッジラフネスを含む。
別の実施形態では、集積化スキームを用いて、基板上の構造体のパターン密度を高めるための方法が提供される。該集積化スキームは1つ以上の集積化操作変数を有し、当該方法は、プロセスチャンバ内に、パターン層を有する基板を提供するステップであって、該パターン層は少なくとも1つのマンドレルを含み、該マンドレルは自己整合ダブルパターニングスキームを用いて作製されたフォトレジスト、有機平坦化スピンオン材料(organic polarizing spin-on material)、窒化ケイ素、アモルファスシリコン又は炭素を含む、ステップと、前記パターン層の上にコンフォーマルスペーサー層を堆積するステップと、シリコンエッチング化学(silicon etching chemistry)を用いて一連のスペーサー反応性イオンエッチング(RIE)を行うステップと、前記マンドレルに用いられている材料に基づくストリッピング法を用いて一連のマンドレル・プル・ストリップ(mandrel-pull strip sequence)を行うステップであって、該一連のマンドレル・プル・ストリップによって側壁及び上面層を有するシリコンスペーサーが形成される、ステップと、前記スペーサーの側壁及び上面層を所定の深さまで化学変性するために、化学環境に前記スペーサーを晒すステップであって、該ステップにより化学変性側壁層及び上面層が形成される、ステップと、前記スペーサーの近傍の前記基板の底部と、前記上面層とを選択的に除去するステップと、前記化学変性側壁層を残すために、少なくとも1つのエッチングプロセスを用いて前記スペーサーの非変性部を除去するように構成された一連のスペーサー・プル・エッチング(spacer-pull etching sequence)を行うステップと、前記基板の下位層に前記化学変性側壁層のパターン転写エッチングを行うステップと、を含む。前記構造体の1つ以上の目標限界寸法を得るために、選択された1つ以上の集積化操作変数が制御される。該1つ以上の目標限界寸法は前記構造体の幅、高さ、側壁の角度、ラインワイズラフネス及び/又はラインエッジラフネスを含む。
添付の図面には下記のものを示す。
図1Aは、パターン密度が10nm未満の構造体を形成する集積化スキームを行うための例示の方法を説明するフローチャートを図示する。 図1Bは、パターン密度が10nm未満の構造体を形成する集積化スキームを行うための別の例示の方法を説明する別のフローチャートを図示する。 図2Aは、少なくとも1つの構造体を含む、第1の組成のパターン層を有する基板をプロセスチャンバ内に提供する集積化工程の略図を図示する。 図2Bは、基板のパターン層の上にコンフォーマル層を堆積する集積化工程の略図を図示する。 図2Cは、ハロゲン化学物質を含むプラズマを用いてスペーサーエッチングを行う集積化工程の略図を示す。 図2Dは、構造体のキャップ層と内側非変性部とを選択的に除去する集積化工程の略図を図示する。 図2Eは、基板の化学変性層の側壁のパターン転写エッチングを行う集積化工程の略図を図示する。 図3Aは、少なくとも1つの構造体を含む、第1の組成のパターン層を有する基板をプロセスチャンバ内に提供する集積化工程の略図を図示する。 図3Bは、パターン層の上にコンフォーマル層を堆積する集積化工程の略図を図示する。 図3Cは、パターン層に一連のスペース反応性イオンエッチング(RIE)を行う集積化工程の略図を図示する。 図3Dは、シリコンスペーサー及びキャップ層を生成する一連のマンドレル・プル・ストリップを行う集積化工程の略図を図示する。 図3Eは、側壁を化学変性するために側壁を化学環境に晒す集積化工程の略図を図示する。 図3Fは、スペーサー近傍の基板の底部及びキャップ層を選択的に除去する集積化工程の略図を図示する。 図3Gは、スペーサーの非変性部を除去する一連のスペーサー・プル・エッチングを行う集積化工程の略図を図示する。 図3Hは、基板の下位層に化学変性側壁層の一連のパターン転写エッチングを行う集積化工程の略図を図示する。 図4Aは、本発明の集積化スキームにおける一ステップの間におけるプロセスチャンバ内の化学環境の水素、酸素及び窒素の比並びに処理の経過時間の関数としての基板上のパターン層内の構造体の厚さの例示のグラフである。 図4Bは、本発明の集積化スキームにおける一プロセスステップの間におけるプロセスチャンバ内の水蒸気の部分圧の関数としての基板上のパターン層の構造体の厚さの例示のグラフである。 図4C1は、本発明の集積化スキームにおける一プロセスステップの間における酸化時間及び基板温度の関数としての酸化膜の厚さの例示のグラフである。 図4C2は、本発明の集積化スキームにおける一プロセスステップの間における酸化時間及び基板温度の関数としての酸化膜の厚さの別の例示のグラフである。 図4Dは、本発明の集積化スキームにおけるプロセスステップの間の処理の相対時間に対する発光分光分析(OES)信号の強度の例示を含む。 図5は、一実施形態に係るプラズマ処理システムの概略図を示す。 図6は、別の実施形態に係るプラズマ処理システムの概略図を示す。 図7は、別の実施形態に係るプラズマ処理システムの概略図を示す。 図8は、別の実施形態に係るプラズマ処理システムの概略図を示す。 図9は、別の実施形態に係るプラズマ処理システムの概略図を示す。 図10は、別の実施形態に係るプラズマ処理システムの概略図を示す。 図11は、別の実施形態に係るプラズマ処理システムの概略図を示す。 図12は、一実施形態に係るプラズマ源の断面図を示す。 図13Aは、別の実施形態に係るプラズマ源の断面図及び底面図を示す。 図13Bは、別の実施形態に係るプラズマ源の断面図及び底面図を示す。
下記では、限定ではなく解説を目的として、処理システムの特定の形状や係るシステムにおいて用いられる様々な構成要素及びプロセスの説明等の具体的な詳細を説明する。しかしながら、本発明はそれらの具体的な詳細から逸脱する他の実施形態でも実施される場合があると理解すべきである。
同様に、本発明の十分な理解がもたらされるように、特定の数、材料及び構成を解説を目的として説明する。しかしながら、本発明は具体的な詳細なしで実施される場合がある。さらに、図面に示す様々な実施形態は例示であって必ずしも縮尺通りに描かれたものではないことが分かる。
本発明の理解に最も役立つような形で、様々な工程を複数の別々の工程として順番に説明する。しかしながら、これらの工程が必然的に順番に依存しているということを説明する順番が含意していると解釈すべきでない。具体的には、これらの工程は説明する順番に行う必要はない。説明する工程は、説明する実施形態のものとは異なる順番で行われる場合がある。様々な追加の工程が行われ得る及び/又は追加の実施形態では説明する工程が省略される場合がある。
本願で使用の「基板(substrate)」という用語は、本発明に従って処理される物体を一般的に意味する。基板は装置、特に半導体又は他の電子装置の任意の材料部分又は構造を含み得る。基板は、例えば半導体ウエハ等のベース基板構造体又は薄膜等のベース基板構造体上の若しくはベース基板構造体を覆う層であり得る。そのため、基板は任意の特定のベース構造体、下位層又は上位層、パターン又は非パターンに限定されず、むしろ、そのような層又はベース構造体並びに層及び/又はベース構造体の任意の組み合わせを含むものと考えられる。下記の説明では特定種類の基板に言及する場合があるが、これは例示を目的としたものであってそれらに限定されない。
作業ステップと、選択された集積化目的(integration objectives)(この場合はサブ10nmパターニングを実現するための材料プロセシング)を実現するためにパターニングを受ける構造体の略図とを組み合わせるために、図1Aと図2A〜図2Eとを共に説明する。
図1Aは、パターン密度が10nm未満の構造体を形成する集積化スキームを行う例示の方法を説明するフローチャート1を図示する。図2A〜図2Eは、パターニング工程を受ける構造体の略図である。基板上の構造体のパターン密度を高めるための方法は集積化スキームを用いる。該集積化スキームは1つ以上の集積化操作変数を有する。1つ以上の集積化操作変数は、プロセスチャンバ内部の圧力、基板の温度、エッチング又は化学処理を行うのに用いられる1つ以上の化学物質(chemicals)の部分圧、使用する化学物質の種類、集積化工程の時間の長さ等を含む。図2Aの略図40を参照する工程3では、第1の組成のパターン層45と非パターン層47とを有する基板51がプロセスチャンバ(図示せず)内に提供される。パターン層45は、少なくとも1つの構造体41を含み、構造体41の上には第2の組成のキャップ層43と側壁49とが形成されている。基板51は、先のパターニングステップで使用されたものと同じプロセスチャンバを用いて製造されてもよいし又は外部ソースからプロセスチャンバ内に入れられてもよい。基板51のパターン層45はシリコンマンドレル又は4窒化ケイ素(silicon tetranitrate)(Si3N4)であり得る。基板51はSiON、SiN又はTiNのストップ層53を含み得る。基板51は基板ストップ層53も有し得る。提供された基板51はリソグラフ法、自己整合ダブルパターニング(SADP)又は誘導自己組織化(DSA)を用いて作製された基板51であり得る。
図2Bの略図60を参照する工程5では、構造体62の側壁68を所定の深さまで化学変性するために、化学環境70に側壁68が晒され、化学環境70の成分を構造体62の露出表面領域に導入するとともに第3の組成の化学変性側壁層68及びキャップ層66を形成することにより行われる。化学環境70は酸素含有環境若しくは窒素含有環境又は他のドーパント含有環境であり得る。化学環境70が酸素含有環境を含む場合、その酸素含有環境は、酸素、一酸化炭素、二酸化炭素及び/又は二酸化窒素を含む。そうではなく、化学環境70が窒素含有環境を含む場合、その窒素含有環境は窒素、アンモニア及び/又はヒドラジンを含む。ドーパント含有環境の例としては、ボラン、ジボラン、ホスフィン及びアルシンが挙げられる。
図2Cの略図80を参照する工程7では、化学変性側壁層88を残すために、少なくとも1つのエッチングプロセスを用いて、基板92の構造体84の内側非変性部96及びキャップ層92が選択的に除去される。少なくとも1つのエッチングプロセスはCxHyFz及びアルゴンを使用するエッチングを含み得る。例えば、SiN基板をエッチングする場合、CH3F/O2/Ar又はCH3F/O2/Heは酸化物に対して非常に選択的(highly selective)であることからそれらが用いられ得る。SiON基板をエッチングする場合、CO2又は他のフッ化炭素ガス等の添加物と共にCH3F/O2/Ar状態のバリエーションを用いることができる。TiN基板をエッチングする場合、Cl2ベースの化学物質を用いることができる。この工程は、少なくとも1つのエッチング法を用いたキャップ層92のブレイクスルー(breakthrough)としても知られている。
図2Dの略図100を参照する工程9では、前記少なくとも1つのエッチングプロセスで用いられる一連のエッチング剤に対する化学変性側壁層112のエッチング耐性を変性する成分が含まれるように化学環境が選択される。第3の組成は第1の組成よりも一連のエッチング剤に対する耐性が高い。この工程はマンドレル・プルとも呼ばれ、基板116の上面の構造体104のベース部に至るまでマンドレル120がエッチング除去(etched out)される。
図2Eの略図120を参照する工程11では、基板128の下位層に化学変性側壁層126のパターン転写エッチングが行われる。パターン転写エッチングは、基板ストップ層130まで基板128を好適にエッチングするエッチング剤を用いることによって実現される。基板ストップ層130は窒化ケイ素、酸窒化ケイ素又は窒化チタンを含み得る。パターン転写エッチングによって、エッチャントに直接晒らされる基板128の部分がエッチングされ、構造体124によって保護されている基板128の部分が残る。構造体124の上部におけるソリッドパターン134と比較して、パターン転写の間にエッチングされる構造体124の部分を構造体124の下部において別のドットパターン136で示す。パターン転写エッチングは当業者に知られているため、ここでは詳細な説明は省略する。本願発明者らは、限定されないが範囲が1〜9nmの構造体の厚さ132を得ることができた。
工程13では、構造体の1つ以上の目標限界寸法を得るために、選択された1つ以上の集積化操作変数が制御される。1つ以上の目標限界寸法は、構造体の幅、高さ、側壁の角度、ラインワイズラフネス及び/又はラインエッジラフネスを含む。構造体の1つ以上の目標限界寸法を得るための選択された1つ以上の集積化操作変数の制御は、1つ以上の工程で、即ち集積化スキームの工程5、7、9及び/又は11で行われ得る。
一実施形態では、1つの集積化操作変数のみが、例えば工程11の酸化プロセスの間の構造体上の酸化膜の厚さ(oxide thickness)のみが選択され、その後、その酸化膜の厚さは、酸化プロセス及び工程11における最後のパターン転写エッチングまでの全ての他のプロセスの間に制御される。別の実施形態では、2つの集積化操作変数が、例えばH2、O2及びN2のガス比並びに処理時間の長さが集積化スキームのための1つ以上の集積化操作変数として選択される。この場合、ガス比及び処理時間の長さは、それらの制御が適用可能な工程の全てで制御される。幅、高さ、側壁の角度、ラインワイズラフネス、ラインエッジラフネス等を含む1つ以上の目標限界寸法を得るために、集積化操作変数を任意に選択したもの又はその組み合わせを用いて関連する集積化ステップを制御することができる。
一般に、集積化プロセスのための1つ以上の集積化操作変数は処理時間、プロセスチャンバ内の圧力、使用する化学物質及び/又はガス、化学物質又はガスの流量、基板の温度、プラズマ出力、バイアスパワー、化学物質又はガスの部分圧、化学物質又はガスの他の化学物質又はガスに対する比率等を含む。集積化操作変数は各作業ステップで異なってもよい。例えば、堆積ステップとエッチングステップとでは関連する集積化操作変数が異なる。
側壁を晒すこと、構造体の内側非変性部及びキャップ層を選択的に除去すること、基板の下位層に化学変性側壁層のパターン転写エッチングを行うことを含む上記の集積化スキームの利点の1つは、全ての工程を上記のプロセスチャンバ内でインサイチュ(in situ)で行うことができる点である。インサイチュ処理によって全体的な処理時間が短縮され、1時間当たりの基板の数のスループットをより高くすることができるとともに所有コストを下げることができる。
一実施形態では、プロセスチャンバは、誘導結合プラズマ(ICP)装置、ラジアルラインスロットアンテナ(RLSA)装置又は電子サイクロトン共鳴(ECR)装置を含む電源を用いて生成される高密度プラズマを用いる。別の実施形態では、構造体の内側非変性部及びキャップ層を選択的に除去するステップは四フッ化炭素、酸素及びアルゴン又は六フッ化ケイ素、酸素及びヘリウムを用いるプラズマ化学(plasma chemistry)を用いて行われる。1つの用途では、基板は基板ストップ層を含み、該ストップ層は酸窒化ケイ素、窒化ケイ素又は窒化チタンを含む。本願発明者らは、工程11のパターン転写エッチング後に幅の範囲が1〜2nm、1〜7nm又は3〜5nmの構造体を得ることができた。これらの結果は現在のリソグラフパターニング法の能力を超えるものである。1つ以上の集積化操作変数の範囲としては、水素、酸素及び窒素の比の範囲が0/50/9000〜300/400/11000、プラズマチャンバの壁部が約80℃にセットされ、ウエハの温度を0〜200℃の範囲にセットすることができ、酸化膜層の厚さの範囲は0.01〜1.05nmであり、水蒸気の部分圧の範囲は0.10〜1.00Torrであり、酸化時間の範囲は0.02〜50時間であり、化学変性側壁層の厚さの範囲は9.0nm以下、7.0nm以下又は5nm以下のうちの1つである。
図1Bは、パターン密度が10nm未満の構造体を形成する集積化スキームを行うための別の例示の方法を説明する別のフローチャート20を図示する。図3Aの略図140を参照する工程21では、パターン層146を有する基板148がプロセスチャンバ(図示せず)内に提供される。パターン層146は少なくとも1つのマンドレル142を含み、マンドレル142は、自己整合ダブルパターニングを用いて作製されたフォトレジスト、有機平坦化スピンオン材料又は窒化ケイ素を含む。基板148は同じプロセスチャンバを用いて作られていてもよいし、外部ソースからプロセスチャンバ内に入れられてもよい。基板148のパターン層146はシリコンマンドレル又は4窒化ケイ素(Si3N4)であり得る。あるいは、パターン層146は有機誘電層(ODL)、窒化ケイ素、レジスト又はアモルファスカーボン層(APF)であり得る。基板148はSiON、SiN又はTiNのストップ層を含み得る。提供される基板148は極端紫外線(EUV)リソグラフ法又は自己整合ダブルパターニング(SADP)を用いて作製された基板148であり得る。
図3Bの略図160を参照する工程23では、パターン層164の上にコンフォーマルスペーサー層172が堆積される。コンフォーマルスペーサー層の堆積は当該技術分野で知られているため、ここでは説明を省略する。コンフォーマルスペーサー層172は化学気相蒸着(CVD)法、プラズマCVD(PECVD)法、原子層堆積(ALD)法、プラズマALD(PEALD)法、物理的気相蒸着(PVD)法、スパッタ法等を用いて堆積され、それに伴うプロセスは上記で図1Aに関連して説明されている。図3Cの略図180を参照する工程25では、シリコンエッチング化学を用いる一連のスペーサー反応性イオンエッチング(RIE)が基板186の上のパターン層184に対して行われる。エッチング剤としては臭化水素(HBr)、塩素(Cl2)、六フッ化硫黄(SF6)又は四フッ化炭素(CF4)を用いることができる。コンフォーマル層188が異方的にエッチングされて、コンフォーマル層がその上面からマンドレル190の上面に至るまで除去される。それに加えて、基板186の表面上のコンフォーマル層は基板186の表面と近接する。一連のスペーサーRIEのステップ及びスペックは図1Aに関連して説明したプロセスと同様であるため、ここではその説明の繰り返しを省略する。
図3Dの略図200を参照する工程27では、マンドレル208に用いられる材料に基づくストリッピング法を用いて、マンドレル208を基板206までエッチングする一連のマンドレル・プル・ストリップが行われ、一連のマンドレル・プル・ストリップによってキャップ層212及び側壁210を有するスペーサー202が生成される。一実施形態では、マンドレル208は有機誘電層又はアモルファスカーボン層であり、マンドレル208を引っ張るか又は剥がすのに(pull or strip)一連のインサイチュプラズマストリップが用いられる。別の実施形態では、マンドレル208は窒化ケイ素であり、マンドレル208のウェットベンチプル又はストリップ(wet bench pull or strip)は高温のリン酸を用いて行われる。
図3Eの略図220を参照する工程29では、側壁232を所定の深さまで化学変性するために側壁232が化学環境に晒され、基板230の化学変性側壁層224が形成される。化学環境238は酸素含有又は窒素含有環境であり得る。構造体222の側壁232を所定の深さdまで化学変性するために側壁232が化学環境に晒され、化学環境の成分を構造体222の晒された表面領域に導入するとともに、第3の組成の化学変性側壁層228を形成することにより行われる。化学環境238は酸素含有環境若しくは窒素含有環境又は他のドーパント含有環境であり得る。化学環境238が酸素含有環境を含む場合、その酸素含有環境は酸素、一酸化炭素、二酸化炭素及び/又は二酸化窒素を含む。そうではなくて、化学環境238が窒素含有環境を含む場合、その窒素含有環境は窒素、アンモニア及び/又はヒドラジンを含む。ドーパント含有環境の例としては、ボラン、ジボラン、ホスフィン及びアルシンが挙げられる。
図3Fの略図240を参照する工程31では、スペーサー254に近接する基板248の底部250及びキャップ層256が選択的に除去される。化学変性側壁層244を残すために、少なくとも1つのエッチングプロセスを用いて構造体242の内側非変性部258及びキャップ層256が選択的に除去される。少なくとも1つのエッチングプロセスはCxHyFz及びアルゴンを使用するエッチングを含み得る。例えば、SiN基板をエッチングする場合、CH3F/O2/Ar又はCH3F/O2/Heは酸化物に対して非常に選択的であることからそれらが用いられ得る。SiON基板をエッチングする場合、CO2又は他のフッ化炭素ガス等の添加物と共にCH3F/O2/Ar状態のバリエーションを用いることができる。TiN基板をエッチングする場合、Cl2ベースの化学物質を用いることができる。この工程はキャップ層256のブレイクスルーとしても知られている。
図3Gの略図260を参照する工程33では、化学変性層266の側壁264を残すために、少なくとも1つのエッチングプロセスを用いてスペーサー262の非変性部270を除去するように構成された一連のスペーサー・プル・エッチングが行われる。この特定の工程は、スペーサー・プルとしても知られている。このプロセスは当業者によく知られているため、ここではその詳細な説明を省略する。
図3Hの略図280を参照する工程35では、基板292の下位層290に化学変性側壁層286の一連のパターン転写エッチングが行われ、基板ストップ層288が残される。パターン転写エッチングによりエッチャントに直接晒された基板292の部分がエッチングされ、構造体282に保護された基板292の部分が残る。構造体282の上部におけるソリッドパターン284と比較して、パターン転写の間にエッチングされる構造体282の部分を構造体290の下部において別のドットパターン290で示す。パターン転写エッチングは当業者に知られているため、ここでは詳細には説明しない。本願発明者らは、限定されないが範囲が1〜9nmの構造体の厚さ294を得ることができた。
工程37では、構造体の1つ以上の目標限界寸法を得るために、選択された1つ以上の集積化操作変数が制御される。1つ以上の目標限界寸法は、構造体の幅、高さ、側壁の角度、ラインワイズラフネス又はラインエッジラフネスを含む。半導体用途に応じて、三次元限界寸法等の他の目標限界寸法を用いてもよい。上述したように、構造体の1つ以上の目標限界寸法を得るための選択された1つ以上の集積化操作変数の制御は、1つ以上の工程で、即ち、集積化スキームの工程23、25、27、29、31、33及び/又は35で行われ得る。
上述したように、1つの集積化操作変数のみが、例えば酸化プロセスの間の構造体上の酸化膜の厚さのみが選択され、その後、その酸化膜の厚さが酸化工程及び最後のパターン転写エッチングを含む全ての他の工程の間に制御される。別の実施形態では、2つの集積化操作変数が、例えばH2、O2及びN2のガス比並びに処理時間の長さが集積化プロセスのための1つ以上の集積化操作変数として選択される。この場合、ガス比及び処理時間の長さは、それらの制御が適用可能な全ての工程で制御される。幅、高さ、側壁の角度、ラインワイズラフネス、ラインエッジラフネス等を含む1つ以上の目標限界寸法を得るために、集積化操作変数を任意に選択したもの又はその組み合わせを用いて関連する集積化工程を制御することができる。
一般に、集積化プロセスのための1つ以上の集積化操作変数は処理時間、プロセスチャンバ内の圧力、使用する化学物質及び/又はガス、化学物質又はガスの流量、基板の温度、プラズマ出力、バイアスパワー、化学物質又はガスの部分圧、化学物質又はガスの他の化学物質又はガスに対する比率等を含む。集積化操作変数は各工程で異なってもよい。例えば、堆積ステップとエッチングステップとでは関連する集積化操作変数が異なる。1つ以上の集積化操作変数の範囲としては、水素、酸素及び窒素の比の範囲が0/50/9000〜300/400/11000であり、プロセスチャンバの温度の範囲は700〜1200℃であり、酸化膜層の厚さの範囲は0.01〜1.05nmであり、水蒸気の部分圧の範囲は0.10〜1.00Torrであり、酸化時間の範囲は0.02〜50時間であり、化学変性側壁層の厚さの範囲は9.0nm以下、7.0nm以下又は5nm以下のうちの1つである。
図4Aは、本発明の集積化スキームにおける一ステップの間の、熱酸化の処理の経過時間の関数として、またプロセスチャンバ内の化学環境の水素、酸素及び窒素の比の関数としての、基板上のパターン層における構造体の厚さ又は幅の例示のグラフ300である。H2/O2/N2の比が0/350/10000である曲線304の膜厚は1分後のポイントA1で0.95nm、5分後のポイントA2で1.03nm、10分後のポイントA3で1.06nmである。H2/O2/N2の比が100/400/9500である曲線308の膜厚は1分後のポイントB1で0.86nm、5分後のポイントB2で0.94nm、10分後のポイントB3で1.08nmである。H2/O2/N2の比が0/50/10000である曲線312の膜厚は1分後のポイントC1で0.85nm、5分後のポイントC2で0.93nm、10分後のポイントC3で0.96nmである。H2/O2/N2の比が300/200/9500である曲線316の膜厚は1分後のポイントD1で0.69nm、5分後のポイントD2で0.81nm、10分後のポイントD3で0.90nmである。4つの曲線は、水素及び窒素に対する酸素の比率が高いほど膜厚が大きくなり、時間の長さは20分未満であるものの、露出時間が長いほど膜厚が大きくなることを示す。図4Aのグラフにおける酸化のトレンドは、処理時間に対する厚さの感度を示す。水素が0である曲線304及び312は、酸化処理時間の10分目から20分目の間における酸化膜の厚さの変化がゆっくりであり、酸化膜の厚さの目標の制御が厳格であることを示す。曲線308及び316はより高いトレンド傾斜を示し、酸化膜の厚さの制御が比較的緩い状況を示す。
図4Bは、本発明の集積化スキームにおける一ステップの間の水蒸気の部分圧の関数としての基板上のパターン層における構造体の酸化膜の厚さの例示のグラフ320である。X軸はH2O(水蒸気)の気圧(Torr)であり、Y軸は、図1Aの工程5又は図1Bの工程29等の酸化工程の間の酸化膜の厚さである。対応する水蒸気圧の測定値の関数としての酸化膜の測定の集まりに基づけば、酸化膜の厚さと水蒸気の部分圧には直接的な(略線形の)相関関係がある。矢印324を参照して、水蒸気の部分圧が0.03Torrの場合には酸化膜の厚さの範囲は0.67〜0.93nmであり、矢印328では、水蒸気の部分圧が0.23Torrの場合には酸化膜の厚さの範囲は0.80〜0.94nmであり、矢印332では、水蒸気の部分圧が0.48Torrの場合には酸化膜の厚さの範囲は0.88〜1.03nmであり、矢印336では、水蒸気の部分圧が0.98Torrの場合には酸化膜の厚さの範囲は0.99〜1.01nmである。図4Bに示すトレンドは、正確な酸化膜の厚さ処理を規定するために図4Aの温度/処理時間のトレンドと共に用いることができる酸化剤の部分圧による酸化膜の厚さの制御を示す。これらの種類のデータの相関関係が、図5〜図11で説明する処理システムのコントローラ555に入力される。構造体の1つ以上の目標限界寸法を得るために、入力されたデータ及び過去のデータ(historical data)を図1Aの工程13又は図1Bの工程37で用いて、選択された1つ以上の集積化操作変数が制御される。
図4C1は、本発明の集積化スキームの酸化工程の間の酸化時間及び基板温度の関数としての酸化膜の厚さの例示のグラフ340である。X軸は酸化時間(時間)である一方、Y軸は酸化膜の厚さ(マイクロメートル(μm))である。曲線は異なる温度でのデータの値を表し、曲線344は1200℃、曲線348は1100℃、曲線352は1000℃、曲線356は920℃の値を表す。曲線は基本的には直線であり、0.02〜10.0時間の範囲において酸化膜の厚さと酸化時間との直接的な相関関係を示す。図4C1のグラフにおけるトレンドは、酸化温度及び時間に応じてどれだけの酸化膜の厚さの目標を実現できたかを示す。目標とする所定の酸化膜の厚さのために、図4Aの曲線304及び312の平坦なトレンド線が示すように、適切な酸化温度、酸化剤の部分圧及び時間を定義して酸化膜の厚さの精度を最適化することができる。
図4C2は、本発明の集積化スキームの一工程の間の酸化時間及び基板温度の関数としての酸化膜の厚さの別の例示のグラフ360である。X軸は酸化時間(時間)である一方、Y軸は酸化膜の厚さ(マイクロメートル(μm))である。曲線は異なる温度でのデータの値を表し、曲線364は1200℃、曲線368は1100℃、曲線372は1000℃、曲線376は900℃、曲線380は800℃、曲線384は700℃の値を表す。曲線は基本的には直線であり、0.2〜100.0時間の範囲において酸化膜の厚さと酸化時間との直接的な相関関係を示す。異なる種類の半導体用途のために、本発明の集積化スキームの様々な工程を制御するのに用いられるコントローラに保存されるデータに図4C1及び図4C2からのデータの関係が統合される。これらの種類のデータの相関関係が、図5〜図11で説明する処理システムのコントローラ555に入力される。構造体の1つ以上の目標限界寸法を得るために、入力されたデータ及び過去のデータ(historical data)を図1Aの工程13又は図1Bの工程37で用いて、選択された1つ以上の集積化操作変数が制御される。
図4Dは、本発明の集積化スキームにおけるマンドレル・プル工程の間の処理の相対時間に対する発光分光分析(OES)信号の強度の例示のグラフ400を含む。X軸は集積化スキームの開始からの相対時間を示すのに対して、Y軸は図1Aの工程9又は図1Bの工程33等のマンドレル・プル工程のためのOESの強度の測定値を示す。曲線404はテストIの場合の相対時間及びOES測定値に対応する。テストIでは変性層の限界寸法は8.0nmであり、変性層の高さは50nmであり、酸化膜への凹み(recess into oxide)は15.0nmであり、ポイントAはOES測定値の低測定値から高測定値への遷移である。曲線408はテストIIの場合の相対時間及びOES測定値に対応する。テストIIでは変性層の限界寸法は9.5nmであり、変性層の高さは66nmであり、酸化膜への凹みは15.0nmであり、ポイントBはOES測定値の低測定値から高測定値への遷移である。曲線412はテストIIIの場合の相対時間及びOES測定値に対応する。テストIIIでは変性層の限界寸法は10.8nmであり、変性層の高さは78nmであり、酸化膜への凹みは6.2nmであり、ポイントCはOES測定値の低測定値から高測定値への遷移である。曲線416はテストIVの場合の相対時間及びOES測定値に対応する。テストIVでは変性層の限界寸法は8.0nmであり、変性層の高さは87nmであり、酸化膜への凹みは6.6nmであり、ポイントDはOES測定値の低測定値から高測定値への遷移である。
別の実施形態では、エッチングステップの完了がOES測定値の低強度から高強度への遷移によって示され得る。テストI及びIIでは共に10秒のブレイクスルー工程(10-second breakthrough operation)が用いられ、テストIでは85秒のマンドレル・プル工程(85-second mandrel-pull operation)が用いられ、試験IIでは50秒のマンドレル・プル工程が用いられた。テストIII及びIVでは共に5秒のブレイクスルー工程が用いられたが、テストIIIでは60秒のマンドレル・プル工程が用いられ、テストIVでは低減ピークツーピーク電圧マンドレル・プル工程が用いられた。テストI及びIIでは変性層の高さの維持及び酸化膜への凹みが良好であった。テストIVでは変性層高さの改善が示されたが、ラインワイズラフネス及びラインエッジラフネスの改善は示されなかった。上述したように、これらの種類のデータの相関関係が、図5〜図11で説明する処理システムのコントローラ555に入力される。変性層の限界寸法、層の高さ、酸化膜への凹み、ラインワイズラフネス及び/又はラインエッジラフネスといった構造体の1つ以上の目標限界寸法を得るために、入力されたデータ及び過去のデータを図1Aの工程13又は図1Bの工程37で用いて、選択された1つ以上の集積化操作変数が制御される。
上述した、サブ10nmパターニングを実現するために材料プロセシングを行うための方法のうちの1つ以上は、後で説明する図5〜図11に記載のもの等の処理システムを利用して行ってもよい。しかしながら、説明した方法の範囲はこの説明した例示のものに限定されない。より高い密度を得るために材料プロセシングを行うための他の方法も用いることができる。
一実施形態によれば、上記の処理条件を行うように構成されたプラズマ処理システム500を図5に示す。プラズマ処理システム500はプラズマ処理チャンバ510、処理すべき基板525が取り付けられる基板ホルダー520及び真空ポンプシステム550を含む。基板525は半導体基板、ウエハ、フラットパネルディスプレイ又は液晶ディスプレイであり得る。プラズマ処理チャンバ510は、基板525の表面の近傍のプラズマ処理領域545においてプラズマの生成を促進するにように構成することができる。ガス分配システム540を通じてイオン化ガス又はプロセスガスの混合物が導入される。所定のプロセスガスの流れのために、真空ポンプシステム550を用いて処理圧が調整される。所定の材料プロセスに固有の材料を作るために及び/又は基板525の露出面から材料を除去するのにプラズマを用いることができる。プラズマ処理システム500は、200mm基板、300mm基板又はそれ以上の基板等の任意の所望の大きさの基板を処理するように構成することができる。
基板525は、機械式クランプシステム又は電気式クランプシステム(例えば静電クランプシステム)等のクランプシステム528を通じて基板ホルダー520に取り付け可能である。さらに、基板ホルダー520は、基板ホルダー520及び基板525の温度を調整及び/又は制御するように構成された加熱システム(図示せず)又は冷却システム(図示せず)を含むことができる。加熱システム又は冷却システムは、冷却を行う場合には基板ホルダー520から熱を受け取り、その熱を熱交換システム(図示せず)に伝達し、加熱を行う場合には熱交換システムから基板ホルダー520に熱を伝達する伝熱流体の再循環フローを含み得る。他の実施形態では、加熱抵抗素子又は熱電ヒーター/クーラー等の加熱/冷却素子を、基板ホルダー520のみならずプラズマ処理チャンバ510のチャンバ壁やプラズマ処理システム500内の任意の他の構成要素に含めることができる。
それに加えて、基板525と基板ホルダー520との間のガスギャップ熱伝導を改善するために、背面ガス供給システム526を通じて基板525の背面に伝熱ガスを送ることができる。そのようなシステムは、基板の温度を高温又は低温に制御する必要がある場合に用いることができる。例えば、背面ガス供給システムは2区域(two-zone)ガス分配システムを含み、基板525の中央と端部とで独立してヘリウムのガスギャップ圧を変化させることができる。
図5に示す実施形態では、基板ホルダー520は電極522を含むことができる。電極522を通じて、RF電力がプラズマ処理領域545内の処理プラズマに連結する。例えば、任意のインピーダンス整合ネットワーク532を通じてRF電源530から基板ホルダー520にRF電力を送ることにより、基板ホルダー520をRF電圧で電気的にバイアスさせることができる。RF電気バイアスは電子を加熱してプラズマを形成及び維持する役割を果たす。この構成では、プラズマ処理システムは反応性イオンエッチング(RIE)反応器として動作することができ、チャンバ及び上部ガス注入電極が接地面(ground surfaces)として機能する。RFバイアスの一般的な周波数の範囲は約0.1MHz〜約100MHzである。プラズマ処理のためのRFシステムは当業者によく知らている。
さらに、パルスバイアス信号コントローラ531を用いて、RF電圧での電極522の電気バイアスをパルス化してもよい。RF電源530から出力されるRF電力は、例えばオン状態及びオフ状態の間でパルス化され得る。
あるいは、RF電力が複数の周波数で基板ホルダーの電極に印加される。さらに、インピーダンス整合ネットワーク532は、プラズマ処理チャンバ510内のプラズマへのRF電力の伝達を反射電力の低減により改善できる。整合ネットワークのトポロジー(例えば、L型、π型、T型等)及び自動制御方法は当業者によく知られている。
ガス分配システム540はプロセスガスの混合物を導入するためのシャワーヘッドデザインを含み得る。あるいは、ガス分配システム540はプロセスガスの混合物を導入するとともに基板525の上へのプロセスガスの混合物の分配を調整するためのマルチゾーンシャワーヘッドデザインを含み得る。例えば、マルチゾーンシャワーヘッドデザインは、基板525の上の略中央領域へのプロセスガスの流れ又は組成の量に対して、基板525の上の略周辺領域へのプロセスガスの流れ又は組成を調整する。
真空ポンプシステム550は、最大で毎秒約5000リットル(以上)のポンプ速度が可能なターボ分子真空ポンプ(TMP)と、チャンバ圧を調整するためのゲートバルブとを含むことができる。ドライプラズマエッチングのために用いられる従来のプラズマ処理装置では、毎秒1000〜3000リットルのTMPを用いることができる。TMPは通常約50mTorr未満の低圧処理に有用である。高圧処理(即ち、約100mTorrよりも高い)にはメカニカルブースターポンプ及びドライ粗引きポンプを用いることができる。さらに、チャンバ圧をモニタリングするための装置(図示せず)をプラズマ処理チャンバ510に連結することができる。
コントローラ555はマイクロプロセッサと、メモリと、プラズマ処理システム500への入力を伝達及び作動させることに加えて、プラズマ処理システム500からの出力をモニタリングするのに十分な制御電圧を生成可能なデジタルI/Oポートとを含む。さらに、コントローラ555は、RF電源530、パルスバイアス信号コントローラ531、インピーダンス整合ネットワーク532、ガス分配システム540、真空ポンプシステム550に加えて基板加熱/冷却システム(図示せず)、背面ガス供給システム526及び/又は静電クランプシステム528に連結して、それらと情報のやり取りを行うことができる。例えは、プラズマエッチング処理等のプラズマ援用プロセスを基板525に対して行うために、メモリに記憶したプログラムを用いてプロセスレシピに従ってプラズマ処理システム500の上述の構成要素への入力を作動させることができる。
コントローラ555はプラズマ処理システム500に対して近くに(locally)位置していてもよいし、プラズマ処理システム500に対して離れて位置していてもよい。例えば、コントローラ555は、直接接続、イントラネット及び/又はインターネットを用いてプラズマ処理システム500とデータのやりとりを行うことができる。コントローラ555は、例えば顧客サイト(即ち、装置メーカー等)におけるイントラネットに連結することもできるし、ベンダーサイト(即ち、機器製造業者)においてイントラネットに連結することもできる。あるいは又はそれに加えて、コントローラ555はインターネットに連結することができる。さらに、別のコンピュータ(即ち、コントローラ、サーバー等)がデータのやりとりを行うために直接接続、イントラネット及び/又はインターネットを通じてコントローラ555にアクセスすることができる。
図6に示す実施形態において、プラズマ処理システム600は図5の実施形態と同様であり得るが、図5を参照して説明した構成要素に加えて、潜在的にプラズマ密度を高めるために及び/又はプラズマ処理の均一性を向上させるために固定式の又は機械若しくは電気回転式の磁界システム660をさらに含むことができる。さらに、コントローラ555を磁界システム660に連結して、回転速度及び磁界強度を調整することができる。回転磁界の設計及び実施は当業者によく知られている。
図7に示す実施形態において、プラズマ処理システム700は図5又は図6の実施形態と同様であり得るが、任意のインピーダンス整合ネットワーク774を通じてRF電源772からRF電力が連結可能な上部電極770をさらに含むことができる。上部電極にRF電力を印加するための周波数の範囲は約0.1MHz〜約200MHzであり得る。それに加えて、下部電極に電力を印加するための周波数の範囲は約0.1MHz〜約100MHzであり得る。さらに、上部電極770へのRF電力の印加を制御するために、コントローラ555はRF電源772及びインピーダンス整合ネットワーク774に連結されている。上部電極のデザイン及び実施は当業者によく知られている。上部電極770及びガス分配システム540は、図示のように同じチャンバアセンブリ内に設計することができる。あるいは、上部電極770は、基板525の上のプラズマに連結されるRF電力分布を調整するためにマルチゾーン電極デザインを含み得る。例えば、上部電極770は中央電極及び端部電極に区分化されてもよい。
図8に示す実施形態において、プラズマ処理システム800は図7の実施形態と同様であり得るが、基板525に対向する上部電極770に連結される直流(DC)電源890をさらに含み得る。上部電極770は電極板を含み得る。電極板はシリコン含有電極板を含み得る。さらに、電極板はドープシリコン電極板を含み得る。DC電源890は可変DC電源を含み得る。それに加えて、DC電源890はバイポーラDC電源を含み得る。DC電源890は、DC電源890の極性、電流、電圧又はオン/オフ状態を観察すること、調整すること又は制御することのうちの少なくとも1つを行うように構成されたシステムをさらに含み得る。プラズマが形成されると、DC電源890は弾道電子ビームの形成を促進する。電子フィルター(図示せず)を用いてRF電力をDC電源890から分離してもよい。
例えば、DC電源890により上部電極770に印加されるDC電圧の範囲は約−2000ボルト(V)〜約1000Vであり得る。DC電圧の絶対値は約100V以上であることが望ましく、DC電圧の絶対値は約500V以上であることがさらに望ましい。それに加えて、DC電圧が負の極性を有することが望ましい。さらに、DC電圧は、上部電極770の表面で生成される自己バイアス電圧よりも絶対値が大きい負の電圧であることが望ましい。基板ホルダー520に対向する上部電極770の表面はシリコン含有材料で構成され得る。
図9に示す実施形態において、プラズマ処理システム900は図5及び図6の実施形態と同様であり得るが、任意のインピーダンス整合ネットワーク984を通じてRF電源982を介してRF電力が連結する誘導コイル980をさらに含み得る。RF電力は誘電体窓(図示せず)を通じて誘導コイル980からプラズマ処理領域545に誘導連結される。誘導コイル980にRF電力を印加するための周波数の範囲は約10MHz〜約100MHzであり得る。同様に、チャック電極に電力を印加するための周波数の範囲は約0.1MHz〜約100MHzであり得る。それに加えて、スロット付きファラデイシールド(図示せず)を用いて誘導コイル980とプラズマ処理領域545内のプラズマとの容量連結を低減することができる。さらに、誘導コイル980への電力の印加を制御するために、コントローラ555をRF電源982及びインピーダンス整合ネットワーク984に連結することができる。
図10に示すように、代替的な実施形態において、プラズマ処理システム1000は図9の実施形態と同様であり得るが、誘導コイル1080をさらに含み得る。誘導コイル1080は、トランス結合プラズマ(TCP)反応器のように、上からプラズマ処理領域545と連通した「スパイラル」コイル又は「パンケーキ」コイルである。誘導結合プラズマ(ICP)源又はトランス結合プラズマ(TCP)源の設計及び実施は当業者によく知られている。
あるいは、電子サイクロトロン共鳴(ECR)を用いてプラズマを形成することができる。さらに別の実施形態では、プラズマはヘリコン波を発射することで形成される。さらに別の実施形態では、プラズマは伝搬表面波から形成される。上記の各プラズマ源は当業者によく知られている。
図11に示す実施形態において、プラズマ処理システム1100は図5の実施形態と同様であり得るが、表面波プラズマ(SWP)源1130をさらに含み得る。SWP源1130は、電力連結システム1190を介してマイクロ波電力が連結されるラジアルラインスロットアンテナ(RLSA)等のスロットアンテナを含み得る。
ここで図12を参照して、一実施形態に係るSWP源1230の概略図を示す。SWP源1230は電磁(EM)波放射部(launcher)1232を含む。EM波放射部1232は、プラズマの近傍で、EM波放射部1232のプラズマ表面1260上で表面波を生成することにより、所望のEM波モードのEMエネルギーをプラズマに結合するように構成されている。さらに、SWP源1230はEM波放射部1232に結合されるとともに、プラズマを形成するためにEM波放射部1232にEMエネルギーを提供するように構成された電力連結システム1290を含む。
EM波放射部1232は、プラズマ処理領域545(図11参照)内にマイクロ波電力を放射するように構成されたマイクロ波放射部を含む。EM波放射部1232は、同軸フィード1238を通じて電力連結システム1290に連結されている。マイクロ波エネルギーは同軸フィード1238を通って移送される。電力連結システム1290は、2.45GHzマイクロ波電源等のマイクロ波源1292を含む。マイクロ波源1292によって生成されるマイクロ波エネルギーは導波路1294を通って、反射によってマイクロ波源1292へと戻されるマイクロ波エネルギーを吸収するためのアイソレータ1296に導かれる。その後、マイクロ波エネルギーは、同軸変換器1298を通じて同軸TEM(transverse electromagnetic)モードに変換される。
インピーダンスの整合及び電力伝送の改善ためにチューナーを用いてもよい。マイクロ波エネルギーは同軸フィード1238を通じてEM波放射部1232に連結されている。同軸フィード1238内のTEMモードからTM(transverse magnetic)モードへと別のモード変化が起こる。同軸フィード1238及びEM波放射部1232の設計に関するさらなる詳細は、「エッチング、アッシング及びフィルム形成のためのプラズマ処理装置」と題する特許文献1に記載されている。特許文献1の内容は参照により本願に全体的に組み込まれる。
ここで図13A及び図13Bを参照して、一実施形態に係るEM波放射部1322の概略断面図及び底面図をそれぞれ示す。EM波放射部1332は、内側導体1340、外側導体1342及び空隙等の絶縁体1341を有する同軸フィード1338と、図13Aに示すように内側導体1340及び外側導体1342の間に結合された複数のスロット1348を有するスロットアンテナ1346とを含む。複数のスロット1348は、スロットアンテナ1346の上の第1の領域からスロットアンテナ1346の下の第2の領域にEMエネルギーを連結できるようにする。EM波放射部1332のプラズマ面1360の近傍でプラズマが形成される。EM波放射部1332は遅波板1344及び共振器板1350をさらに含み得る。
スロット1348の数、形状、サイズ及び分布の全ては、プラズマ処理領域545(図11参照)において形成されるプラズマの空間均一性に寄与し得る要因である。そのため、スロットアンテナ1346の設計を用いて、プラズマ処理領域545(図11参照)内のプラズマの空間均一性を制御してもよい。
図13Aに示すように、EM波放射部1332は、EM波放射部1332の温度制御のための温度制御流体が流れるように構成された流体流路1356を含み得る。図示していないが、EM波放射部1332はさらに、プラズマ面1360を通じてプラズマにプロセスガスを導入するように構成され得る。図示していないが、プロセスチャンバにプロセスガスを導入するために、図11のガス分配システム(540)等のガス分配システムをEM波放射部1332及び/又はチャンバ壁部1352に連結してもよい。
図13Aを参照して、EM波放射部1332はプラズマ処理システムの上側チャンバ部に連結され得る。密閉装置1354を用いて、上側チャンバ壁部1352とEM波放射部1332との間に真空シールを形成することができる。密閉装置1354はエラストマーOリングを含むことができるが、他の既知の密閉機構を用いてもよい。
一般に、同軸フィード1338の内側導体1340及び外側導体1342は金属等の導電性材料を含むのに対して、遅波板1344及び共振器板1350は誘電材料を含む。後者において、遅波板1344及び共振器板1350は同じ材料を含むことが好ましいが、異なる材料を用いてもよい。遅波板1344の製造のために選択される材料は、対応する自由空間波長に対する伝搬電磁(EM)波の波長が低減されるように選択され、遅波板1344及び共振器板1350の寸法は、プラズマ処理領域545(図11参照)内にEMエネルギーを放射するのに効果的な定在波の形成が確かなものになるように選択される。
遅波板1344及び共振器板1350は、石英(二酸化ケイ素)等のシリコン含有材料又は高誘電率(high−k)材料を含む誘電材料から作製することができる。例えば、high−k材料の誘電率は4より大きくてもよい。具体的には、エッチング処理用途のためにプラズマ処理システムを用いる場合、エッチング処理との互換性から石英が選択されることが多い。
例えば、high−k材料は固有結晶シリコン、アルミナセラミック、窒化アルミニウム及びサファイアを含み得る。しかしながら、他のhigh−k材料を用いてもよい。さらに、特定のプロセスのパラメータに従って特定のhigh−k材料を選択してもよい。例えば、固有結晶シリコンから共振器板1350が作製される場合、プラズマ周波数は45℃で2.45GHzを越える。従って、低温プロセス(即ち45℃未満)には固有結晶シリコンが適している。高温プロセスの場合、共振器板1350はアルミナ(Al)又はサファイアから作製できる。
上述したように、SWP源の実用的な実施にとって、プラズマの均一性及びプラズマの安定性は課題であり得る。後者の場合、共振器板とプラズマとの界面、即ちプラズマ面1360における定在波は、プラズマパラメータの遷移に伴ってモードジャンプし易い。
図13A及び図13Bに示すように、一実施形態によれば、EM波放射部1332は、プラズマ面1360に形成された第1の凹部構成(recess configuration)1362と、プラズマ面1360に形成された任意の第2の凹部構成1364とを有するように作製され得る。
第1の凹部構成1362は第1の複数の凹部を含み得る。第1の凹部構成1362の各凹部はプラズマ面1360内に形成された固有のへこみ又は窪みを含み得る。例えば、第1の凹部構成1362の凹部は円筒形状、円錐形状、円錐台形状、球形状、非球形状、矩形状、ピラミッド形状又は任意の形状を含み得る。第1の凹部構成1362は、第1のサイズ(例えば、緯度寸法(又は幅)及び/又は経度寸法(又は深さ))により特徴付けられる凹部を含み得る。
第2の凹部構成1364は複数の凹部を含み得る。第2の凹部構成1364の各凹部はプラズマ面1360内に形成された固有のへこみ又は窪みを含み得る。例えば、第2の凹部構成1364の凹部は円筒形状、円錐形状、円錐台形状、球形状、非球形状、矩形状、ピラミッド形状又は任意の形状を含み得る。第2の凹部構成1364は、第2のサイズ(例えば、緯度寸法(又は幅)及び/又は経度寸法(又は深さ))により特徴付けられる凹部を含み得る。第1の凹部構成1362の凹部の第1のサイズは第2の凹部構成1364の凹部の第2のサイズと同じであってもよいし異なっていてもよい。
図13A及び図13Bに示すように、共振器板1350は板の直径及び板の厚さを有する誘電板を含む。共振器板1350上のプラズマ面1360は平面1366を含み、平面1366内に第1の凹部構成1362及び第2の凹部構成1364が形成されている。あるいは、共振器板13650は非平面形状又は任意の形状を含む。その場合、プラズマ面1360は非平面を含み、その非平面内に第1の凹部構成及び第2の凹部構成が形成されている(図示せず)。例えば、非平面は凹面、凸面又はその組み合わせであり得る。
共振器板1350内でのEMエネルギーの伝搬は、EMエネルギーの所定の周波数のための有効波長(λ)及び共振器板1350のための誘電率によって特徴付けられ得る。共振器板の厚さは1/4波長の整数倍(integer number)(nλ/4、ただしnは0より大きい整数)又は1/2波長の整数倍(mλ/2、ただしmは0より大きい整数)であり得る。例えば、共振器板の厚さは有効波長の約半分(λ/2)であるか又は有効波長の半分よりも大きい(>λ/2)。あるいは、共振器板の厚さは有効波長の非整数分数(non-integral fraction)であり得る(即ち、1/2又は1/4波長の整数倍ではない)。あるいは、板の厚さの範囲は約25mm(ミリメートル)〜約45mmであり得る。
一例として、第1の凹部構成1362は第1の複数の円筒状凹部を含み、第1の複数の円筒状凹部のそれぞれは第1の深さ及び第1の直径により特徴付けられる。図13Bに示すように、第1の凹部構成1362はプラズマ面1360の外側領域の近くに位置している。
第1の直径は1/4波長の整数倍(nλ/4、ただしnは0より大きい整数)若しくは1/2波長の整数倍(mλ/2、ただしmは0より大きい整数)又は有効波長の非整数分数であり得る。それに加えて、板の厚さと第1の深さとの間の第1の差は1/4波長の整数倍(nλ/4、ただしnは0より大きい整数)若しくは1/2波長の整数倍(mλ/2、ただしmは0より大きい整数)又は有効波長の非整数分数であり得る。例えば、第1の直径は有効波長の約半分(λ/2)であり、板の厚さと第1の深さとの間の第1の差は有効波長の約半分(λ/2)又は有効波長の約1/4(λ/4)であり得る。それに加えて、例えば、板の厚さは有効波長の約半分(λ/2)又は有効波長の半分よりも大きい(>λ/2)。
あるいは、第1の直径の範囲は約25mm〜約35mmであり、板の厚さと第1の深さとの間の第1の差の範囲は約10mm〜約35mmであり得る。あるいは、第1の直径の範囲は約30mm〜約35mmであり、第1の差の範囲は約10mm〜約20mmであり得る。あるいは、第1の直径及び/又は第1の深さは板の厚さの分数であり得る。
第1の凹部構成1362において、面取り、丸み及び/又はフィレット(即ち面/コーナー半径又は斜面)を用いて、隣接する面の間で面の遷移が滑らかになるようにしてもよい。円筒状の凹部では、面半径(surface radius)は円筒側壁と凹部の底部との間の角に配置され得る。それに加えて、円筒状の凹部では、面半径は円筒側壁とプラズマ面1360との間の角に配置され得る。例えば、面半径の範囲は約1mm〜約3mmであり得る。
別の例として、第2の凹部構成1364は第2の複数の円筒状の凹部を含み、第2の複数の円筒状の凹部のそれぞれは第2の深さ及び第2の直径により特徴付けられる。図13Bに示すように、第2の凹部構成1364はプラズマ面1360の内側領域の近くに位置している。
第2の直径は1/4波長の整数倍(nλ/4、ただしnは0より大きい整数)若しくは1/2波長の整数倍(mλ/2、ただしmは0より大きい整数)又は有効波長の非整数分数であり得る。それに加えて、板の厚さと第2の深さとの間の第2の差は1/4波長の整数(nλ/4、ただしnは0より大きい整数)若しくは1/2波長の整数(mλ/2、ただしmは0より大きい整数)又は有効波長の非整数分数であり得る。例えば、第2の直径は有効波長の約半分(λ/2)であり、板の厚さと第2の深さとの間の第2の差は有効波長の約半分(λ/2)又は有効波長の約1/4(λ/4)であり得る。それに加えて、例えば、板の厚さは有効波長の約半分(λ/2)又は有効波長の半分よりも大きい(>λ/2)。
あるいは、第2の直径の範囲は約25mm〜約35mmであり、板の厚さと第2の深さとの間の第2の差の範囲は約10mm〜約35mmであり得る。あるいは、第2の直径の範囲は約30mm〜約35mmであり、第2の差の範囲は約10mm〜約20mmであり得る。あるいは、第2の直径及び/又は第2の深さは板の厚さの分数であり得る。
第2の凹部構成1364において、面取り、丸み及び/又はフィレット(即ち面/コーナー半径又は斜面)を用いて、隣接する面の間で面の遷移が滑らかになるようにしてもよい。円筒状の凹部では、面半径は円筒側壁と凹部の底部との間の角に配置され得る。それに加えて、円筒状の凹部では、面半径は円筒側壁とプラズマ面1360との間の角に配置され得る。例えば、面半径の範囲は約1mm〜約3mmであり得る。
再び図13Bを参照して、図13Aに図示のEM波放射部1322の底面図を示す。共振器板1350からスロットアンテナ1346が透けて見えるようにスロットアンテナ1346の複数のスロット1348を図示する。図13Bに示すように、複数のスロット1348は対で配置してもよく、各対のスロットは第1のスロットを含み、第1のスロットは第2のスロットに対して直角に方向付けられている。しかしながら、複数のスロット1348のスロットの向きは任意である。例えば、複数のスロット1348のスロットの向きはプラズマの均一性及び/又はプラズマの安定性のための所定のパターンに従ったものでもよい。
第1の凹部構成1362は、複数のスロット1348の第1のスロット配列と実質的に整合している。第1の凹部構成1362のうちの少なくとも1つの凹部は複数のスロット1348のうちの1つ以上と整合しているか、部分的に整合しているか又は整合していない。第2の凹部構成1364は複数のスロット1348の第2のスロット配列と部分的に整合しているか又は整合していない。図13Bに示すように、第2の凹部構成1364は複数のスロット1348の第2のスロット構成と整合していない。
その結果、第1の凹部構成1362及び第2の凹部構成1364の配列及び複数のスロット1348のうちの1つ以上との整合を最適化して、プラズマの均一性及び/又は安定性を制御及び/又は改善してもよい。プラズマ面1360及びEM波放射部の設計に関するさらなる詳細は、「安定した表面波プラズマソース」と題する、2009年9月8日出願の特許文献2に記載されている。特許文献2の内容は参照により本願に全体的に組み込まれる。
上記では、本発明の特定の実施形態のみを詳細に説明したが、当業者であれば、本発明の新規な教示及び利点から物理的に逸脱することなく実施形態に多くの変更を加えることができるのが容易に分かる。例えば、下は1〜2nmで上は6〜7nmの大きさのパターンフィーチャーを得るために、説明した実施形態の範囲を越えて、EUVプロセスと組み合わされるか又は組み合わされないクアッドパターニング又はオクトパターニングを集積化スキームに含めることができる。従って、そのような変更の全ては本発明の範囲に含むことを意図している。
1 フローチャート
3 工程
5 工程
7 工程
9 工程
11 工程
13 工程
20 フローチャート
21 工程
23 工程
27 工程
29 工程
31 工程
33 工程
35 工程
37 工程
40 略図
41 構造体
43 キャップ層
45 パターン層
47 非パターン層
49 側壁
51 基板
53 ストップ層
60 略図
62 構造体
66 キャップ層
68 側壁
70 化学環境
72 基板
80 略図
84 構造体
88 化学変性側壁層
92 基板
96 内側非変性部

Claims (20)

  1. 集積化スキームを用いて、基板上の構造体のパターン密度を高めるための方法であって、該集積化スキームは1つ以上の集積化操作変数を有し、当該方法は、
    プロセスチャンバ内に、第1の組成のパターン層を有する基板を提供するステップであって、該パターン層は少なくとも1つの構造体を含み、該構造体の上には第2の組成のキャップ層と側壁とが形成されている、ステップと、
    前記構造体の側壁を所定の深さまで化学変性するために、化学環境に前記側壁を晒すステップであって、該化学環境の成分を前記構造体の露出表面領域に導入するとともに第3の組成の化学変性側壁層を形成することにより行われる、ステップと、
    前記化学変性側壁層を残すために、少なくとも1つのエッチングプロセスを用いて前記構造体のキャップ層と内側非変性部とを選択的に除去するステップと、
    前記少なくとも1つのエッチングプロセスで用いられる一連のエッチング剤に対する前記化学変性側壁層のエッチング耐性を変性する成分が含まれるように前記化学環境を選択するステップであって、前記第3の組成は前記第1の組成よりも前記一連のエッチング剤に対する耐性が高い、ステップと、
    前記基板の下位層に前記化学変性側壁層のパターン転写エッチングを行うステップと、
    を含み、
    前記1つ以上の集積化操作変数は、水素、酸素及び窒素の比、前記プロセスチャンバの温度、前記化学変性側壁層の厚さ、水蒸気の部分圧並びに酸化時間を含む、方法。
  2. 前記構造体の1つ以上の目標限界寸法(CD)を得るために、選択された1つ以上の集積化操作変数を制御するステップをさらに含み、該1つ以上の目標限界寸法は前記構造体の幅、高さ及び/又はラインエッジラフネスを含む、請求項1に記載の方法。
  3. 前記基板を提供するステップは、リソグラフ法、自己整合ダブルパターニング(SADP)又は自己組織化(DSA)を用いて作製された基板を提供することを含む、請求項2に記載の方法。
  4. 前記側壁を晒すステップ、前記構造体のキャップ層と内側非変性部とを選択的に除去するステップ及び前記基板の下位層に前記層のパターン転写エッチングを行うステップの全てが前記プロセスチャンバ内でインサイチュで行われる、請求項3に記載の方法。
  5. 前記プロセスチャンバは、誘導結合プラズマ(ICP)装置、ラジアルラインスロットアンテナ(RLSA)装置又は電子サイクロトン共鳴(ECR)装置を含む電源を用いて生成される高密度プラズマを用いる、請求項4に記載の方法。
  6. 前記構造体のキャップ層と内側非変性部とを選択的に除去するステップでは、四フッ化炭素、酸素及びアルゴン又は六フッ化ケイ素、酸素及びヘリウムを用いるプラズマ化学が用いられる及び/又は
    前記基板はストップ層を含み、該ストップ層は酸窒化ケイ素、窒化ケイ素又は窒化チタンを含む、請求項4に記載の方法。
  7. 前記化学環境は酸素含有環境若しくは窒素含有環境又はドーパント含有環境を含み、
    前記化学環境が酸素含有環境を含む場合、該酸素含有環境は酸素、一酸化炭素、二酸化炭素及び/又は二酸化窒素を含み、又は
    前記化学環境が窒素含有環境を含む場合、該窒素含有環境は窒素、アンモニア及び/又はヒドラジンを含み、又は
    前記化学環境がドーパント含有環境を含む場合、該ドーパント含有環境はボラン、ジボラン、ホスフィン及び/又はアリシンを含む、請求項4に記載の方法。
  8. 前記水素、酸素及び窒素の比の範囲は0/50/9000〜300/400/11000であり及び/又は前記プロセスチャンバの温度の範囲は700〜1200℃である、請求項7に記載の方法。
  9. 前記酸化膜層の厚さの範囲は0.01〜1.05nmであり、前記水蒸気の部分圧の範囲は0.10〜1.00Torrであり及び/又は前記酸化時間の範囲は0.02〜50時間である、請求項7に記載の方法。
  10. 前記化学変性側壁層の厚さは9.0nm以下、7.0nm以下又は5nm以下のうちの1つである、請求項7に記載の方法。
  11. 集積化スキームを用いて、基板上の構造体のパターン密度を高めるための方法であって、該集積化スキームは1つ以上の集積化操作変数を有し、当該方法は、
    プロセスチャンバ内に、パターン層を有する基板を提供するステップであって、該パターン層は少なくとも1つのマンドレルを含み、該マンドレルは自己整合ダブルパターニングスキームを用いて作製されたフォトレジスト、有機平坦化スピンオン材料又は窒化ケイ素を含む、ステップと、
    前記パターン層の上にコンフォーマルスペーサー層を堆積するステップと、
    シリコンエッチング化学を用いて一連のスペーサー反応性イオンエッチング(RIE)を行うステップと、
    前記マンドレルに用いられる材料に基づくストリッピング法を用いて一連のマンドレル・プル・ストリップを行うステップであって、該一連のマンドレル・プル・ストリップによって側壁及びキャップ層を有するスペーサーが形成される、ステップと、
    前記側壁を所定の深さまで化学変性するために、化学環境に前記側壁を晒すステップであって、該ステップにより化学変性側壁層が形成される、ステップと、
    前記スペーサーの近傍の前記基板の底部と、前記キャップ層とを選択的に除去するステップと、
    前記化学変性側壁層を残すために、少なくとも1つのエッチングプロセスを用いて前記スペーサーの非変性部を除去するように構成された一連のスペーサー・プル・エッチングを行うステップと、
    前記基板の下位層に前記化学変性側壁層の一連のパターン転写エッチングを行うステップと、
    を含み、
    前記1つ以上の集積化操作変数は、水素、酸素及び窒素の比、前記プロセスチャンバの温度、前記化学変性側壁層の厚さ、水蒸気の部分圧、前記酸化膜層の厚さ並びに酸化時間を含む、方法。
  12. 前記構造体の1つ以上の目標限界寸法を得るために、選択された1つ以上の集積化操作変数を制御するステップをさらに含み、該1つ以上の目標限界寸法は前記構造体の幅、高さ又はラインエッジラフネスを含む、請求項11に記載の方法。
  13. 前記コンフォーマルスペーサー層を堆積するステップ、前記側壁を晒すステップ、前記一連のマンドレル・プル・ストリップを行うステップ、前記キャップ層を選択的に除去するステップ、前記一連のスペーサー・プル・エッチングを行うステップ及び前記基板の下位層に前記層のパターン転写エッチングを行うステップの全てが前記プロセスチャンバ内でインサイチュで行われる、請求項12に記載の方法。
  14. 前記マンドレルに用いられる材料に基づくストリッピング法を用いて一連のマンドレル・プル・ストリップを行うステップでは、前記マンドレルが有機材料で構成されている場合はインサイチュプラズマストリップが用いられ、前記マンドレルが窒化ケイ素で構成される場合には高温のリン酸を用いるウェットストリップが用いられ、及び/又は
    前記プラズマチャンバは、誘導結合プラズマ(ICP)装置、ラジアルラインスロットアンテナ(RLSA)装置又は電子サイクロトン共鳴(ECR)装置を含む電源を用いて生成される高密度プラズマを用いる、請求項13に記載の方法。
  15. 前記一連のスペーサーRIEを行うステップは、所望のスペーサープロファイルを得るために臭化水素、酸素及びアルゴンを含む第1の組のRIE化学物質若しくは塩素、酸素及びアルゴンを含む第2の組のRIE化学物質又は第1の組のRIE化学物質及び第2の組のRIE化学物質とさらなる気体との組み合わせが用いられる、請求項14に記載の方法。
  16. 前記マンドレルは、自己整合クアッド又はオクトパターニングスキームを用いて作製されたフォトレジスト、有機平坦化スピンオン材料又は窒化ケイ素を含む、及び/又は
    前記自己整合クアッド又はオクトパターニングスキームは極端紫外線(EUV)リソグラフ法と組み合わされるか又は組み合わされない、請求項14に記載の方法。
  17. 前記化学環境は酸素含有環境又は窒素含有環境を含み、
    前記酸素含有環境は酸素、一酸化炭素、二酸化炭素及び/又は二酸化窒素を含み、
    前記窒素含有環境は窒素、アンモニア及び/又はヒドラジンを含む、請求項14に記載の方法。
  18. 前記構造体のキャップ層と内側非変性部とを選択的に除去するステップは、四フッ化炭素、酸素及びアルゴン又は六フッ化ケイ素、酸素及びヘリウムを用いるプラズマ化学を用いる及び/又は
    前記基板はストップ層を含み、該ストップ層は酸窒化ケイ素、窒化ケイ素又は窒化チタンを含む、請求項17に記載の方法。
  19. 前記水素、酸素及び窒素の比の範囲は0/50/9000〜300/400/11000であり及び/又は前記プロセスチャンバの温度の範囲は700〜1200℃である、請求項17に記載の方法。
  20. 前記酸化膜層の厚さの範囲は0.01〜1.05nmであり、前記水蒸気の部分圧の範囲は0.10〜1.00Torrであり、前記酸化時間の範囲は0.02〜50時間であり及び/又は
    前記化学変性側壁層の厚さは9.0nm以下、7.0nm以下又は5nm以下のうちの1つである、請求項17に記載の方法。
JP2016029701A 2015-02-20 2016-02-19 サブ10nmパターニングを実現するための材料プロセシング Pending JP2016154234A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/627,501 2015-02-20
US14/627,501 US9443731B1 (en) 2015-02-20 2015-02-20 Material processing to achieve sub-10nm patterning

Publications (1)

Publication Number Publication Date
JP2016154234A true JP2016154234A (ja) 2016-08-25

Family

ID=56693701

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2016029701A Pending JP2016154234A (ja) 2015-02-20 2016-02-19 サブ10nmパターニングを実現するための材料プロセシング

Country Status (4)

Country Link
US (1) US9443731B1 (ja)
JP (1) JP2016154234A (ja)
KR (1) KR20160102356A (ja)
TW (1) TWI596655B (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2021536680A (ja) * 2018-09-07 2021-12-27 ケーエルエー コーポレイション 制御された寸法を有する半導体ウェハフィーチャを製作するためのシステムおよび方法

Families Citing this family (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US9478433B1 (en) * 2015-03-30 2016-10-25 Applied Materials, Inc. Cyclic spacer etching process with improved profile control
US9972502B2 (en) * 2015-09-11 2018-05-15 Lam Research Corporation Systems and methods for performing in-situ deposition of sidewall image transfer spacers
US9601693B1 (en) 2015-09-24 2017-03-21 Lam Research Corporation Method for encapsulating a chalcogenide material
US9831099B2 (en) * 2016-02-12 2017-11-28 Tokyo Electron Limited Method and apparatus for multi-film deposition and etching in a batch processing system
US9852917B2 (en) * 2016-03-22 2017-12-26 International Business Machines Corporation Methods of fabricating semiconductor fins by double sidewall image transfer patterning through localized oxidation enhancement of sacrificial mandrel sidewalls
US10629435B2 (en) * 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US10312102B2 (en) 2016-08-29 2019-06-04 Tokyo Electron Limited Method of quasi-atomic layer etching of silicon nitride
US10074543B2 (en) 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
WO2018057493A1 (en) 2016-09-21 2018-03-29 Tokyo Electron Limited Method of patterning intersecting structures
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US10134579B2 (en) 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
WO2018156975A1 (en) * 2017-02-23 2018-08-30 Tokyo Electron Limited Method of quasi-atomic layer etching of silicon nitride
US10446405B2 (en) 2017-02-23 2019-10-15 Tokyo Electron Limited Method of anisotropic extraction of silicon nitride mandrel for fabrication of self-aligned block structures
WO2018236358A1 (en) * 2017-06-20 2018-12-27 Intel Corporation DUAL PATTERN FORMATION ALLOWED BY ION IMPLANTATION CHARACTERISTICS DEFINED BY SPRAYING
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
KR102427648B1 (ko) 2017-11-03 2022-08-01 삼성전자주식회사 결함 검사 방법 및 결함 검사 장치
US10460994B2 (en) * 2017-11-30 2019-10-29 Taiwan Semiconductor Manufacturing Co., Ltd. Residue-free metal gate cutting for fin-like field effect transistor
US11404275B2 (en) 2018-03-02 2022-08-02 Lam Research Corporation Selective deposition using hydrolysis
US11443953B2 (en) 2018-11-13 2022-09-13 Tokyo Electron Limited Method for forming and using stress-tuned silicon oxide films in semiconductor device patterning
CN112928165B (zh) * 2019-12-05 2024-06-18 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US20210217614A1 (en) * 2020-01-14 2021-07-15 Tokyo Electron Limited Multiple patterning with selective mandrel formation
CN113140458B (zh) * 2020-01-17 2024-03-01 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法

Citations (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11204511A (ja) * 1998-01-08 1999-07-30 Kokusai Electric Co Ltd シリコン熱酸化膜の形成装置
US6063688A (en) * 1997-09-29 2000-05-16 Intel Corporation Fabrication of deep submicron structures and quantum wire transistors using hard-mask transistor width definition
JP2001023924A (ja) * 1999-07-09 2001-01-26 Sony Corp プラグの形成方法およびプラグ
JP2004221241A (ja) * 2003-01-14 2004-08-05 Fujitsu Ltd 半導体装置の製造方法
JP2005045220A (ja) * 2003-07-04 2005-02-17 Tokyo Electron Ltd 熱処理方法及び熱処理装置
JP2005183976A (ja) * 2003-12-19 2005-07-07 Samsung Electronics Co Ltd シリコン基板とのエッチング選択比が大きいマスク層を用いたリセスチャンネルアレイトランジスタの製造方法
JP2008042174A (ja) * 2006-08-02 2008-02-21 Hynix Semiconductor Inc マスクパターン形成方法
JP2008053706A (ja) * 2006-08-24 2008-03-06 Dongbu Hitek Co Ltd 半導体素子及びその製造方法
JP2008536297A (ja) * 2005-03-15 2008-09-04 マイクロン テクノロジー, インク. フォトリソグラフィー構造よりも狭いピッチを有するパターン
JP2010165942A (ja) * 2009-01-16 2010-07-29 Toshiba Corp 半導体装置及びその製造方法
WO2012002232A1 (ja) * 2010-06-28 2012-01-05 東京エレクトロン株式会社 プラズマ処理装置及び方法
JP2014072226A (ja) * 2012-09-27 2014-04-21 Tokyo Electron Ltd パターン形成方法
JP2015002193A (ja) * 2013-06-13 2015-01-05 キヤノン株式会社 電子デバイスの製造方法

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5024716A (en) 1988-01-20 1991-06-18 Canon Kabushiki Kaisha Plasma processing apparatus for etching, ashing and film-formation
JP4563729B2 (ja) 2003-09-04 2010-10-13 東京エレクトロン株式会社 プラズマ処理装置
US7910288B2 (en) 2004-09-01 2011-03-22 Micron Technology, Inc. Mask material conversion
US7611980B2 (en) 2006-08-30 2009-11-03 Micron Technology, Inc. Single spacer process for multiplying pitch by a factor greater than two and related intermediate IC structures
US8563229B2 (en) 2007-07-31 2013-10-22 Micron Technology, Inc. Process of semiconductor fabrication with mask overlay on pitch multiplied features and associated structures
US8030218B2 (en) 2008-03-21 2011-10-04 Micron Technology, Inc. Method for selectively modifying spacing between pitch multiplied structures
CN101963755B (zh) * 2009-06-26 2012-12-19 罗门哈斯电子材料有限公司 自对准间隔物多重图形化方法
US8415884B2 (en) 2009-09-08 2013-04-09 Tokyo Electron Limited Stable surface wave plasma source
KR101624814B1 (ko) 2011-12-15 2016-05-26 인텔 코포레이션 단일 노광-자기 정렬된 이중, 삼중 및 사중 패터닝을 위한 방법
US8564068B2 (en) 2012-01-05 2013-10-22 Taiwan Semiconductor Manufacturing Company, Ltd. Device and methods for small trench patterning
US8980111B2 (en) 2012-05-15 2015-03-17 Tokyo Electron Limited Sidewall image transfer method for low aspect ratio patterns
US8623770B1 (en) 2013-02-21 2014-01-07 HGST Netherlands B.V. Method for sidewall spacer line doubling using atomic layer deposition of a titanium oxide

Patent Citations (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6063688A (en) * 1997-09-29 2000-05-16 Intel Corporation Fabrication of deep submicron structures and quantum wire transistors using hard-mask transistor width definition
JPH11204511A (ja) * 1998-01-08 1999-07-30 Kokusai Electric Co Ltd シリコン熱酸化膜の形成装置
JP2001023924A (ja) * 1999-07-09 2001-01-26 Sony Corp プラグの形成方法およびプラグ
JP2004221241A (ja) * 2003-01-14 2004-08-05 Fujitsu Ltd 半導体装置の製造方法
JP2005045220A (ja) * 2003-07-04 2005-02-17 Tokyo Electron Ltd 熱処理方法及び熱処理装置
JP2005183976A (ja) * 2003-12-19 2005-07-07 Samsung Electronics Co Ltd シリコン基板とのエッチング選択比が大きいマスク層を用いたリセスチャンネルアレイトランジスタの製造方法
JP2008536297A (ja) * 2005-03-15 2008-09-04 マイクロン テクノロジー, インク. フォトリソグラフィー構造よりも狭いピッチを有するパターン
JP2008042174A (ja) * 2006-08-02 2008-02-21 Hynix Semiconductor Inc マスクパターン形成方法
JP2008053706A (ja) * 2006-08-24 2008-03-06 Dongbu Hitek Co Ltd 半導体素子及びその製造方法
JP2010165942A (ja) * 2009-01-16 2010-07-29 Toshiba Corp 半導体装置及びその製造方法
WO2012002232A1 (ja) * 2010-06-28 2012-01-05 東京エレクトロン株式会社 プラズマ処理装置及び方法
JP2014072226A (ja) * 2012-09-27 2014-04-21 Tokyo Electron Ltd パターン形成方法
JP2015002193A (ja) * 2013-06-13 2015-01-05 キヤノン株式会社 電子デバイスの製造方法

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2021536680A (ja) * 2018-09-07 2021-12-27 ケーエルエー コーポレイション 制御された寸法を有する半導体ウェハフィーチャを製作するためのシステムおよび方法
JP7232901B2 (ja) 2018-09-07 2023-03-03 ケーエルエー コーポレイション 半導体ウェハフィーチャを製作するための方法

Also Published As

Publication number Publication date
KR20160102356A (ko) 2016-08-30
US9443731B1 (en) 2016-09-13
TW201703112A (zh) 2017-01-16
TWI596655B (zh) 2017-08-21
US20160247680A1 (en) 2016-08-25

Similar Documents

Publication Publication Date Title
US9443731B1 (en) Material processing to achieve sub-10nm patterning
US9673059B2 (en) Method for increasing pattern density in self-aligned patterning integration schemes
US8808562B2 (en) Dry metal etching method
TWI524419B (zh) 具有減小的側壁間隔物薄型化之高選擇性間隔物蝕刻程序
JP6175570B2 (ja) ガスパルスを用いる深掘りシリコンエッチングのための方法
WO2011108663A1 (ja) プラズマエッチング方法、半導体デバイスの製造方法、及びプラズマエッチング装置
JP2016143890A5 (ja)
US20080230519A1 (en) Method and system for dry etching a metal nitride
KR102250213B1 (ko) 자가 정렬 다중 패터닝 방법들 및 시스템들에 대한 인시츄 스페이서 재성형
TWI627661B (zh) 使次解析度等級之臨界尺寸不同的圖案化方法
US20150099366A1 (en) Plasma etching method
JP4594235B2 (ja) Arc層をエッチングする方法
US8282844B2 (en) Method for etching metal nitride with high selectivity to other materials
US20130082030A1 (en) Plasma Tuning Rods in Microwave Resonator Plasma Sources
US10260150B2 (en) Method and system for sculpting spacer sidewall mask
TWI757483B (zh) 蝕刻方法
CN114496769A (zh) 蚀刻方法
JP3172340B2 (ja) プラズマ処理装置
US20130224961A1 (en) Plasma tuning rods in microwave resonator plasma sources

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20170324

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20170404

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20170530

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20170803

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20180109