JP2016154234A - サブ10nmパターニングを実現するための材料プロセシング - Google Patents
サブ10nmパターニングを実現するための材料プロセシング Download PDFInfo
- Publication number
- JP2016154234A JP2016154234A JP2016029701A JP2016029701A JP2016154234A JP 2016154234 A JP2016154234 A JP 2016154234A JP 2016029701 A JP2016029701 A JP 2016029701A JP 2016029701 A JP2016029701 A JP 2016029701A JP 2016154234 A JP2016154234 A JP 2016154234A
- Authority
- JP
- Japan
- Prior art keywords
- layer
- substrate
- oxygen
- plasma
- mandrel
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Pending
Links
- 239000000463 material Substances 0.000 title claims description 28
- 238000000059 patterning Methods 0.000 title claims description 22
- 238000012545 processing Methods 0.000 title description 68
- 238000000034 method Methods 0.000 claims abstract description 187
- 239000000758 substrate Substances 0.000 claims abstract description 131
- 230000010354 integration Effects 0.000 claims abstract description 54
- 238000005530 etching Methods 0.000 claims abstract description 53
- 239000000126 substance Substances 0.000 claims abstract description 53
- 239000000203 mixture Substances 0.000 claims abstract description 28
- 238000012546 transfer Methods 0.000 claims abstract description 28
- 230000008569 process Effects 0.000 claims description 119
- 239000007789 gas Substances 0.000 claims description 42
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 claims description 33
- 239000001301 oxygen Substances 0.000 claims description 33
- 229910052760 oxygen Inorganic materials 0.000 claims description 33
- 125000006850 spacer group Chemical group 0.000 claims description 33
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 claims description 25
- 230000003647 oxidation Effects 0.000 claims description 25
- 238000007254 oxidation reaction Methods 0.000 claims description 25
- 229910052710 silicon Inorganic materials 0.000 claims description 19
- 239000010703 silicon Substances 0.000 claims description 19
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 claims description 17
- 238000001020 plasma etching Methods 0.000 claims description 16
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Chemical compound O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 claims description 15
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 claims description 14
- 229910052757 nitrogen Inorganic materials 0.000 claims description 13
- QJGQUHMNIGDVPM-UHFFFAOYSA-N nitrogen group Chemical group [N] QJGQUHMNIGDVPM-UHFFFAOYSA-N 0.000 claims description 13
- CURLTUGMZLYLDI-UHFFFAOYSA-N Carbon dioxide Chemical compound O=C=O CURLTUGMZLYLDI-UHFFFAOYSA-N 0.000 claims description 12
- 229910052581 Si3N4 Inorganic materials 0.000 claims description 11
- 238000000151 deposition Methods 0.000 claims description 11
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 claims description 11
- 239000001257 hydrogen Substances 0.000 claims description 10
- 229910052739 hydrogen Inorganic materials 0.000 claims description 10
- QGZKDVFQNNGYKY-UHFFFAOYSA-N Ammonia Chemical compound N QGZKDVFQNNGYKY-UHFFFAOYSA-N 0.000 claims description 8
- OAKJQQAXSVQMHS-UHFFFAOYSA-N Hydrazine Chemical compound NN OAKJQQAXSVQMHS-UHFFFAOYSA-N 0.000 claims description 8
- 229910052786 argon Inorganic materials 0.000 claims description 7
- 239000002019 doping agent Substances 0.000 claims description 7
- XYFCBTPGUUZFHI-UHFFFAOYSA-N Phosphine Chemical compound P XYFCBTPGUUZFHI-UHFFFAOYSA-N 0.000 claims description 6
- UORVGPXVDQYIDP-UHFFFAOYSA-N borane Chemical compound B UORVGPXVDQYIDP-UHFFFAOYSA-N 0.000 claims description 6
- 229910002092 carbon dioxide Inorganic materials 0.000 claims description 6
- 238000011065 in-situ storage Methods 0.000 claims description 6
- 238000009616 inductively coupled plasma Methods 0.000 claims description 6
- TXEYQDLBPFQVAA-UHFFFAOYSA-N tetrafluoromethane Chemical compound FC(F)(F)F TXEYQDLBPFQVAA-UHFFFAOYSA-N 0.000 claims description 5
- MGWGWNFMUOTEHG-UHFFFAOYSA-N 4-(3,5-dimethylphenyl)-1,3-thiazol-2-amine Chemical compound CC1=CC(C)=CC(C=2N=C(N)SC=2)=C1 MGWGWNFMUOTEHG-UHFFFAOYSA-N 0.000 claims description 4
- UGFAIRIUMAVXCW-UHFFFAOYSA-N Carbon monoxide Chemical compound [O+]#[C-] UGFAIRIUMAVXCW-UHFFFAOYSA-N 0.000 claims description 4
- NBIIXXVUZAFLBC-UHFFFAOYSA-N Phosphoric acid Chemical compound OP(O)(O)=O NBIIXXVUZAFLBC-UHFFFAOYSA-N 0.000 claims description 4
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 claims description 4
- 229910021529 ammonia Inorganic materials 0.000 claims description 4
- 239000001569 carbon dioxide Substances 0.000 claims description 4
- 229910002091 carbon monoxide Inorganic materials 0.000 claims description 4
- -1 diborane Chemical compound 0.000 claims description 4
- 239000001307 helium Substances 0.000 claims description 4
- 229910052734 helium Inorganic materials 0.000 claims description 4
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 claims description 4
- JCXJVPUVTGWSNB-UHFFFAOYSA-N nitrogen dioxide Inorganic materials O=[N]=O JCXJVPUVTGWSNB-UHFFFAOYSA-N 0.000 claims description 4
- 229920002120 photoresistant polymer Polymers 0.000 claims description 4
- CPELXLSAUQHCOX-UHFFFAOYSA-N Hydrogen bromide Chemical compound Br CPELXLSAUQHCOX-UHFFFAOYSA-N 0.000 claims description 3
- 229910000085 borane Inorganic materials 0.000 claims description 3
- 229910000073 phosphorus hydride Inorganic materials 0.000 claims description 3
- 238000001338 self-assembly Methods 0.000 claims description 3
- ZAMOUSCENKQFHK-UHFFFAOYSA-N Chlorine atom Chemical compound [Cl] ZAMOUSCENKQFHK-UHFFFAOYSA-N 0.000 claims description 2
- 229910000147 aluminium phosphate Inorganic materials 0.000 claims description 2
- 239000000460 chlorine Substances 0.000 claims description 2
- 229910052801 chlorine Inorganic materials 0.000 claims description 2
- 125000004435 hydrogen atom Chemical class [H]* 0.000 claims 4
- JDLKFOPOAOFWQN-VIFPVBQESA-N Allicin Natural products C=CCS[S@](=O)CC=C JDLKFOPOAOFWQN-VIFPVBQESA-N 0.000 claims 1
- JDLKFOPOAOFWQN-UHFFFAOYSA-N allicin Chemical compound C=CCSS(=O)CC=C JDLKFOPOAOFWQN-UHFFFAOYSA-N 0.000 claims 1
- 235000010081 allicin Nutrition 0.000 claims 1
- 229910000042 hydrogen bromide Inorganic materials 0.000 claims 1
- 239000011368 organic material Substances 0.000 claims 1
- 238000004519 manufacturing process Methods 0.000 abstract description 3
- 239000010410 layer Substances 0.000 description 113
- 238000010586 diagram Methods 0.000 description 29
- 239000010408 film Substances 0.000 description 26
- 238000012360 testing method Methods 0.000 description 16
- 238000009826 distribution Methods 0.000 description 11
- 230000006870 function Effects 0.000 description 11
- 238000013461 design Methods 0.000 description 10
- NBVXSUQYWXRMNV-UHFFFAOYSA-N fluoromethane Chemical compound FC NBVXSUQYWXRMNV-UHFFFAOYSA-N 0.000 description 8
- 238000005259 measurement Methods 0.000 description 8
- 230000007704 transition Effects 0.000 description 8
- 239000004020 conductor Substances 0.000 description 7
- 230000006698 induction Effects 0.000 description 7
- 239000004065 semiconductor Substances 0.000 description 7
- 230000008021 deposition Effects 0.000 description 6
- 238000010438 heat treatment Methods 0.000 description 6
- 238000001816 cooling Methods 0.000 description 5
- 230000008878 coupling Effects 0.000 description 5
- 238000010168 coupling process Methods 0.000 description 5
- 238000005859 coupling reaction Methods 0.000 description 5
- 230000005855 radiation Effects 0.000 description 5
- ATJFFYVFTNAWJD-UHFFFAOYSA-N Tin Chemical compound [Sn] ATJFFYVFTNAWJD-UHFFFAOYSA-N 0.000 description 4
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N silicon dioxide Inorganic materials O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 4
- 229910052814 silicon oxide Inorganic materials 0.000 description 4
- KZBUYRJDOAKODT-UHFFFAOYSA-N Chlorine Chemical compound ClCl KZBUYRJDOAKODT-UHFFFAOYSA-N 0.000 description 3
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 description 3
- 229910003481 amorphous carbon Inorganic materials 0.000 description 3
- 238000000231 atomic layer deposition Methods 0.000 description 3
- 230000015572 biosynthetic process Effects 0.000 description 3
- 229910021419 crystalline silicon Inorganic materials 0.000 description 3
- 150000002431 hydrogen Chemical class 0.000 description 3
- 238000007789 sealing Methods 0.000 description 3
- 235000012239 silicon dioxide Nutrition 0.000 description 3
- PNEYBMLMFCGWSK-UHFFFAOYSA-N Alumina Chemical compound [O-2].[O-2].[O-2].[Al+3].[Al+3] PNEYBMLMFCGWSK-UHFFFAOYSA-N 0.000 description 2
- 229910018503 SF6 Inorganic materials 0.000 description 2
- 239000000654 additive Substances 0.000 description 2
- RBFQJDQYXXHULB-UHFFFAOYSA-N arsane Chemical compound [AsH3] RBFQJDQYXXHULB-UHFFFAOYSA-N 0.000 description 2
- 230000008859 change Effects 0.000 description 2
- 238000005229 chemical vapour deposition Methods 0.000 description 2
- 239000003989 dielectric material Substances 0.000 description 2
- 238000001900 extreme ultraviolet lithography Methods 0.000 description 2
- 239000012530 fluid Substances 0.000 description 2
- 230000006872 improvement Effects 0.000 description 2
- 239000007800 oxidant agent Substances 0.000 description 2
- 230000001590 oxidative effect Effects 0.000 description 2
- 238000005240 physical vapour deposition Methods 0.000 description 2
- 239000010453 quartz Substances 0.000 description 2
- 229910052594 sapphire Inorganic materials 0.000 description 2
- 239000010980 sapphire Substances 0.000 description 2
- 239000007787 solid Substances 0.000 description 2
- 238000004611 spectroscopical analysis Methods 0.000 description 2
- SFZCNBIFKDRMGX-UHFFFAOYSA-N sulfur hexafluoride Chemical compound FS(F)(F)(F)(F)F SFZCNBIFKDRMGX-UHFFFAOYSA-N 0.000 description 2
- 229960000909 sulfur hexafluoride Drugs 0.000 description 2
- 239000002344 surface layer Substances 0.000 description 2
- 239000010409 thin film Substances 0.000 description 2
- 229910018072 Al 2 O 3 Inorganic materials 0.000 description 1
- BSYNRYMUTXBXSQ-UHFFFAOYSA-N Aspirin Chemical compound CC(=O)OC1=CC=CC=C1C(O)=O BSYNRYMUTXBXSQ-UHFFFAOYSA-N 0.000 description 1
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 1
- PBZHKWVYRQRZQC-UHFFFAOYSA-N [Si+4].[O-][N+]([O-])=O.[O-][N+]([O-])=O.[O-][N+]([O-])=O.[O-][N+]([O-])=O Chemical compound [Si+4].[O-][N+]([O-])=O.[O-][N+]([O-])=O.[O-][N+]([O-])=O.[O-][N+]([O-])=O PBZHKWVYRQRZQC-UHFFFAOYSA-N 0.000 description 1
- 229910021417 amorphous silicon Inorganic materials 0.000 description 1
- 238000009530 blood pressure measurement Methods 0.000 description 1
- 238000007385 chemical modification Methods 0.000 description 1
- 238000006243 chemical reaction Methods 0.000 description 1
- 239000003795 chemical substances by application Substances 0.000 description 1
- PMHQVHHXPFUNSP-UHFFFAOYSA-M copper(1+);methylsulfanylmethane;bromide Chemical compound Br[Cu].CSC PMHQVHHXPFUNSP-UHFFFAOYSA-M 0.000 description 1
- 230000001419 dependent effect Effects 0.000 description 1
- 238000010894 electron beam technology Methods 0.000 description 1
- 238000005516 engineering process Methods 0.000 description 1
- 238000010304 firing Methods 0.000 description 1
- 229910052736 halogen Inorganic materials 0.000 description 1
- 150000002367 halogens Chemical class 0.000 description 1
- 239000013529 heat transfer fluid Substances 0.000 description 1
- 238000007373 indentation Methods 0.000 description 1
- 238000002347 injection Methods 0.000 description 1
- 239000007924 injection Substances 0.000 description 1
- 239000012212 insulator Substances 0.000 description 1
- 150000002500 ions Chemical class 0.000 description 1
- 239000004973 liquid crystal related substance Substances 0.000 description 1
- 238000001459 lithography Methods 0.000 description 1
- 230000007246 mechanism Effects 0.000 description 1
- 229910052751 metal Inorganic materials 0.000 description 1
- 239000002184 metal Substances 0.000 description 1
- 230000004048 modification Effects 0.000 description 1
- 238000012986 modification Methods 0.000 description 1
- 238000012544 monitoring process Methods 0.000 description 1
- 235000012771 pancakes Nutrition 0.000 description 1
- 238000009931 pascalization Methods 0.000 description 1
- 230000002093 peripheral effect Effects 0.000 description 1
- 238000005268 plasma chemical vapour deposition Methods 0.000 description 1
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 1
- 230000000644 propagated effect Effects 0.000 description 1
- 230000001902 propagating effect Effects 0.000 description 1
- 238000005086 pumping Methods 0.000 description 1
- 230000035945 sensitivity Effects 0.000 description 1
- 239000000377 silicon dioxide Substances 0.000 description 1
- 238000004544 sputter deposition Methods 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
- H01L21/8234—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
- H01L21/823431—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32082—Radio frequency generated discharge
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32192—Microwave generated discharge
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/027—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
- H01L21/033—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
- H01L21/0334—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
- H01L21/0337—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31105—Etching inorganic layers
- H01L21/31111—Etching inorganic layers by chemical means
- H01L21/31116—Etching inorganic layers by chemical means by dry-etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31144—Etching the insulating layers by chemical or physical means using masks
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3205—Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
- H01L21/321—After treatment
- H01L21/32105—Oxidation of silicon-containing layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3205—Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
- H01L21/321—After treatment
- H01L21/3213—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
- H01L21/32139—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Computer Hardware Design (AREA)
- Manufacturing & Machinery (AREA)
- Chemical & Material Sciences (AREA)
- Inorganic Chemistry (AREA)
- Plasma & Fusion (AREA)
- Analytical Chemistry (AREA)
- Chemical Kinetics & Catalysis (AREA)
- General Chemical & Material Sciences (AREA)
- Drying Of Semiconductors (AREA)
- Crystallography & Structural Chemistry (AREA)
- Nanotechnology (AREA)
- Ceramic Engineering (AREA)
- Electromagnetism (AREA)
- Spectroscopy & Molecular Physics (AREA)
- Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
- Plasma Technology (AREA)
- Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
Abstract
Description
3 工程
5 工程
7 工程
9 工程
11 工程
13 工程
20 フローチャート
21 工程
23 工程
27 工程
29 工程
31 工程
33 工程
35 工程
37 工程
40 略図
41 構造体
43 キャップ層
45 パターン層
47 非パターン層
49 側壁
51 基板
53 ストップ層
60 略図
62 構造体
66 キャップ層
68 側壁
70 化学環境
72 基板
80 略図
84 構造体
88 化学変性側壁層
92 基板
96 内側非変性部
Claims (20)
- 集積化スキームを用いて、基板上の構造体のパターン密度を高めるための方法であって、該集積化スキームは1つ以上の集積化操作変数を有し、当該方法は、
プロセスチャンバ内に、第1の組成のパターン層を有する基板を提供するステップであって、該パターン層は少なくとも1つの構造体を含み、該構造体の上には第2の組成のキャップ層と側壁とが形成されている、ステップと、
前記構造体の側壁を所定の深さまで化学変性するために、化学環境に前記側壁を晒すステップであって、該化学環境の成分を前記構造体の露出表面領域に導入するとともに第3の組成の化学変性側壁層を形成することにより行われる、ステップと、
前記化学変性側壁層を残すために、少なくとも1つのエッチングプロセスを用いて前記構造体のキャップ層と内側非変性部とを選択的に除去するステップと、
前記少なくとも1つのエッチングプロセスで用いられる一連のエッチング剤に対する前記化学変性側壁層のエッチング耐性を変性する成分が含まれるように前記化学環境を選択するステップであって、前記第3の組成は前記第1の組成よりも前記一連のエッチング剤に対する耐性が高い、ステップと、
前記基板の下位層に前記化学変性側壁層のパターン転写エッチングを行うステップと、
を含み、
前記1つ以上の集積化操作変数は、水素、酸素及び窒素の比、前記プロセスチャンバの温度、前記化学変性側壁層の厚さ、水蒸気の部分圧並びに酸化時間を含む、方法。 - 前記構造体の1つ以上の目標限界寸法(CD)を得るために、選択された1つ以上の集積化操作変数を制御するステップをさらに含み、該1つ以上の目標限界寸法は前記構造体の幅、高さ及び/又はラインエッジラフネスを含む、請求項1に記載の方法。
- 前記基板を提供するステップは、リソグラフ法、自己整合ダブルパターニング(SADP)又は自己組織化(DSA)を用いて作製された基板を提供することを含む、請求項2に記載の方法。
- 前記側壁を晒すステップ、前記構造体のキャップ層と内側非変性部とを選択的に除去するステップ及び前記基板の下位層に前記層のパターン転写エッチングを行うステップの全てが前記プロセスチャンバ内でインサイチュで行われる、請求項3に記載の方法。
- 前記プロセスチャンバは、誘導結合プラズマ(ICP)装置、ラジアルラインスロットアンテナ(RLSA)装置又は電子サイクロトン共鳴(ECR)装置を含む電源を用いて生成される高密度プラズマを用いる、請求項4に記載の方法。
- 前記構造体のキャップ層と内側非変性部とを選択的に除去するステップでは、四フッ化炭素、酸素及びアルゴン又は六フッ化ケイ素、酸素及びヘリウムを用いるプラズマ化学が用いられる及び/又は
前記基板はストップ層を含み、該ストップ層は酸窒化ケイ素、窒化ケイ素又は窒化チタンを含む、請求項4に記載の方法。 - 前記化学環境は酸素含有環境若しくは窒素含有環境又はドーパント含有環境を含み、
前記化学環境が酸素含有環境を含む場合、該酸素含有環境は酸素、一酸化炭素、二酸化炭素及び/又は二酸化窒素を含み、又は
前記化学環境が窒素含有環境を含む場合、該窒素含有環境は窒素、アンモニア及び/又はヒドラジンを含み、又は
前記化学環境がドーパント含有環境を含む場合、該ドーパント含有環境はボラン、ジボラン、ホスフィン及び/又はアリシンを含む、請求項4に記載の方法。 - 前記水素、酸素及び窒素の比の範囲は0/50/9000〜300/400/11000であり及び/又は前記プロセスチャンバの温度の範囲は700〜1200℃である、請求項7に記載の方法。
- 前記酸化膜層の厚さの範囲は0.01〜1.05nmであり、前記水蒸気の部分圧の範囲は0.10〜1.00Torrであり及び/又は前記酸化時間の範囲は0.02〜50時間である、請求項7に記載の方法。
- 前記化学変性側壁層の厚さは9.0nm以下、7.0nm以下又は5nm以下のうちの1つである、請求項7に記載の方法。
- 集積化スキームを用いて、基板上の構造体のパターン密度を高めるための方法であって、該集積化スキームは1つ以上の集積化操作変数を有し、当該方法は、
プロセスチャンバ内に、パターン層を有する基板を提供するステップであって、該パターン層は少なくとも1つのマンドレルを含み、該マンドレルは自己整合ダブルパターニングスキームを用いて作製されたフォトレジスト、有機平坦化スピンオン材料又は窒化ケイ素を含む、ステップと、
前記パターン層の上にコンフォーマルスペーサー層を堆積するステップと、
シリコンエッチング化学を用いて一連のスペーサー反応性イオンエッチング(RIE)を行うステップと、
前記マンドレルに用いられる材料に基づくストリッピング法を用いて一連のマンドレル・プル・ストリップを行うステップであって、該一連のマンドレル・プル・ストリップによって側壁及びキャップ層を有するスペーサーが形成される、ステップと、
前記側壁を所定の深さまで化学変性するために、化学環境に前記側壁を晒すステップであって、該ステップにより化学変性側壁層が形成される、ステップと、
前記スペーサーの近傍の前記基板の底部と、前記キャップ層とを選択的に除去するステップと、
前記化学変性側壁層を残すために、少なくとも1つのエッチングプロセスを用いて前記スペーサーの非変性部を除去するように構成された一連のスペーサー・プル・エッチングを行うステップと、
前記基板の下位層に前記化学変性側壁層の一連のパターン転写エッチングを行うステップと、
を含み、
前記1つ以上の集積化操作変数は、水素、酸素及び窒素の比、前記プロセスチャンバの温度、前記化学変性側壁層の厚さ、水蒸気の部分圧、前記酸化膜層の厚さ並びに酸化時間を含む、方法。 - 前記構造体の1つ以上の目標限界寸法を得るために、選択された1つ以上の集積化操作変数を制御するステップをさらに含み、該1つ以上の目標限界寸法は前記構造体の幅、高さ又はラインエッジラフネスを含む、請求項11に記載の方法。
- 前記コンフォーマルスペーサー層を堆積するステップ、前記側壁を晒すステップ、前記一連のマンドレル・プル・ストリップを行うステップ、前記キャップ層を選択的に除去するステップ、前記一連のスペーサー・プル・エッチングを行うステップ及び前記基板の下位層に前記層のパターン転写エッチングを行うステップの全てが前記プロセスチャンバ内でインサイチュで行われる、請求項12に記載の方法。
- 前記マンドレルに用いられる材料に基づくストリッピング法を用いて一連のマンドレル・プル・ストリップを行うステップでは、前記マンドレルが有機材料で構成されている場合はインサイチュプラズマストリップが用いられ、前記マンドレルが窒化ケイ素で構成される場合には高温のリン酸を用いるウェットストリップが用いられ、及び/又は
前記プラズマチャンバは、誘導結合プラズマ(ICP)装置、ラジアルラインスロットアンテナ(RLSA)装置又は電子サイクロトン共鳴(ECR)装置を含む電源を用いて生成される高密度プラズマを用いる、請求項13に記載の方法。 - 前記一連のスペーサーRIEを行うステップは、所望のスペーサープロファイルを得るために臭化水素、酸素及びアルゴンを含む第1の組のRIE化学物質若しくは塩素、酸素及びアルゴンを含む第2の組のRIE化学物質又は第1の組のRIE化学物質及び第2の組のRIE化学物質とさらなる気体との組み合わせが用いられる、請求項14に記載の方法。
- 前記マンドレルは、自己整合クアッド又はオクトパターニングスキームを用いて作製されたフォトレジスト、有機平坦化スピンオン材料又は窒化ケイ素を含む、及び/又は
前記自己整合クアッド又はオクトパターニングスキームは極端紫外線(EUV)リソグラフ法と組み合わされるか又は組み合わされない、請求項14に記載の方法。 - 前記化学環境は酸素含有環境又は窒素含有環境を含み、
前記酸素含有環境は酸素、一酸化炭素、二酸化炭素及び/又は二酸化窒素を含み、
前記窒素含有環境は窒素、アンモニア及び/又はヒドラジンを含む、請求項14に記載の方法。 - 前記構造体のキャップ層と内側非変性部とを選択的に除去するステップは、四フッ化炭素、酸素及びアルゴン又は六フッ化ケイ素、酸素及びヘリウムを用いるプラズマ化学を用いる及び/又は
前記基板はストップ層を含み、該ストップ層は酸窒化ケイ素、窒化ケイ素又は窒化チタンを含む、請求項17に記載の方法。 - 前記水素、酸素及び窒素の比の範囲は0/50/9000〜300/400/11000であり及び/又は前記プロセスチャンバの温度の範囲は700〜1200℃である、請求項17に記載の方法。
- 前記酸化膜層の厚さの範囲は0.01〜1.05nmであり、前記水蒸気の部分圧の範囲は0.10〜1.00Torrであり、前記酸化時間の範囲は0.02〜50時間であり及び/又は
前記化学変性側壁層の厚さは9.0nm以下、7.0nm以下又は5nm以下のうちの1つである、請求項17に記載の方法。
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US14/627,501 | 2015-02-20 | ||
US14/627,501 US9443731B1 (en) | 2015-02-20 | 2015-02-20 | Material processing to achieve sub-10nm patterning |
Publications (1)
Publication Number | Publication Date |
---|---|
JP2016154234A true JP2016154234A (ja) | 2016-08-25 |
Family
ID=56693701
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2016029701A Pending JP2016154234A (ja) | 2015-02-20 | 2016-02-19 | サブ10nmパターニングを実現するための材料プロセシング |
Country Status (4)
Country | Link |
---|---|
US (1) | US9443731B1 (ja) |
JP (1) | JP2016154234A (ja) |
KR (1) | KR20160102356A (ja) |
TW (1) | TWI596655B (ja) |
Cited By (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2021536680A (ja) * | 2018-09-07 | 2021-12-27 | ケーエルエー コーポレイション | 制御された寸法を有する半導体ウェハフィーチャを製作するためのシステムおよび方法 |
Families Citing this family (24)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US9564312B2 (en) | 2014-11-24 | 2017-02-07 | Lam Research Corporation | Selective inhibition in atomic layer deposition of silicon-containing films |
US9478433B1 (en) * | 2015-03-30 | 2016-10-25 | Applied Materials, Inc. | Cyclic spacer etching process with improved profile control |
US9972502B2 (en) * | 2015-09-11 | 2018-05-15 | Lam Research Corporation | Systems and methods for performing in-situ deposition of sidewall image transfer spacers |
US9601693B1 (en) | 2015-09-24 | 2017-03-21 | Lam Research Corporation | Method for encapsulating a chalcogenide material |
US9831099B2 (en) * | 2016-02-12 | 2017-11-28 | Tokyo Electron Limited | Method and apparatus for multi-film deposition and etching in a batch processing system |
US9852917B2 (en) * | 2016-03-22 | 2017-12-26 | International Business Machines Corporation | Methods of fabricating semiconductor fins by double sidewall image transfer patterning through localized oxidation enhancement of sacrificial mandrel sidewalls |
US10629435B2 (en) * | 2016-07-29 | 2020-04-21 | Lam Research Corporation | Doped ALD films for semiconductor patterning applications |
US10312102B2 (en) | 2016-08-29 | 2019-06-04 | Tokyo Electron Limited | Method of quasi-atomic layer etching of silicon nitride |
US10074543B2 (en) | 2016-08-31 | 2018-09-11 | Lam Research Corporation | High dry etch rate materials for semiconductor patterning applications |
WO2018057493A1 (en) | 2016-09-21 | 2018-03-29 | Tokyo Electron Limited | Method of patterning intersecting structures |
US10454029B2 (en) | 2016-11-11 | 2019-10-22 | Lam Research Corporation | Method for reducing the wet etch rate of a sin film without damaging the underlying substrate |
US10832908B2 (en) | 2016-11-11 | 2020-11-10 | Lam Research Corporation | Self-aligned multi-patterning process flow with ALD gapfill spacer mask |
US10134579B2 (en) | 2016-11-14 | 2018-11-20 | Lam Research Corporation | Method for high modulus ALD SiO2 spacer |
WO2018156975A1 (en) * | 2017-02-23 | 2018-08-30 | Tokyo Electron Limited | Method of quasi-atomic layer etching of silicon nitride |
US10446405B2 (en) | 2017-02-23 | 2019-10-15 | Tokyo Electron Limited | Method of anisotropic extraction of silicon nitride mandrel for fabrication of self-aligned block structures |
WO2018236358A1 (en) * | 2017-06-20 | 2018-12-27 | Intel Corporation | DUAL PATTERN FORMATION ALLOWED BY ION IMPLANTATION CHARACTERISTICS DEFINED BY SPRAYING |
US10269559B2 (en) | 2017-09-13 | 2019-04-23 | Lam Research Corporation | Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer |
KR102427648B1 (ko) | 2017-11-03 | 2022-08-01 | 삼성전자주식회사 | 결함 검사 방법 및 결함 검사 장치 |
US10460994B2 (en) * | 2017-11-30 | 2019-10-29 | Taiwan Semiconductor Manufacturing Co., Ltd. | Residue-free metal gate cutting for fin-like field effect transistor |
US11404275B2 (en) | 2018-03-02 | 2022-08-02 | Lam Research Corporation | Selective deposition using hydrolysis |
US11443953B2 (en) | 2018-11-13 | 2022-09-13 | Tokyo Electron Limited | Method for forming and using stress-tuned silicon oxide films in semiconductor device patterning |
CN112928165B (zh) * | 2019-12-05 | 2024-06-18 | 中芯国际集成电路制造(上海)有限公司 | 半导体结构及其形成方法 |
US20210217614A1 (en) * | 2020-01-14 | 2021-07-15 | Tokyo Electron Limited | Multiple patterning with selective mandrel formation |
CN113140458B (zh) * | 2020-01-17 | 2024-03-01 | 中芯国际集成电路制造(上海)有限公司 | 半导体结构的形成方法 |
Citations (13)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPH11204511A (ja) * | 1998-01-08 | 1999-07-30 | Kokusai Electric Co Ltd | シリコン熱酸化膜の形成装置 |
US6063688A (en) * | 1997-09-29 | 2000-05-16 | Intel Corporation | Fabrication of deep submicron structures and quantum wire transistors using hard-mask transistor width definition |
JP2001023924A (ja) * | 1999-07-09 | 2001-01-26 | Sony Corp | プラグの形成方法およびプラグ |
JP2004221241A (ja) * | 2003-01-14 | 2004-08-05 | Fujitsu Ltd | 半導体装置の製造方法 |
JP2005045220A (ja) * | 2003-07-04 | 2005-02-17 | Tokyo Electron Ltd | 熱処理方法及び熱処理装置 |
JP2005183976A (ja) * | 2003-12-19 | 2005-07-07 | Samsung Electronics Co Ltd | シリコン基板とのエッチング選択比が大きいマスク層を用いたリセスチャンネルアレイトランジスタの製造方法 |
JP2008042174A (ja) * | 2006-08-02 | 2008-02-21 | Hynix Semiconductor Inc | マスクパターン形成方法 |
JP2008053706A (ja) * | 2006-08-24 | 2008-03-06 | Dongbu Hitek Co Ltd | 半導体素子及びその製造方法 |
JP2008536297A (ja) * | 2005-03-15 | 2008-09-04 | マイクロン テクノロジー, インク. | フォトリソグラフィー構造よりも狭いピッチを有するパターン |
JP2010165942A (ja) * | 2009-01-16 | 2010-07-29 | Toshiba Corp | 半導体装置及びその製造方法 |
WO2012002232A1 (ja) * | 2010-06-28 | 2012-01-05 | 東京エレクトロン株式会社 | プラズマ処理装置及び方法 |
JP2014072226A (ja) * | 2012-09-27 | 2014-04-21 | Tokyo Electron Ltd | パターン形成方法 |
JP2015002193A (ja) * | 2013-06-13 | 2015-01-05 | キヤノン株式会社 | 電子デバイスの製造方法 |
Family Cites Families (12)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US5024716A (en) | 1988-01-20 | 1991-06-18 | Canon Kabushiki Kaisha | Plasma processing apparatus for etching, ashing and film-formation |
JP4563729B2 (ja) | 2003-09-04 | 2010-10-13 | 東京エレクトロン株式会社 | プラズマ処理装置 |
US7910288B2 (en) | 2004-09-01 | 2011-03-22 | Micron Technology, Inc. | Mask material conversion |
US7611980B2 (en) | 2006-08-30 | 2009-11-03 | Micron Technology, Inc. | Single spacer process for multiplying pitch by a factor greater than two and related intermediate IC structures |
US8563229B2 (en) | 2007-07-31 | 2013-10-22 | Micron Technology, Inc. | Process of semiconductor fabrication with mask overlay on pitch multiplied features and associated structures |
US8030218B2 (en) | 2008-03-21 | 2011-10-04 | Micron Technology, Inc. | Method for selectively modifying spacing between pitch multiplied structures |
CN101963755B (zh) * | 2009-06-26 | 2012-12-19 | 罗门哈斯电子材料有限公司 | 自对准间隔物多重图形化方法 |
US8415884B2 (en) | 2009-09-08 | 2013-04-09 | Tokyo Electron Limited | Stable surface wave plasma source |
KR101624814B1 (ko) | 2011-12-15 | 2016-05-26 | 인텔 코포레이션 | 단일 노광-자기 정렬된 이중, 삼중 및 사중 패터닝을 위한 방법 |
US8564068B2 (en) | 2012-01-05 | 2013-10-22 | Taiwan Semiconductor Manufacturing Company, Ltd. | Device and methods for small trench patterning |
US8980111B2 (en) | 2012-05-15 | 2015-03-17 | Tokyo Electron Limited | Sidewall image transfer method for low aspect ratio patterns |
US8623770B1 (en) | 2013-02-21 | 2014-01-07 | HGST Netherlands B.V. | Method for sidewall spacer line doubling using atomic layer deposition of a titanium oxide |
-
2015
- 2015-02-20 US US14/627,501 patent/US9443731B1/en active Active
-
2016
- 2016-02-17 TW TW105104530A patent/TWI596655B/zh not_active IP Right Cessation
- 2016-02-19 JP JP2016029701A patent/JP2016154234A/ja active Pending
- 2016-02-19 KR KR1020160019697A patent/KR20160102356A/ko not_active Application Discontinuation
Patent Citations (13)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6063688A (en) * | 1997-09-29 | 2000-05-16 | Intel Corporation | Fabrication of deep submicron structures and quantum wire transistors using hard-mask transistor width definition |
JPH11204511A (ja) * | 1998-01-08 | 1999-07-30 | Kokusai Electric Co Ltd | シリコン熱酸化膜の形成装置 |
JP2001023924A (ja) * | 1999-07-09 | 2001-01-26 | Sony Corp | プラグの形成方法およびプラグ |
JP2004221241A (ja) * | 2003-01-14 | 2004-08-05 | Fujitsu Ltd | 半導体装置の製造方法 |
JP2005045220A (ja) * | 2003-07-04 | 2005-02-17 | Tokyo Electron Ltd | 熱処理方法及び熱処理装置 |
JP2005183976A (ja) * | 2003-12-19 | 2005-07-07 | Samsung Electronics Co Ltd | シリコン基板とのエッチング選択比が大きいマスク層を用いたリセスチャンネルアレイトランジスタの製造方法 |
JP2008536297A (ja) * | 2005-03-15 | 2008-09-04 | マイクロン テクノロジー, インク. | フォトリソグラフィー構造よりも狭いピッチを有するパターン |
JP2008042174A (ja) * | 2006-08-02 | 2008-02-21 | Hynix Semiconductor Inc | マスクパターン形成方法 |
JP2008053706A (ja) * | 2006-08-24 | 2008-03-06 | Dongbu Hitek Co Ltd | 半導体素子及びその製造方法 |
JP2010165942A (ja) * | 2009-01-16 | 2010-07-29 | Toshiba Corp | 半導体装置及びその製造方法 |
WO2012002232A1 (ja) * | 2010-06-28 | 2012-01-05 | 東京エレクトロン株式会社 | プラズマ処理装置及び方法 |
JP2014072226A (ja) * | 2012-09-27 | 2014-04-21 | Tokyo Electron Ltd | パターン形成方法 |
JP2015002193A (ja) * | 2013-06-13 | 2015-01-05 | キヤノン株式会社 | 電子デバイスの製造方法 |
Cited By (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2021536680A (ja) * | 2018-09-07 | 2021-12-27 | ケーエルエー コーポレイション | 制御された寸法を有する半導体ウェハフィーチャを製作するためのシステムおよび方法 |
JP7232901B2 (ja) | 2018-09-07 | 2023-03-03 | ケーエルエー コーポレイション | 半導体ウェハフィーチャを製作するための方法 |
Also Published As
Publication number | Publication date |
---|---|
KR20160102356A (ko) | 2016-08-30 |
US9443731B1 (en) | 2016-09-13 |
TW201703112A (zh) | 2017-01-16 |
TWI596655B (zh) | 2017-08-21 |
US20160247680A1 (en) | 2016-08-25 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
US9443731B1 (en) | Material processing to achieve sub-10nm patterning | |
US9673059B2 (en) | Method for increasing pattern density in self-aligned patterning integration schemes | |
US8808562B2 (en) | Dry metal etching method | |
TWI524419B (zh) | 具有減小的側壁間隔物薄型化之高選擇性間隔物蝕刻程序 | |
JP6175570B2 (ja) | ガスパルスを用いる深掘りシリコンエッチングのための方法 | |
WO2011108663A1 (ja) | プラズマエッチング方法、半導体デバイスの製造方法、及びプラズマエッチング装置 | |
JP2016143890A5 (ja) | ||
US20080230519A1 (en) | Method and system for dry etching a metal nitride | |
KR102250213B1 (ko) | 자가 정렬 다중 패터닝 방법들 및 시스템들에 대한 인시츄 스페이서 재성형 | |
TWI627661B (zh) | 使次解析度等級之臨界尺寸不同的圖案化方法 | |
US20150099366A1 (en) | Plasma etching method | |
JP4594235B2 (ja) | Arc層をエッチングする方法 | |
US8282844B2 (en) | Method for etching metal nitride with high selectivity to other materials | |
US20130082030A1 (en) | Plasma Tuning Rods in Microwave Resonator Plasma Sources | |
US10260150B2 (en) | Method and system for sculpting spacer sidewall mask | |
TWI757483B (zh) | 蝕刻方法 | |
CN114496769A (zh) | 蚀刻方法 | |
JP3172340B2 (ja) | プラズマ処理装置 | |
US20130224961A1 (en) | Plasma tuning rods in microwave resonator plasma sources |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A977 | Report on retrieval |
Free format text: JAPANESE INTERMEDIATE CODE: A971007 Effective date: 20170324 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20170404 |
|
A601 | Written request for extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A601 Effective date: 20170530 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20170803 |
|
A02 | Decision of refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A02 Effective date: 20180109 |