TWI757483B - 蝕刻方法 - Google Patents
蝕刻方法 Download PDFInfo
- Publication number
- TWI757483B TWI757483B TW107115504A TW107115504A TWI757483B TW I757483 B TWI757483 B TW I757483B TW 107115504 A TW107115504 A TW 107115504A TW 107115504 A TW107115504 A TW 107115504A TW I757483 B TWI757483 B TW I757483B
- Authority
- TW
- Taiwan
- Prior art keywords
- gas
- region
- layer
- plasma
- etching
- Prior art date
Links
- 238000005530 etching Methods 0.000 title claims abstract description 84
- 238000000034 method Methods 0.000 title claims abstract description 84
- 239000007789 gas Substances 0.000 claims abstract description 350
- 238000012545 processing Methods 0.000 claims abstract description 112
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 claims abstract description 37
- 229910010271 silicon carbide Inorganic materials 0.000 claims abstract description 36
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 claims abstract description 28
- 229910052581 Si3N4 Inorganic materials 0.000 claims abstract description 26
- 150000002500 ions Chemical class 0.000 claims abstract description 26
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 claims abstract description 26
- 229910052757 nitrogen Inorganic materials 0.000 claims abstract description 16
- 229910052731 fluorine Inorganic materials 0.000 claims abstract description 14
- 239000011737 fluorine Substances 0.000 claims abstract description 14
- 239000000463 material Substances 0.000 claims description 31
- QJGQUHMNIGDVPM-UHFFFAOYSA-N nitrogen group Chemical group [N] QJGQUHMNIGDVPM-UHFFFAOYSA-N 0.000 claims description 15
- YCKRFDGAMUMZLT-UHFFFAOYSA-N Fluorine atom Chemical compound [F] YCKRFDGAMUMZLT-UHFFFAOYSA-N 0.000 claims description 13
- 238000011010 flushing procedure Methods 0.000 claims description 10
- 229910004298 SiO 2 Inorganic materials 0.000 claims description 6
- ATJFFYVFTNAWJD-UHFFFAOYSA-N Tin Chemical compound [Sn] ATJFFYVFTNAWJD-UHFFFAOYSA-N 0.000 claims description 4
- 229910000577 Silicon-germanium Inorganic materials 0.000 claims description 3
- 229910052732 germanium Inorganic materials 0.000 claims description 2
- 229910052707 ruthenium Inorganic materials 0.000 claims description 2
- PXGOKWXKJXAPGV-UHFFFAOYSA-N Fluorine Chemical compound FF PXGOKWXKJXAPGV-UHFFFAOYSA-N 0.000 abstract 1
- 239000003507 refrigerant Substances 0.000 description 12
- 238000010586 diagram Methods 0.000 description 10
- 101001090150 Equus caballus Sperm histone P2a Proteins 0.000 description 8
- 125000004433 nitrogen atom Chemical group N* 0.000 description 8
- 229910052751 metal Inorganic materials 0.000 description 7
- 239000002184 metal Substances 0.000 description 7
- 125000004429 atom Chemical group 0.000 description 6
- 238000009616 inductively coupled plasma Methods 0.000 description 6
- 229910052782 aluminium Inorganic materials 0.000 description 5
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 5
- 238000003486 chemical etching Methods 0.000 description 4
- 238000001816 cooling Methods 0.000 description 4
- 239000011261 inert gas Substances 0.000 description 4
- 230000002093 peripheral effect Effects 0.000 description 4
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N silicon dioxide Inorganic materials O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 4
- 238000012546 transfer Methods 0.000 description 4
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 3
- 230000015572 biosynthetic process Effects 0.000 description 3
- 239000000919 ceramic Substances 0.000 description 3
- 238000005260 corrosion Methods 0.000 description 3
- 230000007797 corrosion Effects 0.000 description 3
- -1 nitrogen ions Chemical class 0.000 description 3
- 239000001301 oxygen Substances 0.000 description 3
- 229910052760 oxygen Inorganic materials 0.000 description 3
- 239000010453 quartz Substances 0.000 description 3
- 239000013049 sediment Substances 0.000 description 3
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 2
- 229910052799 carbon Inorganic materials 0.000 description 2
- 239000011810 insulating material Substances 0.000 description 2
- 230000010354 integration Effects 0.000 description 2
- 238000001459 lithography Methods 0.000 description 2
- 230000003647 oxidation Effects 0.000 description 2
- 238000007254 oxidation reaction Methods 0.000 description 2
- 238000000059 patterning Methods 0.000 description 2
- 238000001020 plasma etching Methods 0.000 description 2
- 239000011148 porous material Substances 0.000 description 2
- 238000011160 research Methods 0.000 description 2
- 125000006850 spacer group Chemical group 0.000 description 2
- 239000000758 substrate Substances 0.000 description 2
- 241001501536 Alethe Species 0.000 description 1
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 1
- 101001016600 Equus caballus Sperm histone P2b Proteins 0.000 description 1
- 239000000654 additive Substances 0.000 description 1
- 230000000996 additive effect Effects 0.000 description 1
- 230000000903 blocking effect Effects 0.000 description 1
- 239000004020 conductor Substances 0.000 description 1
- 229910052802 copper Inorganic materials 0.000 description 1
- 239000010949 copper Substances 0.000 description 1
- 230000007423 decrease Effects 0.000 description 1
- 238000000151 deposition Methods 0.000 description 1
- 238000010438 heat treatment Methods 0.000 description 1
- 230000006698 induction Effects 0.000 description 1
- 238000010884 ion-beam technique Methods 0.000 description 1
- 238000004519 manufacturing process Methods 0.000 description 1
- 230000000873 masking effect Effects 0.000 description 1
- 239000000203 mixture Substances 0.000 description 1
- 238000012986 modification Methods 0.000 description 1
- 230000004048 modification Effects 0.000 description 1
- 239000005416 organic matter Substances 0.000 description 1
- 230000007261 regionalization Effects 0.000 description 1
- 229910052710 silicon Inorganic materials 0.000 description 1
- 239000010703 silicon Substances 0.000 description 1
- 229910001220 stainless steel Inorganic materials 0.000 description 1
- 239000010935 stainless steel Substances 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/302—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
- H01L21/306—Chemical or electrical treatment, e.g. electrolytic etching
- H01L21/3065—Plasma etching; Reactive-ion etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/683—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
- H01L21/6831—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32082—Radio frequency generated discharge
- H01J37/32091—Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32082—Radio frequency generated discharge
- H01J37/321—Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32715—Workpiece holder
- H01J37/32724—Temperature
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/027—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
- H01L21/033—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
- H01L21/0334—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
- H01L21/0337—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/0445—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising crystalline silicon carbide
- H01L21/0475—Changing the shape of the semiconductor body, e.g. forming recesses
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31105—Etching inorganic layers
- H01L21/31111—Etching inorganic layers by chemical means
- H01L21/31116—Etching inorganic layers by chemical means by dry-etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67017—Apparatus for fluid treatment
- H01L21/67063—Apparatus for fluid treatment for etching
- H01L21/67069—Apparatus for fluid treatment for etching for drying etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67098—Apparatus for thermal treatment
- H01L21/67103—Apparatus for thermal treatment mainly by conduction
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67098—Apparatus for thermal treatment
- H01L21/67109—Apparatus for thermal treatment mainly by convection
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/683—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
- H01L21/687—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
- H01L21/68714—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
- H01L21/68785—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10N—ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10N15/00—Thermoelectric devices without a junction of dissimilar materials; Thermomagnetic devices, e.g. using the Nernst-Ettingshausen effect
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/302—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
- H01L21/306—Chemical or electrical treatment, e.g. electrolytic etching
- H01L21/3065—Plasma etching; Reactive-ion etching
- H01L21/30655—Plasma etching; Reactive-ion etching comprising alternated and repeated etching and passivation steps, e.g. Bosch process
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/02—Semiconductor bodies ; Multistep manufacturing processes therefor
- H01L29/12—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
- H01L29/16—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
- H01L29/1608—Silicon carbide
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Power Engineering (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Chemical & Material Sciences (AREA)
- Plasma & Fusion (AREA)
- Inorganic Chemistry (AREA)
- Chemical Kinetics & Catalysis (AREA)
- General Chemical & Material Sciences (AREA)
- Analytical Chemistry (AREA)
- Crystallography & Structural Chemistry (AREA)
- Drying Of Semiconductors (AREA)
Abstract
本發明之目的係在蝕刻含碳化矽的工件時適切地改善選擇性。 依本發明一實施形態的蝕刻方法,係用以處理具備有含碳化矽的第1區域及與第1區域接觸並含氮化矽的第2區域之工件;產生含氮之第1氣體的電漿並將含該電漿中含有的離子之混合層形成於第1區域的露出面之原子層,產生含氟之第2氣體的電漿並藉由該電漿中含有的自由基去除混合層,反覆執行這樣的序列,將該第1區域逐一原子層予以去除,藉以蝕刻第1區域。
Description
本發明的實施形態係關於蝕刻工件的方法。
吾人瞭解電漿蝕刻是利用電漿處理裝置對工件進行之電漿處理的一種。用於電漿處理之抗蝕遮罩,係藉由微影技術形成,形成於被蝕刻層之圖案的最大尺寸,取決於由微影技術形成之抗蝕遮罩的解像度。但是抗蝕遮罩的解像度有解像極限。對電子元件要求高度積體化越發升高,以致於要求形成比抗蝕遮罩的解像極限小尺寸的圖案。專利文獻1、2以及非專利文獻1中公開了一種技術,例如蝕刻SiC(碳化矽)的工件。專利文獻1中公開了一種蝕刻方法,用Cl2F2與Ar之混合氣體對SiC進行反應性離子束蝕刻。專利文獻2中公開了一種方法,用含SF6氣體的氣體來蝕刻SiC。非專利文獻1中公開了一種技術,用含CF4氣體、SF6氣體、N2氣體之混合氣體來蝕刻SiC。
[專利文獻1] 日本特開平07-193044號公報
[專利文獻2] 日本特開平11-72606號公報
[非專利文獻1] “Reactive Ion Etching of 6H-SiC in SF6/02 and CF4/02 with N2 Additive for Device Fabrication”, R. Wolf and R. Helbig, J. Electrochem. Soc., Vol. 143, N0. 3, March 1996
另一方面,近年以來伴隨電子元件的高度積體化致使細微化連帶使工件上的圖案形成往前邁進的情況,要求控制在高精度的最小線寬(CD:Critical Dimension,臨界尺寸)。對SiC層垂直設置細孔縫時,為了要得到與遮罩的選擇性會有用Cl2系氣體或HBr系氣體的情況,但金屬部分會被Cl2系氣體或HBr系氣體腐蝕。用NF3系氣體的情況,能夠抑制金屬部分受到腐蝕,但會導致選擇性減少。會有藉由用含沉積性的碳之氣體得到與遮罩的選擇性的情況,但因含碳的氣體產生的沉積物阻會引起阻塞細孔縫的開口。因此期望有一種技術可在蝕刻含碳化矽的工件時適切地改善選擇性。
於一實施形態中,提供一種型式蝕刻具備第1區域及與第1區域接觸的第2區域之工件的方法。該蝕刻方法係反覆執行包含下列步驟之序列,將該第1區域逐一原子層予以去除,藉以蝕刻第1區域,而該步驟為:第1步驟,其在該工件所容納之電將處理裝置的處理容器內產生第1氣體的電漿,將含該第1氣體的電漿中含有的離子之混合層形成於該第1區域的露出面之原子層、第2步驟,其在執
行該第1步驟後,沖洗該處理容器內的空間、第3步驟,其在執行該第2步驟後,在處理容器內產生第2氣體的電漿,藉由該第2氣體的電漿中含有的自由基去除該混合層、及第4步驟,其在執行該第3步驟後,沖洗該處理容器內的空間;第1區域含碳化矽,第2區域含氮化矽,第1氣體含氮,第2氣體含氟。
在上述方法中,首先由於含氮化矽(SiN)的第2區域與第1區域接觸著,含碳化矽(SiC)之第1區域的露出面能夠由第2區域來界定。在含碳化矽之第1區域的露出面形成含氮離子之混合層,而該混合層係於反覆執行之序列中的第1步驟,藉由含氮之第1氣體的電漿形成。然後於該序列的第3步驟,用含氟之第2氣體的電漿中含有的自由基去除由第1步驟形成之混合層,但要充分抑制對含氮化矽之第2區域的蝕刻。如此於用含氮之第1氣體的第1步驟,準確地沿著第1區域的露出面之平面形狀形成混合層,於用含氟之第2氣體的第3步驟,從第1區域只去除該混合層。因此,要抑制對第2區域的蝕刻及在位於第1區域的露出面上方之第2區域的側面等形成沉積物,同時可在第1區域的露出面之平面形狀受到準確地維持的狀態下蝕刻第1區域。不論第1區域的露出面之平面形狀如何,可均等地蝕刻第1區域。進而這樣的包含的1步驟和的3步驟之序列反覆執行,藉以在第1區域的露出面之平面形狀受到準確地維持的狀態下,不論第1區域的露出面之平面形狀如何,可直到至期望的深度為止均勻地蝕刻第1區域。另外,第1氣體和第2氣體皆非Cl2系氣體和HBr系氣體,故能夠避免金屬部分受到腐蝕。
於一實施形態,在第1步驟中,對第1氣體的電漿施加偏壓電壓,能夠在第1區域的露出面之原子層形成含有離子之混合層。如此對第1氣體的電漿施加偏壓電壓,故能夠對第1區域的露出面各向異性地供應該電漿中含有的離子(氮原子的
離子)。因而第1區域的露出面形成之混合層,形成為從第1區域的露出面觀看準確地與第1區域的露出面之平面形狀一致的形狀。
於一實施形態,第1氣體為N2氣體,或為含N2氣體和O2氣體之混合氣體。如此就能夠實現含氮的第1氣體。
於一實施形態,第2氣體為含NF3氣體、H2氣體、O2氣體和Ar氣體之混合氣體。如此就能夠實現含氟的第2氣體。
於一實施形態中,提供一種型式在被處理容器內蝕刻工件的方法。工件具備第1區域及第2區域,而該第1區域含SiC,該第2區域含Ti、TiN、TiOx、W、WC、Hf、HfOx、Zr、ZrOx、Ta、SiO2、Si、SiGe、Ge或Ru(x為正數)。該方法係反覆執行包含下列步驟之序列,去除第1區域,而該步驟為:產生含氮之第1氣體的電漿,將含該第1氣體的電漿中含有的離子之混合層形成於第1區域之步驟、及在執行形成混合層的步驟後,在處理容器內產生含氟之第2氣體的電漿,去除混合層之步驟。
於一實施形態中,更具備:在形成該混合層之步驟與去除該混合層之步驟之間或在去除該混合層之步驟後,沖洗該處理容器內的空間之步驟。
於一實施形態中,第1氣體含N2氣體、NH3氣體、NO氣體、NO2氣體中至少一種的氣體,而第2氣體含NF3氣體、SF6氣體、CF4氣體中至少一種的氣體。
於一實施形態中,第1氣體更含O2氣體、CO2氣體、CO氣體、NO氣體、NO2氣體中至少一種的氣體。
於一實施形態中,第2氣體更含H2氣體、D2氣體、NH3氣體、O2氣體、CO2氣體、CO氣體、NO氣體、NO2氣體中至少一種的氣體。
於一實施形態中,提供一種型式的蝕刻方法。該蝕刻方法係具有:備妥具備有含矽的第1區域及與第1區域不同的第2區域之工件之步驟、將該工件曝露在氮電漿中,在該第1區域形成含氮的層之步驟、及在形成層之步驟後,將該工件曝露在氮電漿中,去除含氮的層之步驟;反覆進行形成層之步驟和除去層之步驟,去除第1區域。
如上所述,提供一種技術可在蝕刻含碳化矽的工件時適切地改善選擇性。
10:電漿處理裝置
120:氣體供應部
121:氣體導入口
122:氣體供應源
123:氣體供應配管
124:質流控制器
126:開關閥
12e:排氣口
134:晶圓搬入/搬出口
136:閘閥
14:支撐部
140:高頻天線
142A:內側天線元件
142B:外側天線元件
144:夾持體
150A、150B:高頻電源
160:遮蔽構件
162A:內側遮蔽壁
162B:外側遮蔽壁
164A:內側遮蔽板
164B:外側遮蔽板
168A、168B:致動器
18a:第1極板
18b:第2極板
192:處理容器
194:板狀介電體
22:直流電源
23:開關
24:冷媒流通路
26a、26b:配管
28:氣體供應管線
46:沉積物遮蔽環
48:排氣板
50:排氣裝置
52:排氣管
64:高頻電源
68:匹配器
ARa、ARb:區域
CD1、CD2:狀態
Cnt:控制部
EL、EL1:被蝕刻層
ESC:靜電卡夾盤
FR:對焦環
G1~G4:曲線圖
HP:加熱器電源
HT:加熱器
LE:下部電極
MK、MK1:遮罩
MT:方法
MX:混合層
PD:載置台
SF、SF1:表面
Sp:處理空間
SQ:序列
ST1、ST2a~ST2d、ST3:步驟
TH:值
TM:時序
TR:開口
W、W1:晶圓
【圖1】圖1係顯示一種實施形態的方法之流程圖。
【圖2】圖2係顯示電漿處理裝置的一個例子之圖。
【圖3】圖3(a)、(b)、(c)、(d)係顯示圖1所示之各步驟執行前和執行後工件狀態的一個例子之剖面圖。
【圖4】圖4係顯示執行圖1所示的方法中蝕刻被蝕刻層的蝕刻量與在被蝕刻層形成之混合層的厚度變動之圖。
【圖5】圖5(a)、(b)、(c)係顯示圖1所示的方法之蝕刻原理之圖。
【圖6】圖6係顯示執行圖1所示的方法得到的結果的一個例子之圖。
【圖7】圖7係說明一種實施形態的方法之另一種形態應用於工件的情況之圖。
以下,參考附圖詳細說明各種的實施形態。此外,各附圖中,在相同或相對應的部位,附註相同的圖號。以下,參考圖1說明可用電漿處理裝置10實施的方法(方法MT)。圖1係顯示一種實施形態的方法(方法MT)之流程圖。圖1所示一種實施形態的方法MT是一種處理工件(以下,會有稱為「晶圓」的情況)的方法。方法MT為蝕刻晶圓方法的一個例子。一種實施形態的方法MT可用單一的電漿處理裝置(例如,圖2所示的電漿處理裝置10)執行一系列的步驟。
圖2係顯示一種實施形態的電漿處理裝置10之概要圖。圖2所示的電漿處理裝置10具備感應耦合電漿(ICP;Inductively Coupled Plasma)型的電漿源。電漿處理裝置10具備金屬製(例如鋁製)形成為筒狀(例如圓筒狀)之處理容器192。處理容器192界定進行電漿處理的處理空間。此外,處理容器192的形狀並不受限於圓筒狀,例如為四角筒狀(例如箱狀)亦可。另外,電漿處理裝置10的電漿源並不受限於ICP型,例如用電子迴旋加速器共振(ECR;Electron Cyclotron Resonance)型、CCP型或微波等。
在處理容器192的底部設置用以載置晶圓W之載置台PD。載置台PD具備靜電卡夾盤ESC、下部電極LE。下部電極LE具備第1極板18a、第2極板18b。處理容器192界定處理空間Sp。
支撐部14於處理容器192的內側,被設置在處理容器192的底部上。例如,支撐部14為大致圓筒狀的形狀。例如,支撐部14由絕緣材料構成。構成支撐部14之絕緣材料可含氧,例如石英。支撐部14於處理容器192內從處理容器192的底部在鉛直方向上延伸。
載置台PD被設置在處理容器192內。載置台PD藉由支撐部14支撐。載置台PD係於載置台PD的上表面保持晶圓W。晶圓W為工件。載置台PD具備下部電極LE和靜電卡夾盤ESC。
下部電極LE包含第1極板18a和第2極板18b。例如,第1極板18a和第2極板18b由鋁等的金屬構成。例如,第1極板18a和第2極板18b為大致圓盤狀的形狀。第2極板18b被設置在第1極板18a上。第2極板18b電連接到第1極板18a。
靜電卡夾盤ESC被設置在第2極板18b上。靜電卡夾盤ESC在構造上為於一對的絕緣層之間或一對的絕緣薄片之間配置導電膜的電極。直流電源22透過開關23電連接到靜電卡夾盤ESC的電極。靜電卡夾盤ESC利用藉由來自直流電源22的直流電壓產生的靜電力吸附晶圓W。就這樣靜電卡夾盤ESC能夠保持晶圓W。
對焦環FR被配置在第2極板18b的周緣部上以使圍繞晶圓W的邊緣和靜電卡夾盤ESC。設置對焦環FR係為了要使蝕刻的均等性提高。對焦環FR由從蝕刻對象之膜的材料適度選出之材料構成,例如能由石英構成。
冷媒流通路24被設置在第2極板18b的內部。冷媒流通路24構成溫度控制機構。從被設置在處理容器192的外部之冷卻機構透過配管26a對冷媒流通路24供應冷媒。被供應到冷媒流通路24之冷媒透過配管26b回到冷卻機構。如此對冷媒流通路24供應循環的冷媒。藉由控制該冷媒的溫度,控制受靜電卡夾盤ESC支撐之晶圓W的溫度。氣體供應管線28將來自熱轉移氣體供應機構的熱轉移氣體,例如He氣體供應到靜電卡夾盤ESC的上表面與晶圓W的背面之間。
加熱器HT為加熱元件。例如,加熱器HT被嵌入第2極板18b內。加熱器電源HP連接至加熱器HT。從加熱器電源HP對加熱器HT供應電力,藉以調整載置台PD的溫度,然後調整被載置在載置台PD上之晶圓W的溫度。此外,加熱器HT能內建在靜電卡夾盤ESC。
板狀介電體194於載置台PD的上方,與載置台PD對向配置。下部電極LE及板狀介電體194被設成彼此大致平行。在板狀介電體194與下部電極LE之間提供有處理空間Sp。處理空間Sp為對晶圓W進行電漿處理的空間區域。
電漿處理裝置10中,沿著處理容器192的內壁,拆裝自如地裝設沉積物遮蔽環46。也就是沉積物遮蔽環46裝設在支撐部14的外周。沉積物遮蔽環46係用以防止在處理容器192附著蝕刻生成物(沉積物),能夠藉由鋁材被覆Y2O3等的陶瓷構成。沉積物遮蔽環除了由Y2O3構成外,還能夠由含氧的材料(例如石英)構成。
排氣板48為處理容器192的底部側,被設置在支撐部14與處理容器192的側壁之間。例如,排氣板48能夠藉由鋁材被覆Y2O3等的陶瓷構成。排氣口12e於排氣板48的下方,被設置在處理容器192。排氣裝置50透過排氣管52連接到排氣口
12e。排氣裝置50具備渦輪分子泵的真空泵,可將處理容器192內的空間減壓到期望的真空度為止。高頻電源64為產生用以將離子引入晶圓W之第2高頻電力,即高頻偏壓電力之電源,頻率在400[kHz]~40.68[MHz]的範圍內,本例子則是產生13[MHz]的高頻偏壓電力。高頻電源64透過匹配器68連接到下部電極LE。匹配器68為用以使高頻電源64的輸出阻抗與負載側(下部電極LE)的輸入阻抗匹配之電路。
在處理容器192的天棚部,面向載置台PD設置例如由石英玻璃或陶瓷構成之板狀介電體194。具體而言,氣密地安裝板狀介電體194以使處理容器192的天棚部形成的開口封閉,例如形成為圓盤狀。處理空間Sp為藉由電漿源產生電漿之空間。處理空間Sp為載置晶圓W之空間。
在處理容器192設置氣體供應部120以供應後述的第1氣體和第2氣體。該氣體供應部120將第1氣體和第2氣體供應給上述的處理空間Sp。在處理容器192的側壁部形成氣體導入口121,氣體供應源122透過氣體供應配管123連接到氣體導入口121。在氣體供應配管123的中間置入流量控制器(例如,質流控制器124和開關閥126),以控制第1氣體和第2氣體的流量。依據這樣的氣體供應部120,從氣體供應源122輸出的第1氣體和第2氣體,藉由質流控制器124控制在預設的流量,從氣體導入口121供應到處理容器192的處理空間Sp。
此外,圖2中為了要簡化說明,雖用一個系統的氣體管線來顯示氣體供應部120,但氣體供應部120具備供應多種類的氣體(至少第1氣體和第2氣體)作為處理氣體之構成。即,氣體供應部120具有使第1氣體和第2氣體不致混合在一起之配管.功能。另外,圖2所示的氣體供應部120為1個例子,具備從處理容器192的側
壁部供應氣體之構成,不過氣體供應部120並不受限於圖2所示的構成。例如,氣體供應部120亦可具備從處理容器192的天棚部供應氣體之構成。氣體供應部120具備這種構成的情況,在板狀介電體194(例如中央部)形成氣體導入口,能夠從該氣體導入口供應氣體。
在處理容器192的底部,透過排氣管52連接著排氣裝置50,以排出處理容器192內的氛圍。例如排氣裝置50藉由真空泵構成,能夠將處理容器192內的壓力維持在預設的壓力。
在處理容器192的側壁部設置晶圓搬入/搬出口134,在晶圓搬入/搬出口134設置閘閥136。例如晶圓W搬入之際,閘閥136開啟,晶圓W藉由搬送臂(未圖示)等的搬送機構載置於處理容器192內的載置台PD上後,閘閥136關閉,開始晶圓W的處理。
處理容器192的天棚部在板狀介電體194的上側面(外側面),設置平面狀的高頻天線140及覆蓋該高頻天線140之遮蔽構件160。一種實施形態的高頻天線140具備內側天線元件142A及外側天線元件142B,而內側天線元件142A被配置在板狀介電體194的中央部,外側天線元件142B以圍繞內側天線元件142A的外周方式配置。例如內側天線元件142A、外側天線元件142B分別為螺旋線圈形狀之銅、鋁、不銹鋼等的導體。
內側天線元件142A、外側天線元件142B均被多個夾持體144夾持而成為一體。例如夾持體144為棒狀的形狀。夾持體144從內側天線元件142A的中央附近突出至外側天線元件142B的外側,被配置成放射線狀。
遮蔽構件160具備內側遮蔽壁162A及外側遮蔽壁162B。內側遮蔽壁162A被設置在內側天線元件142A與外側天線元件142B之間以使圍繞內側天線元件142A。外側遮蔽壁162B為筒狀的形狀,被設成圍繞外側天線元件142B。因此,板狀介電體194的上側面被區分成內側遮蔽壁162A的內側之中央部(中央帶)及內側遮蔽壁162A與外側遮蔽壁162B之間之周緣部(周緣帶)。
在內側天線元件142A上設置圓盤狀的內側遮蔽板164A,以封閉內側遮蔽壁162A的開口。在外側天線元件142B上設置圓環盤狀的外側遮蔽板164B,以封閉內側遮蔽壁162A與外側遮蔽壁162B之間的開口。
遮蔽構件160的形狀並不受限於圓筒狀。例如,遮蔽構件160的形狀亦可為四角筒狀等其他的形狀,或者配合處理容器192形狀的形狀。此處則是處理容器192為大致圓筒狀的形狀,故遮蔽構件160為了配合該圓筒形狀也是大致圓筒狀的形狀。處理容器192為大致四角筒狀的形狀時,遮蔽構件160也是大致四角筒狀的形狀。
內側天線元件142A、外側天線元件142B各個分別連接著各個的高頻電源150A、高頻電源150B。藉此可對內側天線元件142A、外側天線元件142B各個施加相同頻率或不同頻率的高頻。例如以預設的電力[W]使頻率在27[MHz]等的高頻從高頻電源150A供應到內側天線元件142A,則會藉由處理容器192內形成的感應磁場激勵導入處理容器192內的氣體,能夠在晶圓W的中央部產生圓環型的電漿。另外,例如以預設的電力[W]使頻率在27[MHz]等的高頻從高頻電源150B供應到內側天線元件142B,則會藉由處理容器192內形成的感應磁場激勵導入處理
容器192內的氣體,能夠在晶圓W的周緣部產生另一種圓環型的電漿。從高頻電源150A、高頻電源150B分別輸出的高頻並不受限於上述的頻率,能夠從高頻電源150A、高頻電源150B分別供應各種頻率的高頻。此外,必須因應從高頻電源150A、高頻電源150B分別輸出的高頻來調整內側天線元件142A、外側天線元件142B的電氣長度。內側遮蔽板164A、外側遮蔽板164B則是分別藉由致動器168A、168B,可各別調整高度。
控制部Cnt為電腦,具備處理器、記憶部、輸入裝置、顯示裝置等,控制電漿處理裝置10的各部位。具體而言,控制部Cnt連接至質流控制器124、開關閥126、排氣裝置50、高頻電源150A、高頻電源150B、高頻電源64、匹配器68、加熱器電源HP及冷卻機組。
控制部Cnt依照根據被輸入的程式動作,送出控制訊號。藉由來自控制部Cnt的控制訊號,至少可控制從氣體供應源122供應之氣體的選擇和流量;排氣裝置50的排氣;來自高頻電源150A、高頻電源150B和高頻電源64的電力供應;加熱器電源HP的電力供應以及來自冷卻機組的冷媒流量和冷媒溫度。此外,藉由控制部Cnt的控制使電漿處理裝置10的各部位動作,即能夠執行本說明書揭示之對工件的蝕刻方法(圖1所示的方法MT)之各步驟。
回到圖1,針對方法MT繼續說明。以下的說明則是參考圖1、圖2、圖3、圖4、圖5進行說明。圖3(a)、圖3(b)、圖3(c)和圖3(d)係顯示圖1所示之各步驟執行前和執行後工件狀態的一個例子之剖面圖。圖4係顯示圖1所示的方法在執行中對被蝕刻層的蝕刻量與被蝕刻層形成之混合層的厚度變動之圖。圖5係顯示圖1所示的方法之蝕刻原理之圖。
藉由方法MT處理之工件(晶圓W)具備有第1區域及與該第1區域接觸的第2區域。第1區域含SiC(碳化矽)而第2區域含SiN(氮化矽)。在以下本實施形態的說明,藉由方法MT處理之晶圓W的構成雖為圖3(a)所示的構成,但也有具有其他構成之晶圓W藉由方法MT處理的情形。例如,除圖3(a)所示的構成外,均能夠使用於:可應用SADP(Spacer Aligned Double Patterning)技術之晶圓W的構成、可應用SAQP(Spacer Aligned Quadruple Patterning)技術之晶圓W的構成、可應用自動校準(Self-Alignment)技術之晶圓W的構成等藉由方法MT處理之晶圓W的構成。可應用SADP技術之晶圓W的構成等上述任何一種構成也具備有含SiC的第1區域及含SiN的第2區域,第1區域成為藉由方法MT之蝕刻的對象。
一種實施形態,於步驟ST1中,備妥圖3(a)所示的晶圓W,晶圓W容納於電漿處理裝置10的處理容器192內,載置在靜電卡夾盤ESC上。於步驟ST1備妥圖3(a)所示上述的晶圓作為圖2所示的晶圓W後,執行序列SQ和步驟ST3的各步驟。一種實施形態,圖3(a)所示的晶圓W具備支撐基體(未圖示)、設置在該支撐基體上之被蝕刻層EL(第1區域)、設置在被蝕刻層EL上(被蝕刻層EL的表面SF)之遮罩MK(第2區域)及設置在遮罩MK之開口TR。開口TR設置在遮罩MK的表面。遮罩MK具有從開口TR到被蝕刻層EL的表面SF之孔。開口TR透過該孔露出被蝕刻層EL。即,被蝕刻層EL的表面SF一部分(被蝕刻層EL的露出面)藉由開口TR露出,成為開口TR內側的底面。一種實施形態,被蝕刻層EL的材料含SiC,遮罩MK的材料含SiN。
步驟ST1之後的序列SQ和步驟ST3之一系列的步驟為蝕刻被蝕刻層EL之步驟。首先步驟ST1之後執行序列SQ一次(單位周期)以上。序列SQ為藉由與ALE
(Atomic Layer Etching)法相同之方法,不論遮罩MK的疏密如何均以高選擇性精密地蝕刻被蝕刻層EL當中未被遮罩MK遮蓋的區域之一系列的步驟,包含在序列SQ依序執行之步驟ST2a(第1步驟)、步驟ST2b(第2步驟)、步驟ST2c(第3步驟)及步驟ST2d(第4步驟)。
於步驟ST2a,在容納了晶圓W之電漿處理裝置10的處理容器192內產生第1氣體的電漿,將含該第1氣體的電漿中含有的離子之混合層MX,透過開口TR形成於被蝕刻層EL的表面SF(露出面)之原子層。例如,於步驟ST2a,透過高頻電源64對第1氣體的電漿施加偏壓電壓,能夠在被蝕刻層EL的表面SF之原子層形成含該第1氣體的電漿中含有的離子之混合層MX。於步驟ST2a,如圖3(b)所示,在晶圓W已載置在靜電卡夾盤ESC上的狀態下,對處理容器192內供應第1氣體,產生該第1氣體的電漿。一種實施形態,第1氣體含氮,具體而言含N2氣體。第1氣體可以是含N2氣體及O2氣體之混合氣體。圖3(b)所示的黑圓點表示第1氣體的電漿中含有的離子(氮原子的離子)。具體而言,從氣體供應源122的多個氣體源當中選出之氣體源,將含N2氣體的第1氣體供應到處理容器192內。然後從高頻電源150A和高頻電源150B供應高頻電力,從高頻電源64供應高頻偏壓電壓,使排氣裝置50動作,藉以將處理容器192內之處理空間Sp的氣壓設定在預設的值。就這樣在處理容器192內產生第1氣體的電漿,高頻偏壓電力往鉛直方向引進第1氣體的電漿中含有的離子(氮原子的離子),藉以透過開口TR與被蝕刻層EL的表面SF接觸,透過開口TR露出之被蝕刻層EL的表面SF(露出面)各向異性地被改質。如此於步驟ST2a被蝕刻層EL的表面SF當中各向異性地被改質的部位成為混合層MX。
圖5(a)、圖5(b)、圖5(c)係顯示圖1所示的方法(序列SQ)的蝕刻原理之圖。圖5中,白圓圈表示構成被蝕刻層EL的原子(例如構成SiC的原子),黑圓點表示第1氣體的電漿中含有的離子(氮原子的離子),帶有「×」的圓圈表示後述之第2氣體的電漿中含有的自由基。如圖5(a)和圖3(b)所示,藉由步驟ST2a使第1氣體的電漿中含有之氮原子的離子(黑圓點)透過開口TR各向異性地供應到被蝕刻層EL的表面SF(露出面)之原子層。如此藉由步驟ST2a使含構成被蝕刻層EL的原子及第1氣體的氮原子之混合層MX形成於由開口TR露出之被蝕刻層EL的表面SF(露出面)之原子層(加上圖5(a)也參考圖3(c))。
如上所述,因第1氣體含N2氣體,故於步驟ST2a對被蝕刻層EL的表面SF之原子層供應氮原子,混合層MX能夠形成於表面SF之原子層。
於步驟ST2a之後的步驟ST2b,沖洗處理容器192的處理空間Sp。具體而言,排出於步驟ST2a供應的第1氣體。於步驟ST2b將以稀有氣體(例如Ar氣體等)這樣的惰性氣體作為沖洗氣體供應到處理容器192亦可。即,於步驟ST2b,沖洗也可以是將惰性氣體流入處理容器192沖洗或藉由抽真空沖洗的任何一種方式。
於步驟ST2b之後的步驟ST2c,在處理容器192內產生第2氣體的電漿,藉由用該電漿中含有的自由基之化學蝕刻去除混合層MX。於步驟ST2c,如圖3(c)所示,於步驟ST2a形成了混合層MX後的晶圓W已載置在靜電卡夾盤ESC上的狀態下,對處理容器192內供應第2氣體,產生第2氣體的電漿。於步驟ST2c產生之第2氣體的電漿含有去除混合層MX的自由基。圖3c所示帶有「×」的圓圈表示第2氣體的電漿中含有的自由基。第2氣體含氟。第2氣體例如為含NF3氣體和H2氣體之混合氣體,例如可為含NF3氣體、H2氣體、O2氣體和Ar氣體之混合氣體。具體
而言,上述的第2氣體從氣體供應源122的多個氣體源當中選出的氣體源供應到處理容器192內,從高頻電源150A和高頻電源150B供應高頻電力,使排氣裝置50動作,藉以使處理容器192內之處理空間Sp的氣壓設定在預設的值。就這樣在處理容器192內產生第2氣體的電漿。於步驟ST2c產生之第2氣體的電漿中的自由基透過開口TR與被蝕刻層EL的表面SF之混合層MX接觸。如圖5(b)所示,藉由步驟ST2c,對被蝕刻層EL的表面SF形成之混合層MX供應第2氣體的原子之自由基,能夠藉由化學蝕刻從被蝕刻層EL去除混合層MX。
以上,如圖3(d)所示,於步驟ST2c,能夠藉由第2氣體的電漿中含有的自由基,從被蝕刻層EL的表面SF,去除被蝕刻層EL的表面SF形成之混合層MX。
於步驟ST2c之後的步驟ST2d,沖洗處理容器192內的處理空間Sp。具體而言,排出於步驟ST2c供應的第2氣體。於步驟ST2d將以稀有氣體(例如Ar氣體等)這樣的惰性氣體作為沖洗氣體供應到處理容器192亦可。即,於步驟ST2d,沖洗也可以是將惰性氣體流入處理容器192沖洗或藉由抽真空沖洗的任何一種方式。
於序列SQ之後的步驟ST3,判定執行序列SQ是否結束。具體而言,於步驟ST3,判定執行序列SQ的次數是否達到預設的次數。決定執行序列SQ的次數為決定對被蝕刻層EL的蝕刻量(藉由蝕刻形成於被蝕刻層EL之槽的深度)。序列SQ能夠反覆執行直到對被蝕刻層EL的蝕刻量達到預設的值為止蝕刻被蝕刻層EL。伴隨序列SQ執行次數的增加,對被蝕刻層EL的蝕刻量也會增加(幾乎線形增加)。因此,能夠決定執行序列SQ的次數,以使藉由1次(單位周期)執行序列SQ蝕刻之被蝕刻層EL的厚度(1次的步驟ST2a形成之混合層MX的厚度)與執行序列SQ的次數相乘的積成為預設的值。
參考圖4說明執行序列SQ中產生之對被蝕刻層EL的蝕刻量變動及被蝕刻層EL形成之混合層MX的厚度變動。圖4的曲線圖G1表示執行序列SQ中產生之對被蝕刻層EL的蝕刻量(任意單位)變動,圖4的曲線圖G2表示執行序列SQ中產生之被蝕刻層EL形成之混合層MX的厚度(任意單位)變動。圖4的橫軸為執行序列SQ中的時間,不過為了要使圖示簡化,執行步驟ST2b的時間和執行步驟ST2d的時間省略。如圖4所示,於1次(單位周期)執行序列SQ,如曲線圖G2所示,執行步驟ST2a直到混合層MX的厚度變成預設的值TH為止進行。於步驟ST2a形成之混合層MX的厚度之值TH能夠根據由高頻電源64施加的偏壓電力之值、第1氣體的電漿中含有之離子對被蝕刻層EL每單位時間的劑量(dose)及執行步驟ST2a的時間決定。
另外,如圖4所示,於1次(單位周期)執行序列SQ,如曲線圖G1和曲線圖G2所示,執行步驟ST2c直到於步驟ST2a形成之混合層MX被完全去除為止進行。直到於執行步驟ST2b中到達時序TM為止,藉由化學蝕刻完全去除混合層MX。時序TM能夠藉由於步驟ST2c進行之化學蝕刻的蝕刻率決定。時序TM在執行步驟ST2b中產生。於時序TM至步驟ST2b結束為止的期間,去除混合層MX後之被蝕刻層EL不會因第2氣體的電漿而被蝕刻(自限)。即,用第2氣體的電漿中含有的自由基時,相較於蝕刻混合層MX的蝕刻率,蝕刻被蝕刻層EL的蝕刻率極小。
被判定於步驟ST3執行序列SQ的次數並未達到預設的次數時(步驟ST3:NO),再度反覆執行序列SQ。另一方面,被判定在步驟ST3執行序列SQ的次數達到預設的次數時(步驟ST3:YES),結束執行序列SQ。序列SQ和步驟ST3之一系列的步驟為用遮罩MK反覆執行序列SQ以將該被蝕刻層EL逐一原子層予以去
除,藉以不論遮罩MK圖案的疏密或開口TR的幅寬程度(值)如何,都會精密地蝕刻被蝕刻層EL之步驟。即,序列SQ僅要反覆預設的次數,藉以不論遮罩MK圖案的疏密或開口TR的幅寬程度(值)如何,都會依與遮罩MK所提供之開口TR的幅寬相同和均等的幅寬,準確地蝕刻被蝕刻層EL,又會改善對遮罩MK的選擇性。如上所述,序列SQ和步驟ST3一系列的步驟,可藉由與ALE法相同的方法,將該被蝕刻層EL逐一原子層予以去除。
以下顯示步驟ST2a、步驟ST2c各個主要處理條件的實施例。
<步驟ST2a的處理條件>
.處理容器192內的壓力[mTorr]:30[mTorr]
.高頻電源150A和高頻電源150B之高頻電力的值[W]:0[W](27[MHz])
.高頻電源64之高頻電力的值[W](頻率[MHz]):50[W](13[MHz])
.第1氣體:N2氣體
.第1氣體的流量[sccm]:200[sccm]
.基板溫度[℃]:60[℃]
.處理時間[s]:15[s]
<步驟ST2c的處理條件>
.處理容器192內的壓力[mTorr]:400[mTorr]
.高頻電源150A和高頻電源150B之高頻電力的值[W]:600[W](27[MHz])
.高頻電源64之高頻電力的值[W](頻率[MHz]):0[W](13[MHz])
.第2氣體:含NF3氣體、H2氣體、O2氣體和Ar氣體之混合氣體
.第2氣體的流量[sccm]:10[sccm](NF3氣體)、80[sccm](H2氣體)、150[sccm](O2氣體)、410[sccm](Ar氣體)
.基板溫度[℃]:60[℃]
.處理時間[s]:5[s]
<序列SQ的處理條件>
.反覆次數:5~60次
依上述的處理條件得到圖6所示的結果。圖6係對SiC層(一種實施形態中與被蝕刻層EL相同材料的層)、SiN層的各層執行圖1所示的方法而得到的結果的一個例子之圖。圖6所示的曲線圖G3為對SiC層執行圖1所示的方法而得到的結果,圖6所示的曲線圖G4為對SiN層執行圖1所示的方法而得到的結果。圖6的橫軸表示序列SQ的反覆次數而縱軸表示執行方法MT(序列SQ和步驟ST3)被去除的蝕刻量[nm](厚度)。如圖6所示,SiC層、SiN層任一種均隨著增加序列SQ的反覆次數,蝕刻量[nm]也會增加。但是序列SQ反覆次數的增加分相對之蝕刻量的增加分,SiC層(一種實施形態中與被蝕刻層EL相同材料的層)時明顯大於SiN層時。在例如以直線繪製曲線圖G3~G4的情況,曲線圖G3的斜率明顯大於曲線圖G4的斜率。因而,例如當序列SQ的反覆次數為24次時,(SiC層時的蝕刻量)/(SiN層時的蝕刻量)的值(選擇性)大約為23,不過序列SQ的反覆次數為60次時則成為大約32,明顯增加。進而發明者精心研究的結果發現:方法MT用於SiC層時的蝕刻率[nm/min]相較於方法MT用於SiN層等其他材料的層時的蝕刻率[nm/min]明顯變大,且相較於不對SiC層進行步驟STa只進行步驟STc的蝕刻時的蝕刻率[nm/min]明顯變大。因此,藉由方法MT蝕刻SiC的被蝕刻層EL時,用SiN等的材料之遮罩MK的話就能夠實現良好的選擇性。
再則,發明者精心研究的結果發現:(Ar氣體的流量[sccm])/(O2氣體的流量[sccm])的值低於410/150時,會有在被蝕刻層EL產生異物的情形,故為了要避免
異物產生,最好是於步驟ST2c設定Ar氣體的流量[sccm]和O2氣體的流量[sccm]以使(Ar氣體的流量[sccm])/(O2氣體的流量[sccm])的值成為410/150以上的值。尤其被蝕刻層EL為SiC時,在遮罩MK為SiN時,O2氣體的流量最好是為了要能夠充份使SiC的表面減少氧化且充分使SiN的表面增加氧化所必要的流量。
在上述的方法MT,首先含碳化矽(SiC)之第1區域(被蝕刻層EL)的露出面(透過開口TR露出之表面SF的一部分),由於含氮化矽(SiN)之第2區域與第1區域接觸著,能夠由第2區域來界定。在含碳化矽之第1區域的露出面,形成含有氮離子之混合層MX,而該混合層MX係於反覆執行之序列SQ的步驟ST2a,藉由含氮之第1氣體的電漿形成。然後於序列SQ的步驟ST2c,用含氟之第2氣體的電漿中含有的自由基去除由步驟ST2a形成的混合層MX,不過要充分抑制對含氮化矽之第2區域的蝕刻。如此於用含氮的第1氣體之步驟ST2a,準確地沿著第1區域的露出面之平面形狀(開口TR的形狀)形成混合層MX,於用含氟的第2氣體之步驟ST2c,從第1區域只去除混合層MX。因此,避免蝕刻第2區域及在位於第1區域的露出面上方之第2區域(遮罩MK)的側面(遮罩MK的開口或側壁)等形成沉積物,同時能夠在第1區域的露出面之平面形狀被準確地維持狀態下蝕刻第1區域。不論第1區域的露出面之平面形狀如何都能夠均等地蝕刻第1區域。進而包含步驟ST2a和步驟ST2c之序列SQ反覆執行,藉以在第1區域的露出面之平面形狀被準確地維持的狀態下,不論第1區域的露出面之平面形狀如何都能夠直到至期望的深度為止均等地蝕刻第1區域。另外,因第1氣體和第2氣體都不是Cl2系氣體和HBr系氣體,能夠避免金屬部分受到腐蝕。
再則,對第1氣體的電漿施加偏壓電壓時,能夠對第1區域(被蝕刻層EL)的露出面(透過開口TR露出之表面SF的一部分)各向異性地供應該電漿中含有的離子
(氮原子的離子)。因而第1區域的露出面形成之混合層MX可形成為從第1區域的露出面上觀看精準確地與第1區域的露出面之平面形狀(開口TR的形狀)一致的形狀。
以上,雖在適當的實施形態中圖示說明了本發明的原理,但本發明在不脫離的這些原理下能夠變更配置和細節,這點相關業者應可理解。本發明並不受限於本實施形態中揭示之特定的構成。因此,請求的權利包括申請專利範圍及其精神範圍內所有的修改和變更。
雖被蝕刻層EL的材料為其他的材料(例如SiN等)時和遮罩MK的材料為其他的材料(例如含Si的其他材料等)時均可執行蝕刻方法MT,但必須因應被蝕刻層EL的材料和遮罩MK的材料來適當地調節包含選擇第1氣體種類和第2氣體種類的處理條件(例如參考後述的實施形態)。
(其他的實施形態)
一種實施形態的方法MT,被蝕刻層EL(第1區域)的材料為SiC時,第2區域的材料不受限於SiN,例如可用Ti、TiN、TiOx、W、WC、Ru、Hf、HfOx、Zr、ZrOx、Ta、SiO2、Si、SiGe或Ge中至少一種的材料(x為1以上的數字。以下同樣)
在被蝕刻層EL的表面SF之原子層形成混合層MX之第1氣體能夠含具有N(氮)的氣體,具體而言N2氣體、NH3氣體、NO氣體、NO2氣體中至少一種的氣體。第1氣體能夠含這樣具有N的氣體並且更具有O2氣體、CO2氣體、CO氣體、NO氣體、NO2氣體等具有O(氧)的氣體中至少一種的氣體。
用於除去混合層MX之第2氣體能夠含具有F(氟)的氣體,具體而言NF3氣體、SF6氣體、CF4氣體中至少一種的氣體。第2氣體更含H2氣體、D2氣體、NH3氣體、具有O的氣體(例如,O2氣體、CO2氣體、CO氣體、NO氣體、O2氣體等)中至少一種的氣體。
電漿源為往下部的離子能量相對低的話即可。例如ICP、ECR(Electron Cyclotron Resonance)電漿、用離子捕捉的構成、RLSA(Radial Line Slot Antenna)產生的電漿等。
能夠在第1氣體、第2氣體、或者第1氣體及第2氣體兩者中添加具有O的氣體。添加具有O的氣體的時序為第1氣體的供應期間、第2氣體的供應期間各期間一部分的期間亦可。
此外,遮罩MK的材料含Ru時,不進行添加具有O的氣體。具有O的氣體可在用第2氣體進行去除混合層MX之步驟ST2c執行前添加。
此外,該方法MT亦可應用於圖7所示的晶圓W1蝕刻被蝕刻層EL1(第1區域)時。被蝕刻層EL1與圖3所示晶圓W的被蝕刻層EL對應。圖7所示的晶圓W1具備被蝕刻層EL1、區域ARa(第2區域)、區域ARb(第2區域)。沿著晶圓W1的表面SF1形成被蝕刻層EL1、區域ARa、區域ARb。在表面SF1露出被蝕刻層EL1、區域ARa、區域ARb。在區域ARa上設置遮罩MK1(第2區域)。
被蝕刻層EL1的材料含SiC。例如,區域ARa的材料和區域ARb的材料含Si、SiN、SiO2、金屬、有機物。例如,遮罩MK1的材料含有機物或SiO2。這種構成
的晶圓W1,從蝕刻前的狀態CD1,藉由執行方法MT的蝕刻,達到蝕刻後的狀態CD2。方法MT係反覆執行包含步驟ST2a及步驟ST2c之序列SQ,而步驟ST2a係在被蝕刻層EL1的表面形成含氮的層(與圖2所示的混合層MX對應的層),步驟ST2c係去除含氮的層。藉此狀態CD1的晶圓W1只選擇性蝕刻被蝕刻層EL1,形成狀態CD2的晶圓W1。步驟ST2a中施加高頻偏壓電壓來進行亦可,步驟ST2c中不施加高頻偏壓電壓來進行亦可。於步驟ST2c不施加高頻偏壓電壓時,可提高蝕刻選擇性。
(另一個其他的實施形態)
更期望一種技術可在蝕刻含碳化矽的工件時適切地改善選擇性。以下說明的其他實施形態中,方法MT為選擇性蝕刻具有SiO2的被蝕刻層EL(第1區域)之方法。在該方法MT,例如第2區域的材料可用Ti、TiN、TiOx、W、WC、Ru、Hf、HfOx、Zr、ZrOx、Ta中至少一種的材料。
在被蝕刻層EL的表面SF之原子層形成混合層MX的第1氣體可含具有N的氣體,具體而言N2氣體、NH3氣體、NO氣體、NO2氣體中至少一種的氣體。第1氣體可含這種具有N的氣體,並且更含O2氣體、CO2氣體、CO氣體、NO氣體、NO2氣體等具有O的氣體中任何一種的氣體。
用於去除混合層MX的第2氣體可含具有F的氣體,具體而言NF3氣體、SF6氣體、CF4氣體中至少一種的氣體。第2氣體更含H2氣體、D2氣體、NH3氣體、具有O的氣體(例如O2氣體、CO2氣體、CO氣體、NO氣體、NO2氣體)中至少一種的氣體。
電漿源為往下部的離子能量相對低的話即可。例如ICP、ECR(Electron Cyclotron Resonance)電漿、用離子捕捉的構成、RLSA產生的電漿等。
可在第1氣體、第2氣體或兩者添加具有O的氣體。添加具有O的氣體的時序為第1氣體的供應期間、第2氣體的供應期間各期間一部分的期間亦可。
此外,遮罩MK的材料含Ru時,不進行添加具有O的氣體。具有O的氣體可在用第2氣體進行去除混合層MX之步驟ST2c執行前添加。
另外,如上述揭示所有實施形態的方法MT(參考圖1)中,於步驟ST1備妥工件(例如晶圓W、晶圓W1),而該工件具備含有第1材料的被蝕刻層EL(例如被蝕刻層EL、被蝕刻層EL1)、含有與被蝕刻層不同材料的區域(例如遮罩MK、區域AR1a、區域AR1b、遮罩MK1)。進而,以該方法MT,於步驟ST2a,將工件曝露在氮電漿中,在被蝕刻層EL形成含氮的層(例如混合層MX)。於步驟ST2b,形成含氮的層之步驟ST2a後,將工件曝露在氟電漿中,去除含氮的層。然後以該方法MT,反覆進行步驟ST2a和步驟ST2c,去除被蝕刻層。於步驟ST2a施加高頻偏壓電壓來進行亦可,於步驟ST2c,不施加高頻偏壓電壓來進行亦可。於步驟ST2c不施加高頻偏壓電壓時,可改善蝕刻選擇性。
MT‧‧‧方法
SQ‧‧‧序列
ST1、ST2a~ST2d、ST3‧‧‧步驟
Claims (14)
- 一種蝕刻方法,係對具備有第1區域及與該第1區域接觸的第2區域之工件進行蝕刻,該方法係藉由使用該第2區域作為遮罩且藉由反覆執行包含下列步驟之序列,將作為被蝕刻層的該第1區域逐一原子層予以去除,藉以蝕刻該第1區域,第1步驟,其在容納該工件之電漿處理裝置的處理容器內產生第1氣體的電漿,將包含該第1氣體的電漿中所含有的離子之混合層形成於該第1區域的露出面之原子層;第2步驟,其於執行該第1步驟後,沖洗該處理容器內的空間;第3步驟,其於執行該第2步驟後,在處理容器內產生第2氣體的電漿,藉由該第2氣體的電漿中所含有的自由基去除該混合層;及第4步驟,其於執行該第3步驟後,沖洗該處理容器內的空間;該第1區域含碳化矽,該第2區域含氮化矽,該第1氣體含氮,該第2氣體含氟。
- 如申請專利範圍第1項所述之蝕刻方法,其中,於第1步驟中,對該第1氣體的電漿施加偏壓電壓,在該第1區域的露出面之原子層形成含有該離子之該混合層。
- 如申請專利範圍第1或2項所述之蝕刻方法,其中,該第1氣體為N2氣體,或為含N2氣體和O2氣體之混合氣體。
- 如申請專利範圍第1或2項所述之蝕刻方法,其中,該第2氣體為含NF3氣體、H2氣體、O2氣體和Ar氣體之混合氣體。
- 如申請專利範圍第3項所述之蝕刻方法,其中,該第2氣體為含NF3氣體、H2氣體、O2氣體和Ar氣體之混合氣體。
- 如申請專利範圍第1項所述之蝕刻方法,更包含:判定該序列的反覆次數是否達到一預設次數。
- 如申請專利範圍第6項所述之蝕刻方法,其中,該預設次數係基於該第1區域的蝕刻量而加以決定。
- 如申請專利範圍第6項所述之蝕刻方法,其中,該預設次數係加以決定,俾使藉由執行該序列1次所去除的該第1區域的蝕刻厚度與該預設次數之乘積達到一預設的值。
- 一種蝕刻方法,係在處理容器內對具備有含SiC的第1區域及含Ti、TiN、TiOx、W、WC、Hf、HfOx、Zr、ZrOx、Ta、SiO2、Si、SiGe、Ge或Ru的第2區域(x為正數)之工件進行蝕刻,該蝕刻方法係藉由使用該第2區域作為遮罩且藉由反覆執行包含下列各步驟之序列,以去除作為被蝕刻層的該第1區域,而該各步驟為:產生含氮之第1氣體的電漿,將包含該第1氣體的電漿中所含有的離子之混合層形成於該第1區域之步驟;及在執行形成該混合層之該步驟後,在該處理容器內產生含氟之第2氣體的電漿,而去除該混合層之步驟。
- 如申請專利範圍第9項所述之蝕刻方法,其中,更具備:在形成該混合層之該步驟與去除該混合層之該步驟之間或在去除該混合層之該步驟後,沖洗該處理容器內的空間之步驟。
- 如申請專利範圍第9或10項所述之蝕刻方法,其中,該第1氣體包含N2氣體、NH3氣體、NO氣體、NO2氣體中至少一種的氣體,該第2氣體包含NF3氣體、SF6氣體、CF4氣體中至少一種的氣體。
- 如申請專利範圍第11項所述之蝕刻方法,其中,該第1氣體更含O2氣體、CO2氣體、CO氣體、NO氣體、NO2氣體中至少一種的氣體。
- 如申請專利範圍第12項所述之蝕刻方法,其中,該第2氣體更含H2氣體、D2氣體、NH3氣體、O2氣體、CO2氣體、CO氣體、NO氣體、NO2氣體中至少一種的氣體。
- 一種蝕刻方法,具有下列的步驟:備妥包含有由含有碳化矽的第1材料組成的作為被蝕刻層的第1區域、及含有氮化矽的作為遮罩的第2區域之工件之步驟;將該工件曝露在氮電漿中,在該第1區域形成含氮的層之步驟;及於形成該含氮的層之該步驟後,將該工件曝露在氟電漿中,而去除含氮的層之步驟;藉由使用該第2區域作為遮罩且藉由反覆執行形成該含氮的層之該步驟和去除該含氮的層之該步驟,而去除該第1區域。
Applications Claiming Priority (4)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
JP2017094726 | 2017-05-11 | ||
JP2017-094726 | 2017-05-11 | ||
JP2018044678A JP7071850B2 (ja) | 2017-05-11 | 2018-03-12 | エッチング方法 |
JP2018-044678 | 2018-03-12 |
Publications (2)
Publication Number | Publication Date |
---|---|
TW201901799A TW201901799A (zh) | 2019-01-01 |
TWI757483B true TWI757483B (zh) | 2022-03-11 |
Family
ID=64480341
Family Applications (2)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW111102853A TWI830129B (zh) | 2017-05-11 | 2018-05-08 | 蝕刻裝置及蝕刻方法 |
TW107115504A TWI757483B (zh) | 2017-05-11 | 2018-05-08 | 蝕刻方法 |
Family Applications Before (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW111102853A TWI830129B (zh) | 2017-05-11 | 2018-05-08 | 蝕刻裝置及蝕刻方法 |
Country Status (4)
Country | Link |
---|---|
US (1) | US20220005700A1 (zh) |
JP (1) | JP7071850B2 (zh) |
KR (2) | KR102573655B1 (zh) |
TW (2) | TWI830129B (zh) |
Families Citing this family (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP7066565B2 (ja) * | 2018-07-27 | 2022-05-13 | 東京エレクトロン株式会社 | プラズマ処理方法およびプラズマ処理装置 |
JP7174634B2 (ja) * | 2019-01-18 | 2022-11-17 | 東京エレクトロン株式会社 | 膜をエッチングする方法 |
Citations (3)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
TW201521114A (zh) * | 2012-03-21 | 2015-06-01 | Hitachi Int Electric Inc | 半導體裝置之製造方法,基板處理方法,基板處理裝置及記錄媒體 |
US20160163558A1 (en) * | 2014-12-04 | 2016-06-09 | Lam Research Corporation | Technique to deposit metal-containing sidewall passivation for high aspect ratio cylinder etch |
US20160293398A1 (en) * | 2015-04-03 | 2016-10-06 | Lam Research Corporation | Deposition of conformal films by atomic layer deposition and atomic layer etch |
Family Cites Families (16)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPS627130A (ja) * | 1985-07-03 | 1987-01-14 | Hitachi Ltd | ドライエツチング方法 |
JP2799862B2 (ja) | 1987-12-26 | 1998-09-21 | 川崎重工業株式会社 | 高温フランジの締結構造 |
US5346578A (en) * | 1992-11-04 | 1994-09-13 | Novellus Systems, Inc. | Induction plasma source |
JP2992596B2 (ja) | 1992-12-16 | 1999-12-20 | 科学技術庁長官官房会計課長 | SiCのパターンエッチング方法及びそれを用いたラミナー型SiC回折格子の製造方法 |
US7179751B2 (en) | 2001-10-11 | 2007-02-20 | Texas Instruments Incorporated | Hydrogen plasma photoresist strip and polymeric residue cleanup process for low dielectric constant materials |
US20090156012A1 (en) * | 2007-12-12 | 2009-06-18 | Applied Materials, Inc. | Method for fabricating low k dielectric dual damascene structures |
KR101430093B1 (ko) * | 2010-03-04 | 2014-09-22 | 도쿄엘렉트론가부시키가이샤 | 플라즈마 에칭 방법, 반도체 디바이스의 제조 방법 및 플라즈마 에칭 장치 |
JP5264834B2 (ja) * | 2010-06-29 | 2013-08-14 | 東京エレクトロン株式会社 | エッチング方法及び装置、半導体装置の製造方法 |
WO2012008179A1 (ja) * | 2010-07-12 | 2012-01-19 | 住友精密工業株式会社 | エッチング方法 |
US9218983B2 (en) * | 2011-07-13 | 2015-12-22 | Tokyo Electron Limited | Etching method and device |
US8771536B2 (en) * | 2011-08-01 | 2014-07-08 | Applied Materials, Inc. | Dry-etch for silicon-and-carbon-containing films |
US9666414B2 (en) * | 2011-10-27 | 2017-05-30 | Applied Materials, Inc. | Process chamber for etching low k and other dielectric films |
US10297459B2 (en) | 2013-09-20 | 2019-05-21 | Lam Research Corporation | Technique to deposit sidewall passivation for high aspect ratio cylinder etch |
US9514953B2 (en) | 2013-11-20 | 2016-12-06 | Applied Materials, Inc. | Methods for barrier layer removal |
US9852923B2 (en) * | 2015-04-02 | 2017-12-26 | Applied Materials, Inc. | Mask etch for patterning |
US9620376B2 (en) | 2015-08-19 | 2017-04-11 | Lam Research Corporation | Self limiting lateral atomic layer etch |
-
2018
- 2018-03-12 JP JP2018044678A patent/JP7071850B2/ja active Active
- 2018-05-08 TW TW111102853A patent/TWI830129B/zh active
- 2018-05-08 TW TW107115504A patent/TWI757483B/zh active
- 2018-05-09 KR KR1020180053137A patent/KR102573655B1/ko active IP Right Grant
-
2021
- 2021-09-14 US US17/474,427 patent/US20220005700A1/en active Pending
-
2023
- 2023-08-29 KR KR1020230113502A patent/KR20230129345A/ko not_active Application Discontinuation
Patent Citations (3)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
TW201521114A (zh) * | 2012-03-21 | 2015-06-01 | Hitachi Int Electric Inc | 半導體裝置之製造方法,基板處理方法,基板處理裝置及記錄媒體 |
US20160163558A1 (en) * | 2014-12-04 | 2016-06-09 | Lam Research Corporation | Technique to deposit metal-containing sidewall passivation for high aspect ratio cylinder etch |
US20160293398A1 (en) * | 2015-04-03 | 2016-10-06 | Lam Research Corporation | Deposition of conformal films by atomic layer deposition and atomic layer etch |
Also Published As
Publication number | Publication date |
---|---|
JP2018190955A (ja) | 2018-11-29 |
KR20180124754A (ko) | 2018-11-21 |
TWI830129B (zh) | 2024-01-21 |
US20220005700A1 (en) | 2022-01-06 |
KR20230129345A (ko) | 2023-09-08 |
TW201901799A (zh) | 2019-01-01 |
TW202223986A (zh) | 2022-06-16 |
KR102573655B1 (ko) | 2023-09-01 |
JP7071850B2 (ja) | 2022-05-19 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
TWI760555B (zh) | 蝕刻方法 | |
US11380551B2 (en) | Method of processing target object | |
CN108878285B (zh) | 蚀刻方法 | |
US20180158684A1 (en) | Method of processing target object | |
KR102482619B1 (ko) | 에칭 방법 | |
KR102390726B1 (ko) | 유기막을 에칭하는 방법 | |
US11462412B2 (en) | Etching method | |
KR20230129345A (ko) | 플라즈마 처리 장치 및 에칭 방법 | |
US20210327719A1 (en) | Method for processing workpiece | |
JP7323330B2 (ja) | 基板処理方法および基板処理装置 | |
CN105702572A (zh) | 等离子体蚀刻方法 | |
US7488689B2 (en) | Plasma etching method | |
CN109075068B (zh) | 蚀刻方法 |