KR20160102356A - 10nm 이하의 패터닝을 달성하기 위한 물질 처리 - Google Patents

10nm 이하의 패터닝을 달성하기 위한 물질 처리 Download PDF

Info

Publication number
KR20160102356A
KR20160102356A KR1020160019697A KR20160019697A KR20160102356A KR 20160102356 A KR20160102356 A KR 20160102356A KR 1020160019697 A KR1020160019697 A KR 1020160019697A KR 20160019697 A KR20160019697 A KR 20160019697A KR 20160102356 A KR20160102356 A KR 20160102356A
Authority
KR
South Korea
Prior art keywords
layer
substrate
sidewall
oxygen
plasma
Prior art date
Application number
KR1020160019697A
Other languages
English (en)
Inventor
데이비드 엘. 오'메라
안젤리크 디. 레일리
안젤리크 디. 레일리
아키테루 고
기요히토 이토
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20160102356A publication Critical patent/KR20160102356A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J49/00Particle spectrometers or separator tubes
    • H01J49/02Details
    • H01J49/10Ion sources; Ion guns
    • H01J49/105Ion sources; Ion guns using high-frequency excitation, e.g. microwave excitation, Inductively Coupled Plasma [ICP]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • H01L21/31055Planarisation of the insulating layers involving a dielectric removal step the removal being a chemical etching step, e.g. dry etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32105Oxidation of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4814Conductive parts
    • H01L21/4821Flat leads, e.g. lead frames with or without insulating supports
    • H01L21/4828Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76811Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving multiple stacked pre-patterned masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Nanotechnology (AREA)
  • Ceramic Engineering (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Plasma Technology (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

제1 조성을 갖는 패터닝된 층을 갖는 구조물 및 상기 구조물 상에 형성된 제2 조성의 캡 층 및 측벽을 포함하는 기판 상의 패턴 밀도를 증가시키는 방법이 제공된다. 측벽은 화학적인 환경에 노출되어 제3 조성의 화학적으로 변형된 측벽 층을 생성한다. 캡 층 및 상기 구조물의 내부의 비변형 부분이 에칭 프로세스를 사용하여 제거되어 뒤에 상기 화학적으로 변형된 측벽 층이 남게 된다. 상기 기판의 하부 층 상에 상기 측벽 화학적 변형 층의 패턴 전사 에칭이 수행된다. 하나 이상의 통합 동작 변수가 상기 구조물의 폭, 높이, 측벽 각도, 라인 폭 거칠기, 및/또는 라인 에지 거칠기를 포함하는 타깃 임계 치수를 달성하기 위해 제어된다.

Description

10NM 이하의 패터닝을 달성하기 위한 물질 처리{MATERIAL PROCESSING TO ACHIEVE SUB-10NM PATTERNING}
본 발명은 기판 상의 반도체 패터닝 동작의 시퀀스를 수행하는 방법에 관한 것으로, 구체적으로는 10 nm 이하의 기판 상의 구조물의 패터닝을 수행하는 것에 관한 것이다.
반도체 제조 시에, 기판 상의 막의 패터닝은 시간이 흐름에 따라 무어의 법칙(Moore's law)에 따르도록 발전하고 있는 여러 가지 방법을 통해 달성될 수 있다. 첫 번째 방법은, 고급 노드 임계 치수(CD)(advanced nodes critical dimensions)를 달성하기 위해 단독으로는 더 이상 사용될 수 없는 종래의 리소그래피이다.
이중 패터닝은, 특징 치수를 정하기 위해 스페이서 증착을 사용하여 포토리소그래픽 능력 보다 더 작은 하드 마스크 특징을 생성하도록 사용되는 기술이다. 대표적인 이중 패터닝(DP; double patterning) 기술은 증착 및 에칭 도구의 양자가 필요한 상태로, 맨드릴(mandrel) 위의 증착, 스페이서를 형성하기 위한 에칭 및 맨드릴을 제거하기 위한 또다른 에칭의 시퀀스를 필요로 한다. 증착 '얇음(thin-ness)' 제한 및 맨드릴 형성 제한으로부터의 특징의 피치로 인해 종래의 DP 기술에 고유한 공간적인 제한이 일부 존재한다.
종래의 DP 기술은 현재 필요한 CD를 달성하기 위해 자기 정렬된(self-aligned) 이중 패터닝 또는 DSA(직접 자기 조립; directed self-assembly)와 같은 추가적인 기술과 조합되고 있다. 이들 방법은 고가로 될 수 있고 프로세스에 여러 개의 단계를 추가할 수 있다. 고급 극자외(EUV; ultra violet) 리소그래피가 사용 가능하면 이들 문제점 중 일부를 완화시킬 수 있지만, 더 작은 CD에 대한 지속적인 추진은 다가올 장래의 기술에 대한 도전 과제로 남을 것이다.
현재의 포토리소그래피 기술을 사용하여 얻어지는 것보다 더 낮은 범위에서 CD를 갖는 구조물을 제조하려는 요구가 존재한다. 더욱 구체적으로는, (1) EVU 리소그래피를 사용하지 않고 10 nm 이하의 구조물의 CD를 얻고; (2) 유효 처리량을 증가시키기 위해 통합 단계들의 설정 시간을 최소화하고, (3) 프로세스를 완료하기 위해 필요한 도구의 수를 최소화하고, 그리고/또는 (4) 소유 비용을 줄이려는 요구가 존재한다.
하나 이상의 통합 동작 변수를 갖는 통합 방식을 사용하여 기판 상의 구조물의 패턴 밀도를 증가시키는 방법이 제공되며, 상기 방법은, 처리실 내의 제1 조성의 패터닝된 층을 갖는 기판을 제공하는 단계로서, 상기 패터닝된 층은 측벽을 갖는 적어도 하나의 구조물 및 상기 구조물 상에 형성된 제2 조성의 캡 층을 포함하는, 단계; 상기 측벽을 화학적인 환경에 노출시켜, 상기 구조물의 노출된 표면 영역에 상기 화학적인 환경의 구성성분을 도입하여 제3 조성의 화학적으로 변형된 측벽 층을 생성함으로써 상기 구조물의 상기 측벽을 미리 정해진 깊이까지 화학적으로 변형시키는 단계; 상기 적어도 하나의 에칭 프로세스를 사용하여 상기 캡 층 및 상기 구조물의 내부의 비변형 부분을 선택적으로 제거하여 상기 화학적으로 변형된 측벽 층을 남기는 단계; 상기 화학적으로 변형된 측벽 층의 에칭 내성을 상기 적어도 하나의 에칭 프로세스에 사용되는 에칭제의 등급(class)으로 변형하는 구성성분을 포함하도록 선택하는 단계로서, 상기 제3 조성은 상기 제1 조성보다 상기 등급의 에칭제에 더욱 내성이 있는, 단계; 및 상기 기판의 하부 층 상에 상기 측벽 화학적 변형 층의 패턴 전사 에칭을 수행하는 단계를 포함한다. 선택된 하나 이상의 통합 동작 변수가 상기 구조물의 하나 이상의 타깃 임계 치수를 달성하기 위해 제어된다. 하나 이상의 통합 동작 변수는 상기 구조물의 폭, 높이, 측벽 각도, 라인 폭 거칠기, 및/또는 라인 에지 거칠기를 포함할 수 있다.
다른 실시예에서, 하나 이상의 통합 동작 변수를 갖는 통합 방식을 사용하여 기판 상에 구조물의 패턴 밀도를 증가시키는 방법이 제공되며, 상기 방법은: 처리실 내에 패터닝된 층을 갖는 기판을 제공하는 단계로서, 상기 패터닝된 층은 적어도 하나의 맨드릴(mandrel)을 포함하고, 상기 맨드릴은 유기 평탄화 스핀 온 물질, 질화 실리콘, 또는 자기 정렬 이중 패터닝 방식을 사용하여 제조되는 포토레지스트를 포함하는, 단계; 상기 패터닝된 층 상에 등각 스페이서 층을 증착하는 단계; 실리콘 에칭 화학적 성질을 사용하여 스페이서 반응성 이온 에칭(RIE) 시퀀스를 수행하는 단계; 상기 맨드릴용으로 사용되는 물질에 의거한 스트립핑 기술을 사용하여 맨드릴 풀 스트립 시퀀스를 수행하는 단계로서, 상기 맨드릴 풀 스트립 시퀀스는 측벽 및 캡 층을 갖는 스페이서를 생성하는, 단계; 상기 측벽을 화학적인 환경에 노출시켜 상기 측벽을 미리 정해진 깊이까지 화학적으로 변형시켜서, 화학적으로 변형된 측벽 층을 생성하는 단계; 상기 스페이서에 아주 가까운 상기 기판의 바닥부 및 상기 캡 층을 선택적으로 제거하는 단계; 상기 측벽 화학적 변형 층을 뒤에 남기기 위해 적어도 하나의 에칭 프로세스를 사용하여 상기 스페이서의 비변형 부분을 제거하도록 구성되는 스페이서 풀 에칭 시퀀스를 수행하는 단계; 및 상기 기판의 하부 층 상에 상기 측벽 화학적 변형 층의 패턴 전사 에칭을 수행하는 단계를 포함한다. 선택된 하나 이상의 통합 동작 변수가 상기 구조물의 하나 이상의 타깃 임계 치수를 달성하기 위해 제어된다. 하나 이상의 통합 동작 변수는 상기 구조물의 폭, 높이, 측벽 각도, 라인 폭 거칠기, 및/또는 라인 에지 거칠기를 포함할 수 있다.
도 1a는 10 nm 미만의 패턴 밀도로 구조물을 형성하도록 통합 방식을 수행하기 위한 예시적인 방법을 예시하는 플로우차트를 도시한다.
도 1b는 10 nm 미만의 패턴 밀도로 구조물을 형성하도록 통합 방식을 수행하기 위한 다른 예시적인 방법을 예시하는 다른 플로우차트를 도시한다.
도 2a는 처리실 내에 적어도 하나의 구조물을 포함하는 제1 조성의 패터닝된 층을 기판에 제공하기 위한 통합 동작의 개략적인 표현을 도시한다.
도 2b는 기판의 패터닝된 층 위에 등각 층을 증착하기 위한 통합 동작의 개략적인 표현을 도시한다.
도 2c는 할로겐 화학적 성질을 포함하는 플라즈마를 사용하여 스페이서 에칭를 수행하기 위한 통합 동작의 개략적인 표현을 도시한다.
도 2d는 구조물의 내부의 비변형 부분 및 캡 층을 선택적으로 제거하기 위한 통합 동작의 개략적인 표현을 도시한다.
도 2e는 구조물의 화학적으로 변형된 층의 측벽의 패턴 전사 에칭를 수행하기 위한 통합 동작의 개략적인 표현을 도시한다.
도 3a는 처리실 내에 적어도 하나의 구조물을 포함하는 제1 조성의 패터닝된 층을 기판에 제공하기 위한 통합 동작의 개략적인 표현을 도시한다.
도 3b는 패터닝된 층 상에 등각 층을 증착하기 위한 통합 동작의 개략적인 표현을 도시한다.
도 3c는 패터닝된 층 상에서 스페이스 반응 이온 에칭(RIE) 시퀀스를 수행하기 위한 통합 동작의 개략적인 표현을 도시한다.
도 3d는 실리콘 스페이서 및 캡 층을 생성하는 맨드릴-풀 스트립 시퀀스(mandrel-pull strip sequence)를 수행하기 위한 통합 동작의 개략적인 표현을 도시한다.
도 3e는 측벽을 화학적으로 변형하기 위해 측벽을 화학적인 환경에 노출시키기 위한 통합 동작의 개략적인 표현을 도시한다.
도 3f는 스페이서에 아주 가까운 기판의 바닥 부분 및 캡 층을 선택적으로 제거하기 위한 통합 동작의 개략적인 표현을 도시한다.
도 3g는 스페이서의 비변형 부분을 제거하도록 스페이서-풀 스트립 시퀀스를 수행하기 위한 통합 동작의 개략적인 표현을 도시한다.
도 3h는 기판의 하부층 상에 측벽 화학적으로 변형된 층의 패턴 전사 에칭 시퀀스를 수행하기 위한 통합 동작의 개략적인 표현을 도시한다.
도 4a는 본 발명의 통합 방식의 단계 동안의 처리실 내의 화학적인 환경의 수소, 산소, 및 질소 비와 경과된 처리 시간의 함수로서 기판 상의 패터닝된 층 내의 구조물의 두께의 예시적인 그래프이다.
도 4b는 본 발명의 통합 방식의 프로세스 단계 동안의 처리실 내의 수증기 부분 압력의 함수로서 기판 상의 패터닝된 층 내의 구조물의 두께의 예시적인 그래프이다.
도 4c1은 본 발명의 통합 방식의 프로세스 단계 동안 기판 온도 및 산화 시간의 함수로서 산화물 두께의 예시적인 그래프이다.
도 4c2는 본 발명의 통합 방식의 프로세스 단계 동안 기판 온도 및 산화 시간의 함수로서 산화물 두께의 다른 예시적인 그래프이다.
도 4d는 본 발명의 통합 방식의 프로세스 단계 동안 광학적 발광 분석법(OES) 신호의 크기 대 상대 처리 시간의 예시적인 그래프이다.
도 5는 일 실시예에 따르는 플라즈마 처리 시스템의 개략적인 표현을 도시한다.
도 6은 다른 일 실시예에 따르는 플라즈마 처리 시스템의 개략적인 표현을 도시한다.
도 7은 다른 일 실시예에 따르는 플라즈마 처리 시스템의 개략적인 표현을 도시한다.
도 8은 다른 일 실시예에 따르는 플라즈마 처리 시스템의 개략적인 표현을 도시한다.
도 9는 다른 일 실시예에 따르는 플라즈마 처리 시스템의 개략적인 표현을 도시한다.
도 10은 다른 일 실시예에 따르는 플라즈마 처리 시스템의 개략적인 표현을 도시한다.
도 11은 다른 일 실시예에 따르는 플라즈마 처리 시스템의 개략적인 표현을 도시한다.
도 12는 일 실시예에 따르는 플라즈마 소스의 단면도를 도시한다.
도 13a 및 도 13b는 다른 실시예에 따르는 플라즈마 소스의 단면도 및 저면도를 도시한다.
이하의 기재에서는, 제한하고자 하는 것이 아니라 설명의 목적으로, 처리 시스템의 특별한 기하학적 구조, 본 명세서에서 사용된 다양한 구성요소 및 프로세스의 기재와 같은 특정 상세가 나타나 있다. 그러나, 본 발명은 이들 특정 상세에서 벗어난 다른 실시예에서 실시될 수도 있음을 이해해야 한다.
유사하게, 설명을 위한 목적으로, 특정 번호, 재료 및 구성이 본 발명의 전체적인 이해를 제공하기 위해 나타나 있다. 그럼에도 불구하고, 본 발명은 특정 상세 없이 실시될 수도 있다. 더욱이, 도면에 도시되어 있는 여러 가지 실시예들은 예시적인 묘사일 뿐 반드시 크기 조정되어 도시된 것이 아님을 이해해야 한다.
여러 가지 실시예들은 본 발명을 이해하는 데 가장 도움이 되는 방식으로 다수의 별개의 동작으로서 차례로 기재된다. 그러나, 기재의 순서는 이들 동작이 반드시 순서 의존적인 것을 의미하는 것으로 해석되어서는 안된다. 특히, 이들 동작은 제시된 순서로 수행될 필요는 없다. 기재된 동작은 기재된 실시예와 상이한 순서로 수행될 수도 있다. 여러 가지 추가의 동작이 수행될 수도 있고/있거나 기재된 동작이 추가의 실시예에서 생략될 수도 있다.
본 명세서에서 사용되는 바와 같이 "기판"은 일반적으로 본 발명에 따라서 처리되는 대상을 지칭한다. 기판은 디바이스의 임의의 재료 부분 또는 구조물, 특히 반도체 또는 다른 전자 디바이스를 포함할 수도 있고, 예를 들면, 반도체 웨이퍼와 같은 베이스 기판 구조물 또는 박막과 같은 베이스 기판 구조물 상의 또는 위에 놓이는 층일 수도 있다. 따라서, 기판은 임의의 특정 베이스 구조물, 하부층 또는 상부층, 패터닝 또는 비패터닝에 한정되도록 의도되는 것이 아니라, 그러한 임의의 층 또는 베이스 구조물 및 층들 및/또는 베이스 구조물의 임의의 조합을 포함하도록 고려된다. 이하의 기재는 특정 타입의 기판을 참조할 수 있지만, 이것은 예시적인 목적일 뿐 제한하고자 하는 것은 아니다.
도 1a 및 도 2a 내지 도 2e는 선택된 통합 목적을 달성하기 위해 패터닝, 이 경우에는 10nm 이하의 패터닝을 달성하기 위해 재료 처리가 행해지는 구조물의 도식적 표현 및 동작 단계를 조합하기 위해 함께 논의된다.
도 1a는 10 nm 미만의 패턴 밀도로 구조물을 형성하도록 통합 방식을 수행하는 예시적인 방법을 예시하는 플로우차트를 도시한다. 도 2a 내지 도 2e는 패터닝 동작이 행해지는 구조물의 도식적 표현이다. 기판 상의 구조물의 패턴 밀도를 증가시키기 위한 방법은 통합 방식을 사용하고, 상기 통합 방식은 하나 이상의 통합 동작 변수를 갖는다. 하나 이상의 통합 동작 변수는 처리실 내부의 압력, 기판의 온도, 에칭 또는 화학적 처리를 수행하는 데 사용되는 하나 이상의 화학물질의 부분 압력, 사용되는 화학물질의 타입, 통합 동작을 위한 시간의 길이 등을 포함할 수 있다. 도 2a의 개략적인 표현(40)에 관련하여 참조하는 동작 3에서는, 패터닝된 층(45) 및 제1 조성의 패터닝되지 않은 층(47)을 갖는 기판(51)이 처리실(도시 생략됨) 내에 제공되고, 상기 패터닝된 층(45)은 측벽(49) 및 적어도 하나의 구조물(41) 상부에 형성된 제2 조성의 캡 층(43)을 갖는 적어도 하나의 구조물(41)을 포함한다. 기판(51)은 이전의 패터닝 단계에서 사용된 동일한 처리실을 사용하여 생성될 수 있거나 외부 소스로부터 처리실로 로딩될 수 있다. 기판(51)의 패터닝된 층(45)은 실리콘 맨드릴 또는 실리콘 테트라니트레이트, Si3N4일 수 있다. 기판(51)은 SiON, SiN, 또는 TiN 스톱 층(53)을 포함할 수 있다. 기판(51)은 또한 기판 스톱 층(53)을 가질 수도 있다. 제공된 기판(51)은 리소그래피, 자기 정렬된 이중 패터닝(SADP; self-aligned double patterning), 또는 직접 자기 조립(DSA; directed self-assembly)을 사용하여 제조되는 기판(51)일 수 있다.
도 2b의 개략적인 표현(60)에 관련하여 참조하는 동작 5에서는, 상기 측벽(68)이, 상기 구조물(62)의 노출된 표면 영역에 화학적인 환경(70)의 구성성분을 도입하고, 제3 조성의 캡 층(66) 및 화학적으로 변형된 측벽 층(66)을 생성함으로써 상기 구조물(62)의 상기 측벽(68)을 미리 정해진 깊이까지 화학적으로 변형시키도록 상기 화학적인 환경(70)에 노출된다. 화학적인 환경(70)은 산소 함유 환경이거나 질화 함유 환경, 또는 다른 도펀트 함유 환경일 수 있다. 상기 화학적인 환경(70)이 산소 함유 환경을 포함하는 경우, 상기 산소 함유 환경은 산소, 일산화탄소, 이산화탄소, 및/또는 이산화질소를 포함한다. 이와 달리, 상기 화학적인 환경(70)이 질소 함유 환경을 포함하는 경우, 상기 질소 함유 환경은 질소, 암모니아 및/또는 히드라진(hydrazine)을 포함한다. 도펀트 함유 환경의 예는 보란(borane), 디보란(diborane), 포스핀(phosphine) 및 아르신(arsine)을 포함한다.
도 2c의 개략적인 표현(80)에 관련하여 참조하는 동작 7에서는, 상기 캡 층(92) 및 기판(92)의 상기 구조물(84)의 내부의 비변형 부분(100)이 상기 화학적으로 변형된 측벽 층(88)을 남기도록 적어도 하나의 에칭 프로세스를 사용하여 선택적으로 제거된다. 적어도 하나의 에칭 프로세스는 CxHyFz 및 아르곤을 사용하는 에칭을 포함할 수 있다. 예를 들면, SiN 기판을 에칭하기 위해, CH3F/O2/Ar 또는 CH3F/O2/He가 이들이 산화물로 높게 선택되기 때문에 사용된다. SiON 기판을 에칭하기 위해, CO2 또는 다른 플루오로카본 가스 같은 몇몇 첨가물을 갖는 CH3F/O2/Ar 조건의 변이가 사용될 수 있다. TiN 기판을 에칭하기 위해, Cl2 기반 화학적 성질이 사용될 수 있다. 이 동작은 또한 적어도 하나의 에칭 프로세스를 사용하여 캡 층(92)의 브레이크스루(breakthrough)로서 알려져 있다.
도 2d의 개략적인 표현(100)에 관련하여 참조하는 동작 9에서는, 상기 화학적인 환경은 상기 화학적으로 변형된 측벽 층(112)의 내에칭성(etch resistance)을 상기 적어도 하나의 에칭 프로세스에서 사용되는 에칭제의 등급(class)으로 변경하는 구성성분을 포함하도록 선택되며, 상기 제3 조성은 상기 제1 조성보다 상기 에칭제의 등급에 더욱 내성이 높다. 이 동작은 또한 맨드릴 풀(mandrel pull)이라고 칭해지며, 여기에서 맨드릴(120)은 기판(116)의 상부에서 종결하는 기판(104)의 베이스까지 모든 방법으로 에칭된다.
도 2e의 개략적인 표현(120)에 관련하여 참조하는 동작 11에서는, 상기 기판(128)의 하부 층 상에 상기 측벽 화학적으로 변형된 층(126)의 패턴 전사 에칭이 수행된다. 패턴 에칭 전사는 기판 스톱 층(130)까지 기판(128)을 우선적으로 에칭하는 에칭 화학물질을 사용하여 달성되며 여기에서 기판 스톱 층(130)은 질화규소, 산질화규소, 또는 질화티타늄을 포함할 수 있다. 패턴 에칭 전사는 에천트(etchant)에 직접 노출되는 기판(128)의 부분을 에칭하고 구조물(124)에 의해 보호되는 기판(128)의 부분을 남긴다. 패턴 전사 동안 에칭되는 구조물(124)의 부분이 구조물(124)의 상위부의 현형(solid pattern)(134)에 비해 구조물(124)의 하위부의 상이한 도트 패턴(136)으로 나타나 있다. 패턴 에칭 전사는 당업자에게 알려져 있고 여기에서 상세히 커버되지 않는다. 본 발명자들은 1 내지 9 nm로 제한되지는 않지만 이 범위 내에 있는 구조물 두께(132)를 달성할 수 있었다.
동작 13에서는, 상기 구조물의 하나 이상의 타깃 임계 치수를 달성하기 위해 선택된 하나 이상의 통합 동작 변수를 제어하며, 상기 하나 이상의 타깃 임계 치수는 상기 구조물의 폭, 높이, 측벽 각도, 라인 폭 거칠기, 및/또는 라인 에지 거칠기를 포함한다. 상기 구조물의 하나 이상의 타깃 임계 치수를 달성하기 위해 선택된 하나 이상의 통합 동작 변수의 제어는 통합 방식의 하나 이상의 동작, 즉, 동작 5, 7, 9, 및/또는 11에서 달성될 수 있다.
일 실시예에서, 단 하나의 통합 동작 변수가 선택되면, 예를 들면, 동작 11에서 산화 프로세스 동안 구조물 상에 산화물 두께가 선택되면, 산화물 두께는 산화 프로세스 및 동작 11에서 최종 패턴 전사 에칭 시까지 모든 다른 프로세스 동안 제어된다. 다른 실시예에서, 2개의 통합 동작 변수, 예를 들면, H2, O2, 및 N2의 가스비 및 처리 시간의 시간 길이가 통합 방식을 위한 하나 이상의 통합 동작 변수로서 선택된다. 이 경우에는, 상기 비 및 처리 시간의 길이는 그러한 제어가 적용 가능한 모든 동작에서 제어된다. 통합 동작 변수의 임의의 선택 또는 조합은 폭, 높이, 측벽 각도, 라인 폭 거칠기, 라인 에지 거칠기 등을 포함하는 하나 이상의 타깃 임계 치수를 달성하기 위해 관련 통합 단계를 제어하는 데 사용될 수 있다.
통합 프로세스를 위한 하나 이상의 통합 동작 변수는 일반적으로 처리 시간, 처리실 내의 압력, 사용되는 화학물질 및 또는 가스, 화학물질 또는 가스의 유속, 기판의 온도, 플라즈마 전력, 바이어스 전력, 화학물질 또는 가스의 부분 압력, 화학물질 또는 가스 대 다른 화학물질 또는 가스의 비 등을 포함한다. 통합 동작 변수는 각각의 동작 단계에서 상이할 수 있고, 예를 들면, 증착 및 에칭 동작 단계는 상이한 관련 통합 동작 변수를 가진다.
상기 측벽을 노출시키는 것, 상기 캡 층 및 상기 구조물의 상기 내부 비변형 부분을 선택적으로 제거하는 것, 및 상기 구조물의 하부층 상에 상기 층의 상기 패턴 전사 에칭을 수행하는 것을 포함하는 전술한 통합 방식의 한 가지 장점은 모든 동작이 상기 처리실 내의 원위치에서 행해질 수 있다는 것이다. 원위치 처리는 전체 처리 시간을 단축시켜서, 시간당 합계 기판의 더 높은 처리량 및 더 낮은 소유 비용을 가능하게 한다.
일 실시예에서, 처리실은 유도성 결합 플라즈마(ICP; inductive coupled plasma), 반경 라인 슬롯 안테나(RLSA: radial line slot antenna), 또는 전자 사이클로트론 공명(ECR; electron cyclotron resonance) 디바이스를 포함하는 전력원을 사용하여 생성되는 고밀도 플라즈마를 사용한다. 다른 실시예에서는, 상기 캡 층 및 상기 구조물의 상기 내부 비변형 부분을 선택적으로 제거하는 것이 사플르오르화 탄소, 산소 및 육플루오르화 아르곤 또는 실리콘, 산소 및 헬륨을 사용하여 수행되는 플라즈마 화학적 성질을 이용한다. 일 어플리케이션에서, 기판은 기판 스톱 층을 포함하며, 상기 스톱 층은 산질화 실리콘, 질화 실리콘, 또는 질화 티타늄을 포함한다. 본 발명자들은 동작 11에서의 패턴 에칭 전사 후에 구조물의 1 내지 2 nm, 1 nm 내지 7 nm, 또는 3 내지 5 nm의 범위의 폭을 달성할 수 있었으며, 그 결과는 현재의 리소그래픽 패터닝 기술의 능력을 초과한다. 하나 이상의 통합 동작 변수의 범위는 0/50/9,000에서 300/400/11,000까지의 범위의 수소, 산소, 및 질소의 비를 포함하고; 플라즈마 챔버 벽이 약 80℃로 설정되며, 웨이퍼 온도는 0에서 200℃까지의 범위로 설정될 수 있고, 산화물층 두께는 0.01에서 1.05 nm까지의 범위이며; 수증기 부분 압력은 0.10에서 1.00 Torr까지의 범위이고; 산화 시간은 0.02에서 50 시간까지의 범위이며; 측벽 화학적 변형 층의 두께는 9.0 nm 이하, 7.0 nm 이하; 또는 5 nm 이하 중 하나이다.
도 1b는 10 nm 미만의 패턴 밀도로 구조물을 형성하도록 통합 방식을 수행하기 위한 다른 예시적인 방법을 예시하는 다른 플로우차트(20)를 도시한다. 도 3a의 개략적인 표현(140)에 관련하여 참조하는 동작 21에서는, 기판(148)이 처리실(도시 생략됨) 내에 패터닝된 층(146)을 갖고, 상기 패터닝된 층(146)은 적어도 하나의 맨드릴(142)을 포함하며, 유기 평탄화 스핀-온 물질, 질화 실리콘, 또는 자기 정렬 이중 패터닝 방식을 사용하여 제조되는 포토레지스트를 포함하는 상기 맨드릴(142)이 제공된다. 기판(2208)은 동일한 처리실을 사용하여 생성되었을 수 있거나 외부 소스로부터 처리실 내로 로드되었을 수도 있다. 기판(2208)의 패터닝된 층(146)은 실리콘 맨드릴 또는 실리콘 테트라니트레이트, Si3N4일 수 있다. 이와 달리, 패터닝된 층(146)은 유기 유전체 층(ODL), 실리콘 니트레이트, 레지스트, 또는 무정형 탄소 층(APF)일 수 있다. 기판(2208)은 SiON, SiN, 또는 TiN 스톱 층을 포함할 수 있다. 제공된 기판(2208)은 초극자외선(EUV) 리소그래피 또는 자기 정렬 이중 패터닝(SADP)을 사용하여 제조되는 기판(2208)일 수 있다.
도 3b의 개략적인 표현(160)에 관련하여 참조하는 동작 23에서는, 상기 패턴화된 층(164) 상에 등각 스페이서 층(172)이 증착된다. 등각 스페이서 층 증착은 당업계에 알려져 있어 본 명세서에서는 논의되지 않을 것이다. 등각 스페이서 층(172)은 화학 기상 증착(CVD) 프로세스, 플라즈마 강화 CVD(PECVD) 프로세스, 원자 층 증착(ALD) 프로세스, 플라즈마 강화 ALD(PEALD) 프로세스, 물리적 기상 증착(PVD) 프로세스, 스퍼터링 프로세스 등일 수 있고, 수반되는 프로세스는 상기 도 1a와 관련하여 기재되어 있다. 도 3c의 개략적인 표현(180)에 관련하여 참조하는 동작 25에서는, 실리콘 에칭 화학적 성질을 사용하는 스페이서 반응성 이온 에칭(RIE) 시퀀스가 기판(186) 위의 패터닝된 층(184) 상에서 수행된다. 브롬화 수소 HBr, 염소 Cl2, 육플루오르화 황 SF6, 또는 사플루오로메탄 CF4가 에칭제로서 사용될 수 있다. 등각 층(188)이 에칭되어 상부로부터 맨드릴(190)의 상부까지 아래로 완전히 등각 층을 이방성으로 제거한다. 또한, 기판(186)의 표면 상의 등각 층은 기판(186) 표면에 아주 가깝다. 스페이서 RIE 시퀀스의 단계들 및 명세는 상기 도 1a와 관련하여 기재된 프로세서와 유사하여 본 명세서에서 반복되지 않을 것이다.
도 3d의 개략적인 표현(200)에 관련하여 참조하는 동작 27에서는, 기판(206)까지 아래로 맨드릴(208)을 에칭하도록 맨드릴-풀 스트립 시퀀스(mandrel-pull strip sequence)는 상기 맨드릴(208)용으로 사용되는 물질에 근거하는 스트립핑(stripping) 기술을 사용하고, 상기 맨드릴-풀 스트립 시퀀스는 측벽(210) 및 캡 층(212)을 갖는 스페이서(202)를 생성한다. 일 실시예에서는, 맨드릴(208)은 유기 유전체 층 또는 무정형 탄소 층이고, 현장 플라즈마 스트립 시퀀스가 맨드릴(208)을 풀(pull) 또는 스트립하기 위해 사용된다. 다른 실시예에서는, 맨드릴(208)은 실리콘 니트레이트이고, 맨드릴(208)의 습식 벤치 풀 또는 스트립은 뜨거운 인산으로 행해진다.
도 3e의 개략적인 표현(220)에 관련하여 참조하는 동작 29에서는, 상기 측벽(232)은 화학적인 환경에 노출되어 상기 측벽(232)을 미리 정해진 깊이까지 화학적으로 변형시켜, 기판(230)의 화학적으로 변형된 측벽 층(224)을 생성한다. 화학적인 환경(238)은 산소 함유 또는 질소 함유 환경일 수 있다. 측벽(232)은 화학적인 환경에 노출되어, 상기 화학적인 환경의 구성성분을 상기 구조물(222)의 노출된 표면 영역에 도입하고 제3 조성의 화학적으로 변형된 측벽 층(228)을 생성함으로써 상기 구조물(222)의 상기 측벽(232)을 미리 정해진 깊이 d로 화학적으로 변형시킨다. 화학적인 환경(238)은 산소 함유 환경 또는 질화 함유 환경, 또는 다른 도펀트 함유 환경일 수 있다. 상기 화학적인 환경(238)이 산소 함유 환경을 포함하는 경우, 상기 산소 함유 환경은 산소, 일산화탄소, 이산화탄소, 및/또는 이산화 질소를 포함한다. 이와 달리, 상기 화학적인 환경(238)이 질소 함유 환경을 포함하는 경우, 상기 질소 함유 환경은 질소, 암모니아 및/또는 히드라진을 포함한다. 도펀트 함유 환경의 예는 보란, 디보란, 포스핀 및 아르신을 포함한다.
도 3f의 개략적인 표현(240)에 관련하여 참조하는 동작 31에서는, 상기 캡 층(254) 및 상기 스페이서(254)에 아주 가까운 기판(248)의 바닥 부분(250)이 선택적으로 제거된다. 캡 층(256) 및 상기 구조물(242)의 내부의 비변형 부분(258)이 상기 화학적으로 변형된 측벽 층(244)을 뒤에 남기도록 적어도 하나의 에칭 프로세스를 사용하여 선택적으로 제거된다. 적어도 하나의 에칭 프로세스는 CxHyFz 및 아르곤을 사용하는 에칭을 포함할 수 있다. 예를 들면, SiN 기판을 에칭하기 위해, CH3F/O2/Ar 또는 CH3F/O2/He가 이들이 산화물로 높게 선택되기 때문에 사용된다. SiON 기판을 에칭하기 위해, CO2 또는 다른 플루오로카본 가스 같은 몇몇 첨가물을 갖는 CH3F/O2/Ar 조건의 변이가 사용될 수 있다. TiN 기판을 에칭하기 위해, Cl2 기반 화학적 성질이 사용될 수 있다. 이 동작은 또한 캡 층(256)의 브레이크스루로서 알려져 있다.
도 3g의 개략적인 표현(260)에 관련하여 참조하는 동작 33에서는, 화학적으로 변형된 층(266)의 상기 측벽(264)을 뒤에 남기기 위해 상기 스페이서(262)의 비변형 부분(270)을 제거하도록 구성된 스페이서-풀 에칭 시퀀스가 수행된다. 이 특정 동작은 또한 스페이서 풀로서 알려져 있고 당업자에게 잘 알려진 프로세스이므로 본 명세서에서는 상세히 논의되지 않을 것이다.
도 3h의 개략적인 표현(280)에 관련하여 참조하는 동작 35에서는, 상기 기판(292)의 하부층(290) 상에 상기 측벽 화학적으로 변형된 층(286)의 패턴 전사 에칭 시퀀스가 수행되어 기판 스톱 층(288)을 남긴다. 페턴 에칭 전사는 부식제에 직접 노출되는 기판(292)의 부분을 에칭하고 구조물(282)에 의해 보호되는 기판(292)의 부분을 남긴다. 패턴 전사 동안 에칭되는 구조물(282)의 부분은 구조물(282)의 상위 부분의 현형(284)에 비해 구조물(290)의 하위부의 상이한 도트 패턴(290)으로 나타나 있다. 패턴 에칭 전사는 당업자에게 알려져 있고 여기에서 상세히 커버되지 않는다. 본 발명자들은 1 내지 9 nm로 제한되지는 않지만 이 범위 내에 있는 구조물 두께(294)를 달성할 수 있었다.
동작 37에서는, 상기 구조물의 하나 이상의 타깃 임계 치수를 달성하기 위해 선택된 하나 이상의 통합 동작 변수가 제어되며, 상기 하나 이상의 타깃 임계 치수는 상기 구조물의 폭, 높이, 측벽 각도, 라인 폭 거칠기, 및/또는 라인 에지 거칠기를 포함한다. 3차원 임계 치수와 같은 다른 타깃 임계 치수가 또한 반도체 어플리케이션에 따라 사용될 수도 있다. 앞에서 언급한 바와 같이, 상기 구조물의 하나 이상의 타깃 임계 치수를 달성하기 위해 선택된 하나 이상의 통합 동작 변수의 제어는 통합 방식의 하나 이상의 동작, 즉, 동작 23, 25, 27, 29, 31, 33, 및/또는 35에서 달성될 수 있다.
또한 앞에서 언급한 바와 같이, 단 하나의 통합 동작 변수 예를 들면, 산화 프로세스 동안 구조물 상의 산화물 두께가 선택되면, 산화물 두께는 산화 동작 및 최종 패턴 전사 에칭을 포함하는 모든 다른 동작 동안 제어된다. 다른 실시예에서는, 2개의 통합 동작 변수, 예를 들면, H2, O2, 및 N2의 가스비 및 처리 시간의 시간 길이가 통합 프로세스를 위한 하나 이상의 통합 동작 변수로서 선택된다. 이 경우에는, 상기 비 및 처리 시간의 시간 길이는 그러한 제어가 적용 가능한 모든 동작에서 제어된다. 통합 동작 변수의 임의의 선택 또는 조합은 폭, 높이, 측벽 각도, 라인 폭 거칠기, 라인 에지 거칠기 등을 포함하는 하나 이상의 타깃 임계 치수를 달성하기 위해 관련 통합 단계를 제어하는 데 사용될 수 있다.
통합 프로세스를 위한 하나 이상의 통합 동작 변수는 일반적으로 처리 시간, 처리실 내의 압력, 사용되는 화학물질 및 또는 가스, 화학물질 또는 가스의 유속, 기판의 온도, 플라즈마 전력, 바이어스 전력, 화학물질 또는 가스의 부분 압력, 화학물질 또는 가스 대 다른 화학물질 또는 가스의 비 등을 포함한다. 통합 동작 변수는 각각의 동작 단계에서 상이할 수 있다 예를 들면, 증착 및 에칭 동작 단계는 상이한 관련 통합 동작 변수를 가진다. 하나 이상의 통합 동작 변수의 범위는 0/50/9,000에서 300/400/11,000까지의 범위의 수소, 산소 및 질소 비; 700에서 1200℃까지의 범위의 처리실 내의 온도; 0.01에서 1.05 nm까지의 범위의 산화물층 두께; 0.10에서 1.00 Torr까지의 범위의 수증기 부분 압력; 0.02에서 50시간까지의 범위의 산화 시간; 및 9.0 nm 이하, 7.0 nm 이하 또는 5 nm 이하 중 하나인 측벽 화학적으로 변형된 층의 두께를 포함하였다.
도 4a는 본 발명의 통합 방식의 단계 동안 처리실 내의 화학적인 환경의 수소, 산소 및 질소 비의 함수로서 및 열 산화의 경과된 처리 시간의 함수로서 기판 상의 패터닝된 층 내의 구조물의 두께 또는 폭의 예시적인 그래프(300)이다. 0/350/10,000의 H2/O2/N2 비를 갖는 곡선(304)은 1분 후 포인트 A1에서 0.95 nm의 막 두께; 5분 후 포인트 A2에서 1.03 nm의 막 두께; 및 10분 후 포인트 A3에서 1.06 nm의 막 두께를 갖는다. 100/400/9,500의 H2/O2/N2 비를 갖는 곡선(308)은 1분 후 포인트 B1에서 0.86 nm의 막 두께; 5분 후 포인트 B2에서 0.94 nm의 막 두께; 및 10분 후 포인트 B3에서 1.08 nm의 막 두께를 갖는다. 0/50/10,000의 H2/O2/N2 비를 갖는 곡선(312)은 1분 후 포인트 C1에서 0.85 nm의 막 두께; 5분 후 포인트 C2에서 0.93 nm의 막 두께; 및 10분 후 포인트 C3에서 0.96 nm의 막 두께를 갖는다. 300/200/9,500의 H2/O2/N2 비를 갖는 곡선(316)은 1분 후 포인트 D1에서 0.69 nm의 막 두께; 5분 후 포인트 D2에서 0.81 nm의 막 두께; 및 10분 후 포인트 D3에서 0.90 nm의 막 두께를 갖는다. 4개의 곡선들은 산소 대 수소 및 질소의 더 높은 비가 막 두께를 증가시킨 것과, 시간의 길이가 20분 미만인 동안 더 긴 시간의 노출이 막 두께를 증가시킨 것을 나타낸다. 도 4a 그래프에서의 산화 추세는 또한 처리 시간에 대한 두께 민감도를 나타낸다. 수소가 없는 곡선 304 및 312는 산화 처리 시간의 10분과 20분 사이의 산화 두께의 저속 변화를 도시하며, 이는 산화 두께 타깃의 엄격한 제어를 나타낸다. 곡선 308 및 316은 더 높은 추세 기울기를 도시하며, 이는 비교적 덜 산화 두께 제어를 하는 조건을 나타낸다.
도 4b는 본 발명의 통합 방식의 단계 동안 수증기 부분 압력의 함수로서 기판 상의 패터닝된 층 내의 구조물의 산화물층 두께의 예시적인 그래프(320)이다. X 축은 Torr의 H2O(수증기) 압력이고, Y 축은 도 1a의 동작 5 또는 도 1b의 동작 29와 같은 산화 동작 동안의 산화물층 두께이다. 대응하는 수증기 압력의 측정치의 함수로서 산화 두께의 측정치의 클러스터에 의거하여, 산화물층 두께 및 수증기 부분 압력의 직접적인 거의 선형 상관이 존재한다. 화살표 324를 참조하면, 산화물층 두께는 수증기 부분 압력이 0.03 Torr일 때 0.67에서 0.93 nm까지의 범위에 있고; 화살표 328을 참조하면, 산화물층 두께는 수증기 부분 압력이 0.23 Torr일 때 0.80에서 0.94 nm까지의 범위에 있으며; 화살표 332를 참조하면, 산화물층 두께는 수증기 부분 압력이 0.48 Torr일 때 0.88에서 1.03 nm까지의 범위에 있고; 화살표 336을 참조하면, 산화물층 두께는 수증기 부분 압력이 0.98 Torr일 때 0.99에서 1.01 nm까지의 범위에 있다. 도 4b에 도시되어 있는 추세는 산화의 부분 압력에 의한 산화 두께 제어를 예시하며, 이것은 정확한 산화 두께 프로세스를 정하기 위해 도 4a의 온도/처리 시간 추세와 관련하여 사용될 수 있다. 이들 타입의 데이터의 상관 관계가 도 5 내지 도 11에서 논의되는 처리 시스템의 제어기(555)에 입력된다. 입력된 데이터 및 이력 데이터는 도 1a의 동작 13 또는 도 1b의 동작 37에서 사용되어, 구조물의 하나 이상의 타깃 임계 치수를 달성하기 위해 선택된 하나 이상의 통합 동작 변수를 제어한다.
도 4c1은 본 발명의 통합 방식의 산화 동작 동안의 기판 온도 및 산화 시간의 함수로서 산화물 두께의 예시적인 그래프(340)이다. X 축은 시간의 산화 시간인 한편 Y 축은 마이크로미터 um의 산화물 두께이다. 곡선들은 상이한 온도에서의 데이터의 값들을 나타내며: 곡선 344는 1200℃에 대한 것이고, 곡선 348은 1100℃에 대한 것이며, 곡선 352는 1000℃에 대한 것이고, 곡선 356은 920℃에 대한 것이다. 곡선들은 기본적으로 0.02 내지 10.0 시간의 범위의 산화 시간에 대한 산화물 두께의 직접 상관을 나타내는 선형이다. 도 4c1 그래프에서의 추세는 얼마나 많은 산화 두께 타깃이 산화 온도 및 시간에 의존하여 달성될 수 있는지를 도시한다. 주어진 타깃 산화 두께에 대해, 적절한 산화 온도, 산화제 부분 압력 및 시간이 도 4a의 곡선 304 및 312의 평탄한 추세 라인에 도시된 바와 같이, 산화 두께의 정확도를 최적화시키도록 정해질 수 있다.
도 4c2는 본 발명의 통합 방식의 단계 동안의 기판 온도 및 산화 시간의 함수로서 산화물 두께의 다른 예시적인 그래프(360)이다. X 축은 시간의 산화 시간인 한편 Y 축은 마이크로미터 um의 산화물 두께이다. 곡선들은 상이한 온도에서의 데이터의 값들을 나타내며: 곡선 364는 1200℃에 대한 것이고, 곡선 368은 1100℃에 대한 것이며, 곡선 372는 1000℃에 대한 것이고, 곡선 376은 900℃에 대한 것이며, 곡선 380은 800℃에 대한 것이고, 곡선 384는 700℃에 대한 것이다. 곡선들은 기본적으로 0.2 내지 100.0 시간의 범위의 산화 시간에 대한 산화물 두께의 직접 상관을 나타내는 선형이다. 상이한 종류의 반도체 어플리케이션에 대한 도 4c1 및 도 4c2로부터의 데이터의 관계가 본 발명의 통합 방식의 여러 가지 동작을 제어하기 위해 사용되는 제어기에 저장되는 데이터에 통합된다. 이들 타입의 데이터의 상관 관계가 도 5 내지 도 11에서 논의되는 처리 시스템의 제어기(555)에 입력된다. 입력된 데이터 및 이력 데이터는 도 1a의 동작 13 또는 도 1b의 동작 37에서 사용되어, 구조물의 하나 이상의 타깃 임계 치수를 달성하기 위해 선택된 하나 이상의 통합 동작 변수를 제어한다.
도 4d는 본 발명의 통합 방식의 맨드릴 풀 동작 동안 광학적 발광 분석법(OES) 신호의 크기 대 상대 처리 시간의 예시적인 그래프(400)이다. X 축은 통합 방식의 선두로부터의 상대 시간을 나타내는 한편 Y 축은 도 1a의 동작 9 또는 도 1b의 동작 33과 같은 맨드릴 풀 동작에 대한 OES 측정치의 크기를 나타낸다. 곡선 404는 테스트 Ⅰ, 404에 대한 상대 시간 및 OES 측정치에 대응하고, 여기에서 변형된 층 임계 치수는 8.0 nm이고, 변형된 층 높이는 50 nm이며, 산화물로의 리세스는 15.0 nm이고, 여기에서 포인트 A는 저로부터 고 OES 측정치로의 전이이다. 곡선 408은 테스트 Ⅱ, 408에 대한 상대 시간 및 OES 측정치에 대응하며, 여기에서 변형된 층 임계 치수는 9.5 nm이고, 변형된 층 높이는 66 nm이며, 산화물로의 리세스는 15.0 nm이고, 여기에서 포인트 B는 저로부터 고 OES 측정치로의 전이이다. 곡선 412는 테스트 Ⅲ, 412에 대한 상대 시간 및 OES 측정치에 대응하고, 여기에서 변형된 층 임계 치수는 10.8 nm이고, 변형된 층 높이는 78 nm이며, 산화물로의 리세스는 6.2 nm이고, 여기에서 포인트 C는 저로부터 고 OES 측정치로의 전이이다. 곡선 416은 테스트 Ⅳ, 416에 대한 상대 시간 및 OES 측정치에 대응하며, 여기에서 변형된 층 임계 치수는 8.0 nm이고, 변형된 층 높이는 87 nm이며, 산화물로의 리세스는 6.6 nm이고, 여기에서 포인트 D는 저로부터 고 OES 측정치로의 전이이다.
다른 실시예에서는, 에칭 단계의 완료가 OES 측정치의 낮은 등급으로부터 높은 등급으로의 시프트에 의해 표시될 수 있다. 테스트 Ⅰ 및 Ⅱ는 10초 브레이크스루 동작을 사용하였고 테스트 Ⅰ이 85초 맨드릴 풀 동작을 사용하고 테스트 Ⅱ가 50초 맨드릴 풀 동작을 사용한다. 테스트 Ⅲ 및 Ⅳ는 5초 브레이크스루 동작을 사용하였고 테스트 Ⅲ이 60초 맨드릴 풀을 사용하는 한편 테스트 Ⅳ는 감소된 피크 간 전압 맨드릴 풀 동작을 사용하였다. 테스트 Ⅰ 및 Ⅱ는 양호한 변형 층 높이 유지 및 산화물로의 리세스를 제공하였다. 테스트 Ⅳ는 개선된 변형 층 높이를 나타냈지만 라인 폭 및 라인 에지 거칠기의 개선은 나타나지 않았다. 앞에서 언급한 바와 같이, 이들 타입의 데이터의 상관 관계가 도 5 내지 도 11에서 논의되는 처리 시스템의 제어기(555)에 입력된다. 입력된 데이터 및 이력 데이터는 도 1a의 동작 13 또는 도 1b의 동작 37에서 사용되어, 변형된 층 임계 치수, 층 높이, 산화물로의 리세스, 라인 폭 거칠기, 및/또는 라인 에지 거칠기와 같은 구조물의 하나 이상의 타깃 임계 치수를 달성하기 위해 선택된 하나 이상의 통합 동작 변수를 제어한다.
상술한 10nm 이하의 패터닝을 달성하기 위해 물질 처리를 수행하는 방법의 하나 이상이 이후에 기재되는 바와 같은 도 5 내지 도 11에 기재된 것과 같은 처리 시스템을 이용하여 수행될 수 있다. 그러나, 논의되는 방법은 이 예시적인 표현에 의해 범위가 제한되어서는 안 된다. 더 높은 밀도를 달성하기 위해 물질 처리를 수행하는 다른 방법이 또한 사용될 수 있다.
일 실시예에 따르면, 상기 식별된 프로세스 조건을 수행하도록 구성되는 플라즈마 처리 시스템(500)이 도 5에 도시되며, 이 시스템은 플라즈마 처리실(510), 처리될 기판(525)이 위에 부착되는 기판 홀더(520), 및 진공 펌핑 시스템(550)을 포함한다. 기판(525)은 반도체 기판, 웨이퍼, 평판 디스플레이, 또는 액정 디스플레이일 수 있다. 플라즈마 처리실(510)은 기판(525)의 표면 근방의 플라즈마 처리 영역(545)에서 플라즈마의 생성을 용이하게 하기 위해 구성될 수 있다. 이온화 가능 가스 또는 처리 가스의 혼합물이 가스 분배 시스템(540)을 통해 도입된다. 처리 가스의 일정한 흐름을 위해, 처리 압력이 진공 펌핑 시스템(550)을 사용하여 조정된다. 플라즈마는 미리 정해진 물질 프로세스에 특정한 물질을 생성하기 위해 및/또는 기판(525)의 노출된 표면으로부터 물질의 제거를 돕기 위해 이용될 수 있다. 플라즈마 처리 시스템(500)은 200 mm 기판, 300 mm 기판 또는 그 이상과 같은 임의의 원하는 사이즈의 기판을 처리하도록 구성될 수 있다.
기판(525)은 기계적인 클램핑 시스템 또는 전기적인 클램핑 시스템(예를 들면, 정전 클램핑 시스템)과 같은 클램핑 시스템(528)을 통해 기판 홀더(520)에 부착될 수 있다. 더욱이, 기판 홀더(520)는 기판 홀더(520) 및 기판(525)의 온도를 조정 및/또는 제어하도록 구성되는 가열 시스템(도시 생략됨) 또는 냉각 시스템(도시 생략됨)을 포함할 수 있다. 가열 시스템 또는 냉각 시스템은 기판 홀더(520)로부터 열을 전달받아 냉각 시에 열을 열 교환 시스템(도시 생략됨)에 전달하거나 가열 시에 열을 열 교환 시스템으로부터 기판 홀더(520)에 전달하는 열 전달 유체의 재순환 흐름을 포함할 수 있다. 다른 실시예에서는, 저항성 가열 소자, 또는 열 전기 히터/냉각기와 같은 가열/냉각 소자가 기판 홀더(520)에 뿐만 아니라 플라즈마 처리실(510)의 처리실 벽 및 플라즈마 처리 시스템(500) 내의 임의의 다른 구성요소에 포함될 수 있다.
또한, 열 전달 가스가 기판(525)과 기판 홀더(520) 사이의 가스 갭 열 전도성을 향상시키기 위해 후면 가스 공급 시스템(526)을 통해 기판(525)의 후면에 보내질 수 있다. 그러한 시스템은 기판의 온도 제어가 상승된 또는 저하된 온도에서 필요한 경우 이용될 수 있다. 예를 들면, 후면 가스 공급 시스템은 2 구역 가스 분배 시스템을 포함할 수 있으며, 여기에서 헬륨 가스 갭 압력이 기판(525)의 중앙 및 에지 사에서 독립적으로 변할 수 있다.
도 5에 도시된 실시예에서, 기판 홀더(520)는 RF 전력이 플라즈마 처리 영역(545)에서 처리하는 플라즈마에 결합되게 하는 전극(522)을 포함할 수 있다. 예를 들면, 기판 홀더(520)는 RF 발생기(530)로부터 선택적인 임피던스 매치 네트워크(532)를 거쳐 기판 홀더(520)로의 RF 전력의 전송을 통해 RF 전압에서 전기적으로 바이어싱될(biased) 수 있다. RF 전기 바이어스는 플라즈마를 형성 및 유지하기 위한 열 전자로서의 역할을 할 수 있다. 이 구성에서, 시스템은 반응성 이온 에칭(RIE) 반응기로서 동작할 수 있으며, 여기에서 챔버 및 상부 가스 주입 전극은 접지면으로서의 역할을 한다. FR 바이어스에 대한 대표적인 주파수는 약 0.1 MHz에서 약 100 MHz까지의 범위에 있을 수 있다. 플라즈마 처리를 위한 RF 시스템은 당업자에게 잘 알려져 있다.
더욱이, RF 전압에서 전극(522)의 전기 바이어스는 펄스화된 바이어스 신호 제어기(531)를 사용하여 펄스화될 수 있다. RF 발생기(530)로부터 출력되는 RF 전력은 예를 들면, 오프 상태와 온 상태 사이에서 펄스화될 수 있다.
이와 달리, RF 전력은 다중 주파수에서 기판 홀더 전극에 인가된다. 더욱이, 임피던스 매치 네트워크(532)는 반사된 전력을 감소시킴으로써 플라즈마 처리실(510) 내의 플라즈마에 RF 전력의 전달을 향상시킬 수 있다. 매치 네트워크 토폴로지(예를 들면, L형, π형, T형 등) 및 자동 제어 방법은 당업자에게 잘 알려져 있다.
가스 분배 시스템(540)은 처리 가스의 혼합물을 도입하기 위한 샤워기 꼭지 설계를 포함할 수 있다. 이와 달리, 가스 분배 시스템(540)은 처리 가스의 혼합물을 도입하고 기판(525) 위의 처리 가스의 혼합물의 분포를 조정하기 위한 다수 구역 샤워기 꼭지 설계를 포함할 수도 있다. 예를 들면, 다수 구역 샤워기 꼭지 설계는 처리 가스 흐름 또는 처리 가스 흐름의 양에 관련된 기판(525) 위의 실질적으로 주변 영역에 대한 조성 또는 기판(525) 위의 실질적으로 중앙 영역에 대한 조성을 조정하도록 구성될 수 있다.
진공 펌핑 시스템(550)은 초당 약 5000리터(및 그 이상)까지 속도를 펌핑할 수 있는 터보 분자 진공 펌프(TMP) 및 처리실 압력을 조절하기 위한 게이트 밸브를 포함할 수 있다. 건식 플라즈마 에칭용으로 이용되는 종래의 플라즈마 처리 디바이스에서는, 초당 1000 내지 3000 TMP가 채용될 수 있다. TMP는 대표적으로 약 50 mTorr 미만의 저압 처리에 유용하다. 고압 처리(즉, 약 100 mTorr 초과)를 위해서는, 기계적인 부스터 펌프 및 건식 러핑(roughing) 펌프가 사용될 수 있다. 더욱이, 처리실 압력을 모니터링하기 위한 디바이스(도시 생략됨)가 플라즈마 처리실(510)에 결합될 수 있다.
제어기(555)는 마이크로프로세서, 메모리, 및 입력을 플라즈마 처리 시스템(500)에 통신하고 활성화하기에 뿐만 아니라 플라즈마 처리 시스템(500)으로부터의 출력 모니터하기에 충분한 제어 전압을 생성할 수 있는 디지털 I/O 포트를 포함한다. 또한, 제어기(555)는 RF 발생기(530), 펄스화된 바이어스 신호 제어기(531), 임피던스 매치 네트워크(532), 가스 분배 시스템(540), 진공 펌핑 시스템(550), 뿐만 아니라 기판 가열/냉각 시스템(도시 생략됨), 후면 가스 공급 시스템(526), 및/또는 정전 클램핑 시스템(528)에 결합되어 이들과 정보를 교환할 수 있다. 예를 들면, 메모리에 저장되어 있는 프로그램이 기판(525) 상에서 플라즈마 에칭 프로세스와 같은 플라즈마 지원 프로세스를 수행하기 위해 프로세스 레시피(recipe)에 따라서 플라즈마 처리 시스템(500)의 전술한 구성요소에 입력을 활성화시키도록 이용될 수 있다.
제어기(555)는 플라즈마 처리 시스템(500)과 관련하여 국소적으로 위치될 수 있거나, 플라즈마 처리 시스템(500)과 관련하여 원격으로 위치될 수 있다. 예를 들면, 제어기(555)는 직접 연결, 인트라넷, 및/또는 인터넷을 사용하여 플라즈마 처리 시스템(500)과 데이터를 교환할 수 있다. 제어기(555)는 예를 들면, 고객 사이트(즉, 디바이스 제조업체 등)에서 인트라넷에 결합될 수 있거나, 예를 들면, 판매 사이트(즉, 장비 제조업체)에서 인트라넷에 결합될 수 있다. 이와 달리 또는 추가로, 제어기(555)는 인터넷에 결합될 수 있다. 더욱이, 다른 컴퓨터(즉, 제어기, 서버 등)가 직접 연결, 인트라넷, 및/또는 인터넷을 통해 데이터를 교환하도록 제어기(555)에 액세스할 수 있다.
도 6에 도시된 실시예에서는, 플라즈마 처리 시스템(600)은 도 5의 실시예와 유사할 수 있고, 도 5를 참조하여 기재된 구성요소에 덧붙여서 플라즈마 밀도를 잠재적으로 증가시키기 위해 및/또는 플라즈마 처리 균일성을 향상시키기 위해 정적인, 또는 기계적으로 또는 전기적으로 회전하는 자계 시스템(660)을 더 포함한다. 또한, 제어기(555)는 회전의 속도 및 자계 강도를 조절하기 위해 자계 시스템(660)에 결합될 수 있다. 회전 자계의 설계 및 실현은 당업자에게 잘 알려져 있다.
도 7에 도시된 실시예에서는, 플라즈마 처리 시스템(700)은 도 5 또는 도 6의 실시예와 유사할 수 있고, RF 전력이 RF 발생기(772)로부터 최적의 임피던스 매치 네트워크(774)를 거쳐 결합될 수 있는 상부 전극(770)을 더 포함할 수 있다. 상부 전극에 RF 전력의 인가를 위한 주파수는 약 0.1 MHz에서 약 200 MHz까지의 범위에 있을 수 있다. 또한, 하부 전극에 전력의 인가를 위한 주파수는 약 0.1 MHz에서 약 100 MHz까지의 범위에 있을 수 있다. 더욱이, 제어기(555)가 상부 전극(770)에의 RF 전력의 인가를 제어하기 위해 RF 발생기(772) 및 임피던스 매치 네트워크(774)에 결합된다. 상부 전극의 설계 및 실현은 당업자에게 잘 알려져 있다. 상부 전극(770) 및 가스 분배 시스템(540)은 도시된 바와 같이, 동일한 챔버 어셈블리 내에 설계될 수 있다. 이와 달리, 상부 전극(770)은 기판(525) 위의 플라즈마에 결합되는 RF 전력 분포를 조정하기 위한 다수 구역 전극 설계를 포함할 수도 있다. 예를 들면, 상부 전극(770)은 중앙 전극 및 에지 전극으로 세분화될 수 있다.
도 8에 도시된 실시예에서는, 플라즈마 처리 시스템(800)이 도 7의 실시예와 유사할 수 있고, 기판(5250 반대측의 상부 전극(770)에 결합되는 직류(DC) 전력원(890)을 더 포함할 수 있다. 상부 전극(770)은 전극 플레이트를 포함할 수도 있다. 전극 플레이트는 실리콘 함유 전극 플레이트를 포함할 수 있다. 또한, 전극 플레이트는 도핑된 실리콘 전극 플레이트를 포함할 수 있다. DC 전력원(890)은 가변 DC 전력원을 포함할 수 있다. 또한, DC 전력원(890)은 바이폴라 DC 전력원을 포함할 수 있다. DC 전력원(890)은 DC 전력원(890)의 극성, 전류, 전압 또는 온/오프 상태를 모니터링, 조정, 또는 제어하는 것 중 하나를 수행하도록 구성된 시스템을 더 포함할 수 있다. 플라즈마가 형성되면, DC 전력원(890)은 탄도 전자 빔의 형성을 용이하게 한다. 전자 필터(도시 생략됨)가 DC 전력원(890)으로부터 RF 전력을 분리하기 위해 이용될 수 있다.
예를 들면, DC 전력원(890)에 의해 상부 전극(770)에 인가되는 DC 전압은 대략 -2000 볼트(V)에서 대략 1000 V까지의 범위에 있을 수 있다. 바람직하게는, DC 전압의 절대값이 대략 100 V 이상의 값을 갖고, 더욱 바람직하게는, DC 전압의 절대값이 대략 500 V 이상의 값을 갖는다. 또한, DC 전압이 음의 극성을 갖는 것이 바람직하다. 더욱이, DC 전압이 상부 전극(770)의 표면 상에 생성되는 자기 바이어스(self-bias) 전압보다 큰 절대값을 갖는 음의 전압인 것이 바람직하다. 기판 홀더(520)에 면하는 상부 전극(770)의 표면은 실리콘 함유 물질로 이루어질 수 있다.
도 9에 도시된 실시예에서는, 플라즈마 처리 시스템(900)이 도 5 및 도 6의 실시예와 유사할 수 있고, RF 전력이 RF 발생기(982)를 통해 선택적인 임피던스 매치 네트워크(984)를 거쳐 결합되는 유도성 코일(980)을 더 포함할 수 있다. RF 전력은 유도성 코일(980)로부터 유전성 윈도우(도시 생략됨)를 거쳐 플라즈마 처리 영역(545)에 유도성으로 결합된다. 유도성 코일(980)에 RF 전력의 인가를 위한 주파수는 약 10 MHz에서 약 100 MHz까지의 범위에 있을 수 있다. 유사하게, 척(chuck) 전극에 전력의 인가를 위한 주파수는 약 0.1 MHz에서 약 100 MHz까지의 범위에 있을 수 있다. 또한, 슬롯된 패러데이 실드(slotted Faraday shield: 도시 생략됨)가 유도성 코일(980)과 플라즈마 처리 영역(545) 내의 플라즈마 사이의 용량성 결합을 감소시키도록 채용될 수 있다. 더욱이, 제어기(555)가 유도성 코일(980)에의 전력의 인가를 제어하기 위해 RF 발생기(982) 및 임피던스 매치 네트워크(984)에 결합될 수 있다.
도 10에 도시되어 있는 바와 같이, 대체 실시예에서는, 플라즈마 처리 시스템(1000)이 도 9의 실시예와 유사할 수 있고, 트랜스포머 결합 플라즈마(TCP) 반응기에서와 같이 위로부터 플라즈마 처리 영역(545)와 통신하여 "나선형" 코일 또는 "팬케이크(pancake)" 코일인 유도성 코일(1080)을 더 포함할 수 있다. 유도성 결합 플라즈마(ICP) 소스, 또는 트랜스포머 결합 플라즈마(ICP) 소스의 설계 및 실현은 당업자에게 잘 알려져 있다.
이와 달리, 플라즈마가 전자 사이클로톤 공명(ECR)을 사용하여 형성될 수 있다. 또 다른 실시예에서, 플라즈마는 헬리콘 파(Helicon wave)의 발사(launching)로부터 형성된다. 또 다른 실시예에서는, 플라즈마가 전파하는 표면파로부터 형성된다. 상술한 각각의 플라즈마 소스는 당업자에게 잘 알려져 있다.
도 11에 도시된 실시예에서는, 플라즈마 처리 시스템(1100)이 도 5의 실시예와 유사할 수 있고, 표면파 플라즈마(SWP) 소스(1130)를 더 포함할 수 있다. SWP 소스(1130)는 마이크로파 전력이 전력 결합 시스템(1190)을 통해 결합되는 반경 라인 슬롯 안테나(RLSA)와 같은 슬롯 안테나를 포함할 수 있다.
이제 도 12를 참조하면, SWP 소스(1230)의 개략적인 표현이 일 실시예에 따라서 제공된다. SWP 소스(1230)는 플라즈마에 인접한 EM 파 발사체(1232)의 플라즈마 표면(1260) 상에 표면파를 생성함으로써 플라즈마에 원하는 EM 파 모드의 EM 에너지를 결합시키도록 구성된 전자기(EM) 파 발사체(1232)를 포함한다. 더욱이, SWP 소스(1230)는 EM 파 발사체(1232)에 결합되고, 플라즈마를 형성하기 위하여 EM 파 발사체(1232)에 EM 에너지를 제공하도록 구성된 전력 결합 시스템(1290)을 포함한다.
EM 파 발사체(1232)는 플라즈마 처리 영역(545)로 마이크로파 전력을 방출하도록 구성되는 마이크로파 발사체를 포함한다(도 11 참조). EM 파 발사체(1232)는 마이크로파 에너지가 전송되게 하는 동축 피드(coaxial feed)(1238)를 통해 전력 결합 시스템(1290)에 결합된다. 전력 결합 시스템(1290)은 2.45 GHz 마이크로파 전력원과 같은 마이크로파 소스(1292)를 포함한다. 마이크로파 소스(1292)에 의해 생성된 마이크로파 에너지는 마이크로파 소스(1292)에 되돌려 반사되는 마이크로파 에너지를 흡수하기 위한 아이솔레이터(isolator)(1296)에 도파관(1294)을 거쳐 가이드된다. 그 후, 마이크로파 에너지는 동축 변환기(1298)를 통해 동축 TEM(transverse electromagnetic: 횡 전자기) 모드로 변환된다.
튜너가 임피던스 매칭 및 개선된 전력 전달을 위해 채용될 수 있다. 마이크로파 에너지는 동축 피드(1238)를 통해 EM 파 발사체(1232)에 결합되며, 여기에서 다른 모드 변화가 동축 피드(1238)에서의 TEM 모드로부터 TM(transverse magnetic) 모드로 일어난다. 동축 피드(1238) 및 EM 파 발사체(1232)의 살계에 관한 추가의 상세는 "Plasma processing apparatus for etching, ashing, and film-formation"이라는 명칭의 미국 특허 제5,024,716호에서 찾을 수 있으며, 그 전체 내용은 참고로 본 명세서에 병합되어 있다.
이제 도 13a 및 도 13b를 참조하면, EM 파 발사체(1332)의 개략 단면도 및 저면도가 각각 일 실시예에 따라서 제공된다. EM 파 발사체(1332)는 내부 도체(1340), 외부 도체(1342), 및 에어 갭과 같은 절연체(1341)를 갖는 동축 피드(1338), 및 도 13a에 도시된 바와 같이 내부 도체(1340) 및 외부 도체(13420) 사이에 결합되는 복수의 슬롯(1348)을 갖는 슬롯 안테나(1340)를 포함한다. 복수의 슬롯(1348)은 슬롯 안테나(1346) 위의 제1 영역으로부터 슬롯 안테나(1346) 아래의 제2 영역으로의 EM 에너지의 결합을 허용하며, 여기에서 플라즈마는 EM 파 발사체(1332) 상의 플라즈마 표면(1360)에 인접하게 형성된다. EM 파 발사체(1332)는 지연 파(slow wave) 플레이트(1344) 및 공진기 플레이트(1350)를 더 포함할 수 있다.
슬롯(1348)의 수, 기하학적 구조, 사이즈 및 분포는 모두 플라즈마 처리 영역(545)에서 형성된 플라즈마의 공간적인 균일성에 기여할 수 있는 인자이다(도 11 참조). 따라서, 슬롯 안테나(1346)의 설계가 플라즈마 처리 영역(545)에서의 플라즈마의 공간적인 균일성을 제어하기 위해 사용될 수 있다(도 11 참조).
도 13a에 도시된 바와 같이, EM 파 발사체(1332)는 EM 파 발사체(1332)의 온도 제어를 위해 온도 제어 유체를 흐르게 하도록 구성되는 유체 채널(1356)을 포함할 수 있다. 도시는 생략되어 있지만, EM 파 발사체(1332)는 또한, 처리 가스를 플라즈마 표면(1360)을 거쳐 플라즈마에 도입하도록 구성될 수도 있다. 도시는 생략되어 있지만, 도 11의 가스 분배 시스템(540)과 같은 가스 분배 시스템이 처리 가스를 처리실 내로 도입하기 위해 EM 파 발사체(1332) 및/또는 챔버 벽(1352)에 연결될 수 있다.
여전히 도 13a를 참조하면, EM 파 발사체(1332)가 플라즈마 처리 시스템의 상부 챔버 부분에 결합될 수 있으며, 여기에서 진공 시일(seal)이 밀봉 디바이스(1354)를 사용하여 상부 챔버 벽(1352)과 EM 파 발사체(1332) 사이에 형성될 수 있다. 밀봉 디바이스(1354)는 탄성중합체 O 링을 포함할 수 있지만; 다른 알려진 밀봉 메커니즘이 사용될 수도 있다.
통상적으로, 동축 피드(1338)의 내부 도체(1340) 및 외부 도체(1342)는 금속과 같은 도전성 물질을 포함하는 한편, 지연파 플레이트(1344) 및 공진기 플레이트(1350)는 유전체를 포함한다. 후자에서, 지연파 플레이트(1344) 및 공진기 플레이트(1350)는 바람직하게는 동일한 물질을 포함하지만, 상이한 물질이 사용될 수도 있다. 지연파 플레이트(1344)의 제조를 위해 선택된 물질이 대응하는 자유 공간 파장에 비해 전파하는 전자기(EM) 파의 파장을 감소시키도록 선택되며, 지연파 플레이트(1344) 및 공진기 플레이트(1350)의 치수는 플라즈마 처리 영역(545) 내로 EM 에너지를 방출하는 데 효과적인 정상파(standing wave)의 형성을 보증하도록 선택된다(도 11 참조).
지연파 플레이트(1344) 및 공진기 플레이트(1350)는 석영(이산화 실리콘)과 같은 실리콘 함유 물질, 또는 고 유전 상수(high-k) 물질을 포함하는 유전체로부터 제조될 수 있다. 예를 들면, high-k 물질은 4의 값보다 더 큰 유전 상수를 보유할 수 있다. 특히, 플라즈마 처리 시스템이 에칭 프로세스 어플리케이션에 이용될 때, 에칭 프로세스와의 양립성을 위해 석영이 종종 선택된다.
예를 들면, high-k 물질은 고순도 결정 실리콘, 알루미나 세라믹, 질화 알루미늄 및 사파이어를 포함할 수 있다. 그러나, 다른 high-k 물질이 사용될 수도 있다. 또한, 특정 high-k 물질이 특정 프로세스의 파라미터에 따라서 선택될 수 있다. 예를 들면, 공진기 플레이트(1350)가 고순도 결정 실리콘으로부터 제조될 때, 플라즈마 주파수는 45℃의 온도에서 2.45 GHz를 초과한다. 따라서, 고순도 결정 실리콘이 저온 프로세스(즉, 45℃ 미만)에 적합하다. 더 높은 온도 프로세스에 있어서, 공진기 플레이트(1350)가 알루미나(Al2O3), 또는 사파이어로부터 제조될 수 있다.
플라즈마 균일성 및 플라즈마 안정성은 상술한 바와 같은 SWP 소스의 실재적인 실현을 위한 시도와 같이 유지할 수 있다. 후자에서, 공진기 플레이트 플라즈마 인터페이스에서 즉, 플라즈마 표면(1360)에서의 정상파는 플라즈마 파라미터가 시프트함에 따라 모드 점프로 밝혀질 수 있다.
도 13a 및 도 13b에 도시된 바와 같이, EM 파 발사체(1332)는 플라즈마 표면(1360)에 형성되는 제1 리세스 구성(1362) 및 선택적으로 일 실시예에 따라서 플라즈마 표면(1360)에 형성되는 제2 리세스 구성(1364)으로 제조될 수 있다.
제1 리세스 구성(1362)은 제1 복수의 리세스를 포함할 수 있다. 제1 리세스 구성(1362) 내의 각각의 리세스는 플라즈마 표면(1360) 내에 형성되는 고유의 인덴테이션(indentation) 또는 딤플(dimple)을 포함할 수 있다. 예를 들면, 제1 리세스 구성(1362) 내의 리세스는 원통형 기하학적 구조, 원뿔형 기하학적 구조, 절단된 원뿔형 기하학적 구조, 구형 기하학적 구조, 비구면 기하학적 구조, 직사각형 기하학적 구조, 피라미드형 기하학적 구조, 또는 어떤 임의의 형상을 포함할 수 있다. 제1 리세스 분포(1362)는 제1 사이즈(예를 들면, 위도 치수(또는 폭) 및/또는 경도 치수(또는 깊이))를 특징으로 하는 리세스를 포함할 수 있다.
제2 리세스 구성(1364)은 복수의 리세스를 포함할 수 있다. 제2 리세스 구성(1364) 내의 각각의 리세스는 플라즈마 표면(1360) 내에 형성되는 고유의 인덴테이션 또는 딤플을 포함할 수 있다. 예를 들면, 제2 리세스 구성(1364) 내의 리세스는 원통형 기하학적 구조, 원뿔형 기하학적 구조, 절단된 원뿔형 기하학적 구조, 구형 기하학적 구조, 비구면 기하학적 구조, 직사각형 기하학적 구조, 피라미드형 기하학적 구조, 또는 어떤 임의의 형상을 포함할 수 있다. 제2 리세스 분포(1364)는 제2 사이즈(예를 들면, 위도 치수(또는 폭) 및/또는 경도 치수(또는 깊이))를 특징으로 하는 리세스를 포함할 수 있다. 제1 리세스 구성(1362) 내의 리세스의 제1 사이즈는 제2 리세스 구성(1364) 내의 리세스의 제2 사이즈와 동일할 수 있거나 동일하지 않을 수도 있다. 예를 들면, 제2 사이즈는 제1 사이즈보다 더 작을 수도 있다.
도 13a 및 도 13b에 도시된 바와 같이, 공진기 플레이트(1350)는 플레이트 직경 및 플레이트 두께를 갖는 유전성 플레이트를 포함한다. 그 안에, 공진기 플레이트(1350) 상의 플라즈마 표면(1360)은 내부에 제1 리세스 구성(1362) 및 제2 리세스 구성(1364)가 형성되는 평탄한 표면(1366)을 포함한다. 이와 달리, 공진기 플레이트(1350)는 평탄하지 않은 기하학적 구조 또는 임의의 기하학적 구조를 포함한다. 그 안에, 플라즈마 표면(1360)은 내부에 제1 리세스 구성 및 제2 리세스 구성이 형성되는 평탄하지 않은 표면을 포함할 수 있다(도시 생략됨). 예를 들면, 평탄하지 않은 표면은 오목형, 또는 볼록형, 또는 이들의 조합일 수도 있다.
공진기 플레이트(1350)에서의 EM 에너지의 전파는 공진기 플레이트(1350)에 대한 유전 상수 및 EM 에너지의 주어진 주파수에 대한 유효 파장(λ)을 특징으로 할 수 있다. 플레이트 두께는 1/4 파장의 정수(n λ/4, 여기에서 n은 0보다 큰 정수이다) 또는 1/2 파장의 정수(m λ/2, 여기에서 m은 0보다 큰 정수이다)일 수 있다. 예를 들면, 플레이트 두께는 유효 파장의 약 1/2(λ/2)이거나 유효 파장의 1/2보다 클(>λ/2) 수 있다. 이와 달리, 플레이트 두께는 유효 파장의 비정수 부분(즉, 1/2 또는 1/4 파장의 정수가 아닌)일 수 있다. 또 이와 달리, 플레이트 두께는 약 25 mm(밀리미터)에서 약 45 mm까지의 범위에 있을 수 있다.
일례로서, 제1 리세스 구성(1362)은 제1 복수의 원통형 리세스를 포함할 수 있으며, 여기에서 제1 복수의 원통형 리세스의 각각은 제1 깊이 및 제1 직경을 특징으로 한다. 도 13b에 도시된 바와 같이, 제1 리세스 구성(1362)은 플라즈마 표면(1360)의 외부 영역 가까이에 위치한다.
제1 직경은 1/4 파장의 정수(n λ/4, 여기에서 n은 0보다 큰 정수이다) 또는 1/2 파장의 정수(m λ/2, 여기에서 m은 0보다 큰 정수이다), 또는 유효 파장의 비정수 부분일 수 있다. 또한, 플레이트 두께와 제1 깊이 사이의 제1 차이는 1/4 파장의 정수(n λ/4, 여기에서 n은 0보다 큰 정수이다) 또는 1/2 파장의 정수(m λ/2, 여기에서 m은 0보다 큰 정수이다), 또는 유효 파장의 비정수 부분일 수 있다. 예를 들면, 제1 직경은 유효 파장의 약 1/2(λ/2)일 수 있고, 플레이트 두께와 제1 깊이 사이의 제1 차이는 유효 파장의 약 1/2(λ/2) 또는 유효 파장의 약 1/4(λ/4)일 수 있다. 또한, 예를 들면, 플레이트 두께는 유효 파장의 약 1/2(λ/2)이거나 유효 파장의 1/2보다 클(>λ/2) 수 있다.
이와 달리, 제1 직경은 약 25 mm에서 약 35 mm까지의 범위에 있을 수 있고, 플레이트 두께와 제1 깊이 사이의 제1 차이는 약 10 mm에서 약 35 mm까지의 범위에 있을 수 있다. 또 이와 달리, 제1 직경은 약 30 mm에서 약 35 mm까지의 범위에 있을 수 있고, 제1 차이는 약 10 mm에서 약 20 mm까지의 범위에 있을 수 있다. 또 이와 달리, 제1 직경 및/또는 제1 깊이는 플레이트 두께의 부분일 수 있다.
제1 리세스 구성(1362)에서는, 챔퍼(chamfer), 라운드(round) 및/또는 필릿(fillet)(즉, 표면/코너 반경 또는 베벨(bevel))이 인접한 표면들 사이의 평활한 표면 전이에 영향을 주도록 이용될 수 있다. 원통형 리세스에서는, 표면 반경이 원통형 측벽과 리세스의 바닥부 사이의 코너에 배치될 수 있다. 또한, 원통형 리세스에서는, 표면 반경이 원통형 측벽과 플라즈마 표면(1360) 사이의 코너에 배치될 수 있다. 예를 들면, 표면 반경은 약 1 mm에서 약 3 mm까지의 범위에 있을 수 있다.
다른 예로서, 제2 리세스 구성(1364)은 제2 복수의 원통형 리세스를 포함할 수 있고, 제2 복수의 원통형 리세스의 각각은 제2 깊이 및 제2 직경을 특징으로 한다. 도 13b에 도시된 바와 같이, 제2 리세스 구성(1364)은 플라즈마 표면(1360)의 내부 영역에 가까이 위치한다.
제2 직경은 1/4 파장의 정수(n λ/4, 여기에서 n은 0보다 큰 정수이다) 또는 1/2 파장의 정수(m λ/2, 여기에서 m은 0보다 큰 정수이다), 또는 유효 파장의 비정수 부분일 수 있다. 또한, 플레이트 두께와 제2 깊이 사이의 제2 차이는 1/4 파장의 정수(n λ/4, 여기에서 n은 0보다 큰 정수이다) 또는 1/2 파장의 정수(m λ/2, 여기에서 m은 0보다 큰 정수이다), 또는 유효 파장의 비정수 부분일 수 있다. 예를 들면, 제2 직경은 유효 파장의 약 1/2(λ/2)일 수 있고, 플레이트 두께와 제2 깊이 사이의 제2 차이는 유효 파장의 약 1/2(λ/2) 또는 유효 파장의 약 1/4(λ/4)일 수 있다. 또한, 예를 들면, 플레이트 두께는 유효 파장의 약 1/2(λ/2)이거나 유효 파장의 1/2보다 클(>λ/2) 수 있다.
이와 달리, 제2 직경은 약 25 mm에서 약 35 mm까지의 범위에 있을 수 있고, 플레이트 두께와 제2 깊이 사이의 제2 차이는 약 10 mm에서 약 35 mm까지의 범위에 있을 수 있다. 또 이와 달리, 제2 직경은 약 30 mm에서 약 35 mm까지의 범위에 있을 수 있고, 제2 차이는 약 10 mm에서 약 20 mm까지의 범위에 있을 수 있다. 또 이와 달리, 제2 직경 및/또는 제2 깊이는 플레이트 두께의 부분일 수 있다.
제2 리세스 구성(1364)에서는, 챔퍼, 라운드 및/또는 필릿(즉, 표면/코너 반경 또는 베벨)이 인접한 표면들 사이의 평활한 표면 전이에 영향을 주도록 이용될 수 있다. 원통형 리세스에서는, 표면 반경이 원통형 측벽과 리세스의 바닥부 사이의 코너에 배치될 수 있다. 또한, 원통형 리세스에서는, 표면 반경이 원통형 측벽과 플라즈마 표면(1360) 사이의 코너에 배치될 수 있다. 예를 들면, 표면 반경은 약 1 mm에서 약 3 mm까지의 범위에 있을 수 있다.
도 13b를 다시 참조하면, 도 13a에 도시된 EM 파 발사체(1332)의 저면도가 제공된다. 슬롯 안테나(1346) 내의 복수의 슬롯(1348)은 공진기 플레이트(1350)를 거쳐 슬롯 안테나(1346)까지 볼 수 있는 것처럼 예시된다. 도 13b에 도시된 바와 같이, 복수의 슬롯(1348)이 쌍으로 배치될 수 있으며, 여기에서 슬롯들의 쌍의 각각은 제2 슬롯에 직교 배향되는 제1 슬롯을 포함한다. 그러나, 복수의 슬롯(1348)에서의 슬롯의 배향은 임의일 수 있다. 예를 들면, 복수의 슬롯(1348)에서의 슬롯의 배향은 플라즈마 균일성 및/또는 플라즈마 안정성을 위해 미리 정해진 패턴에 따를 수 있다.
제1 리세스 구성(1362)은 복수의 슬롯(1348)에서의 슬롯의 제1 배치와 실질적으로 정렬된다. 그 안에, 제1 리세스 구성(1362)의 적어도 하나의 리세스가 복수의 슬롯(1348) 중의 하나 이상과 정렬되거나, 부분적으로 정렬되거나, 정렬되지 않을 수도 있다. 제2 리세스 구성(1364)은 복수의 슬롯(1348)에서의 슬롯의 제2 배치와 부분적으로 정렬되거나 복수의 슬롯(1348)에서의 슬롯의 제2 배치와 정렬되지 않을 수도 있다. 도 13b에 도시된 바와 같이, 제2 리세스 구성(1364)은 복수의 슬롯(1348)에서의 슬롯의 제2 배치와 정렬되지 않는다.
결과적으로, 제1 및 제2 리세스 구성(1362, 1364)의 배치 및 복수의 슬롯(1348) 중의 하나 이상과의 그 정렬이 플라즈마 균일성 및/또는 안정성을 제어 및/또는 향상시키기 위해 최적화될 수 있다. 플라즈마 표면(1360) 및 EM 파 발사체(1332)의 설계에 관한 추가의 상세는 2009년 9월 8일에 출원된 "Stable surface wave plasma source"라면 명칭의 계류 중인 미국 특허 출원 공개 번호 제2011/0057562호에서 찾을 수 있으며, 그 전체 내용은 참고로 본 명세서에 병합되어 있다.
본 발명의 특정 실시예만 앞에서 상세히 기재되어 있지만, 당업자는 본 발명의 신규의 개시내용 및 이점으로부터 실질적으로 벗어남 없이 다수의 변형이 실시예들에서 가능하다는 것을 쉽게 이해할 것이다. 예를 들면, 통합 방식은 1 내지 2 nm 폭 최대 6 내지 7 nm 폭까지만큼 작은 패터닝된 특징을 달성하기 위해 EUV 프로세스와 결합되거나 결합되지 않은 쿼드 패터닝(quad-patterning), 옥토 패터닝(octo-patterning)을 포함하도록 기재된 실시예를 초과하여 연장될 수 있다. 따라서, 그러한 모든 변형은 본 발명의 범위 내에 포함되도록 의도된다.

Claims (20)

  1. 하나 이상의 통합 동작 변수(integration operating variable)를 갖는 통합 방식을 사용하여 기판 상의 구조물의 패턴 밀도를 증가시키는 방법에 있어서,
    처리실(process chamber) 내에 제1 조성의 패터닝된 층을 갖는 기판을 제공하는 단계로서, 상기 패터닝된 층은 측벽을 갖는 적어도 하나의 구조물 및 상기 구조물 상에 형성된 제2 조성의 캡 층을 포함하는 것인, 상기 기판 제공 단계;
    상기 구조물의 노출된 표면 영역에 화학적인 환경의 구성성분을 도입하여 제3 조성의 화학적으로 변형된(modified) 측벽 층을 생성함으로써 상기 구조물의 상기 측벽을 미리 정해진 깊이까지 화학적으로 변형시키도록, 상기 화학적인 환경에 상기 측벽을 노출시키는 단계;
    상기 화학적으로 변형된 측벽 층을 남기도록, 적어도 하나의 에칭 프로세스를 사용하여 상기 캡 층 및 상기 구조물의 내부의 비변형(non-modified) 부분을 선택적으로 제거하는 단계;
    상기 화학적으로 변형된 측벽 층의 에칭 내성(etch resistance)을 상기 적어도 하나의 에칭 프로세스에 사용되는 에칭제의 등급(class)으로 변형시키는 구성성분을 포함하도록 상기 화학적인 환경을 선택하는 단계로서, 상기 제3 조성은 상기 제1 조성보다 상기 등급의 에칭제에 더욱 내성이 있는 것인, 상기 화학적인 환경 선택 단계; 및
    상기 기판의 하부 층 상에 상기 화학적으로 변형된 측벽 층의 패턴 전사 에칭을 수행하는 단계를 포함하며,
    상기 하나 이상의 통합 동작 변수는, 수소, 산소 및 질소 비, 상기 처리실 온도, 상기 화학적으로 변형된 측벽 층의 상기 두께, 수증기 부분 압력, 및 산화 시간을 포함하는 것인, 구조물의 패턴 밀도의 증가 방법.
  2. 제1항에 있어서,
    상기 구조물의 하나 이상의 타깃 임계 치수를 달성하기 위해, 선택된 하나 이상의 통합 동작 변수를 제어하는 단계를 더 포함하며, 상기 하나 이상의 타깃 임계 치수(CD; critical dimension)는 상기 구조물의 폭, 높이, 및/또는 라인 에지 거칠기를 포함하는 것인, 구조물의 패턴 밀도의 증가 방법.
  3. 제2항에 있어서, 상기 기판 제공 단계는, 리소그래피, 자기 정렬 이중 패터닝(SADP; self-aligned double patterning), 또는 직접 자기 조립(DSA; directed self-assembly)을 사용하여 제조된 기판을 제공하는 단계를 포함하는 것인, 구조물의 패턴 밀도의 증가 방법.
  4. 제3항에 있어서, 상기 측벽을 노출시키는 단계, 상기 캡 층 및 상기 구조물의 상기 내부의 비변형 부분을 선택적으로 제거하는 단계, 및 상기 기판의 하부 층 상에 상기 층의 상기 패턴 전사 에칭을 수행하는 단계는, 모두 상기 처리실 내의 원위치에서(in situ) 행해지는 것인, 구조물의 패턴 밀도의 증가 방법.
  5. 제4항에 있어서, 상기 처리실은, 유도성 결합 플라즈마(ICP; inductive coupled plasma), 반경 라인 슬롯 안테나(RLSA; radial line slot antenna), 또는 전자 사이클로트론 공명(ECR; electron cyclotron resonance) 디바이스를 포함하는 전력원을 사용하여 생성되는 고밀도 플라즈마를 사용하는 것인, 구조물의 패턴 밀도의 증가 방법.
  6. 제4항에 있어서,
    상기 캡 층 및 상기 구조물의 상기 내부의 비변형 부분을 선택적으로 제거하는 단계는, 사플루오르화 탄소, 산소 및 육플루오르화 실리콘 또는 아르곤, 산소 및 헬륨을 사용하는 플라즈마 화학적 성질(chemistry)을 이용하고, 그리고/또는
    상기 기판은 스톱(stop) 층을 포함하며, 상기 스톱 층은 산질화 실리콘, 질화 실리콘, 또는 질화 티타늄을 포함하는 것인, 구조물의 패턴 밀도의 증가 방법.
  7. 제4항에 있어서,
    상기 화학적인 환경은 산소 함유 환경 또는 질소 함유 환경, 또는 도펀트 함유 환경을 포함하고,
    상기 화학적인 환경이 산소 함유 환경을 포함하는 경우에는, 상기 산소 함유 환경은 산소, 일산화탄소, 이산화탄소, 및/또는 이산화질소를 포함하거나;
    상기 화학적인 환경이 질소 함유 환경을 포함하는 경우에는, 상기 질소 함유 환경은 질소, 암모니아 및/또는 히드라진(hydrazine)을 포함하거나;
    상기 화학적인 환경이 도펀트 함유 환경을 포함하는 경우에는, 상기 도펀트 함유 환경은 보란(borane), 디보란(diborane), 포스핀(phosphine) 및/또는 아르신(arsine)을 포함하는 것인, 구조물의 패턴 밀도의 증가 방법.
  8. 제7항에 있어서,
    상기 수소, 산소 및 질소 비는 0/50/9,000 내지 300/400/11,000의 범위 내에 있고, 그리고/또는 상기 처리실의 상기 온도는 700 내지 1200℃의 범위 내에 있는 것인, 구조물의 패턴 밀도의 증가 방법.
  9. 제7항에 있어서,
    상기 산화물 층 두께는 0.01 내지 1.05 nm의 범위 내에 있고, 상기 수증기 부분 압력은 0.10 내지 1.00 Torr의 범위 내에 있고, 그리고/또는 상기 산화 시간은 0.02 내지 50시간의 범위 내에 있는 것인, 구조물의 패턴 밀도의 증가 방법.
  10. 제7항에 있어서,
    상기 화학적으로 변형된 측벽 층의 상기 두께는, 9.0 nm 이하, 7.0 nm 이하, 또는 5 nm 이하 중 하나인 것인, 구조물의 패턴 밀도의 증가 방법.
  11. 하나 이상의 통합 동작 변수를 갖는 통합 방식을 사용하여 기판 상의 구조물의 패턴 밀도를 증가시키는 방법에 있어서,
    처리실 내에 패터닝된 층을 갖는 기판을 제공하는 단계로서, 상기 패터닝된 층은 적어도 하나의 맨드릴(mandrel)을 포함하고, 상기 맨드릴은 유기 평탄화 스핀 온 물질, 질화 실리콘, 또는 자기 정렬된 이중 패터닝 방식을 사용하여 제조된 포토레지스트를 포함하는 것인, 상기 기판 제공 단계;
    상기 패터닝된 층 상에 등각 스페이서 층을 증착하는 단계;
    실리콘 에칭 화학적 성질을 사용하여 스페이서 반응성 이온 에칭(RIE; reactive ion etching) 시퀀스를 수행하는 단계;
    상기 맨드릴용으로 사용되는 물질에 의거한 스트립핑 기술을 사용하여 맨드릴 풀 스트립 시퀀스(mandrel-pull strip sequence)를 수행하는 단계로서, 상기 맨드릴 풀 스트립 시퀀스는 측벽 및 캡 층을 갖는 스페이서를 생성하는 것인, 상기 맨드릴 풀 스트립 시퀀스 수행 단계;
    상기 측벽을 미리 정해진 깊이까지 화학적으로 변형시켜, 화학적으로 변형된 측벽 층을 생성하도록, 화학적인 환경에 상기 측벽을 노출시키는 단계;
    상기 스페이서에 가장 가까운 상기 기판의 바닥부 및 상기 캡 층을 선택적으로 제거하는 단계;
    상기 화학적으로 변형된 측벽 층을 남기기 위해 적어도 하나의 에칭 프로세스를 사용하여 상기 스페이서의 비변형 부분을 제거하도록 구성되는 스페이서 풀 에칭 시퀀스를 수행하는 단계; 및
    상기 기판의 하부 층 상에 화학적으로 변형된 측벽 층의 패턴 전사 에칭을 수행하는 단계를 포함하며,
    상기 하나 이상의 통합 동작 변수는, 수소, 산소 및 질소 비, 상기 처리실 온도, 상기 화학적으로 변형된 측벽 층의 상기 두께, 수증기 부분 압력, 상기 산화물 층 두께, 및 산화 시간을 포함하는 것인, 구조물의 패턴 밀도의 증가 방법.
  12. 제11항에 있어서,
    상기 구조물의 하나 이상의 타깃 임계 치수를 달성하기 위해, 선택된 하나 이상의 통합 동작 변수를 제어하는 단계를 더 포함하며, 상기 하나 이상의 타깃 임계 치수는 상기 구조물의 폭, 높이, 또는 라인 에지 거칠기를 포함하는 것인, 구조물의 패턴 밀도의 증가 방법.
  13. 제12항에 있어서, 상기 등각 스페이서 층을 증착하는 단계, 상기 측벽을 노출시키는 단계, 상기 맨드릴 풀 스트립 시퀀스를 수행하는 단계, 상기 캡 층을 선택적으로 제거하는 단계, 상기 스페이서 풀 에칭 시퀀스를 수행하는 단계, 및 상기 기판의 하부 층 상에 상기 층의 상기 패턴 전사 에칭을 수행하는 단계는, 모두 상기 처리실 내의 원위치에서 행해지는 것인, 구조물의 패턴 밀도의 증가 방법.
  14. 제13항에 있어서,
    상기 맨드릴용으로 사용되는 물질에 의거한 스트립핑 기술을 사용하여 맨드릴 풀 스트립 시퀀스를 수행하는 단계는, 상기 맨드릴이 유기 물질로 만들어지는 경우에는 원위치 플라즈마 스트립을 이용하거나, 상기 맨드릴이 질화 실리콘으로 만들어지는 경우에는 뜨거운(hot) 인산을 사용하는 습식 스트립을 이용하고, 그리고/또는
    상기 플라즈마 챔버는, 유도성 결합 플라즈마(ICP), 반경 라인 슬롯 안테나(RLSA), 또는 전자 사이클로트론 공명(ECR) 디바이스를 포함하는 전력원을 사용하여 생성되는 고밀도 플라즈마를 사용하는 것인, 구조물의 패턴 밀도의 증가 방법.
  15. 제14항에 있어서, 상기 스페이서 RIE 시퀀스를 수행하는 단계는, 원하는 스페이서 프로파일을 얻도록, 브롬화 수소, 산소 및 아르곤을 포함하는 제1 세트의 RIE 화학물질 또는 염소, 산소 및 아르곤을 포함하는 제2 세트의 RIE 화학물질 또는 제1 세트와 제2 세트의 RIE 화학물질의 추가적인 가스와의 조합을 이용하는 것인, 구조물의 패턴 밀도의 증가 방법.
  16. 제14항에 있어서,
    상기 맨드릴은, 유기 평탄화 스핀 온 물질, 질화 실리콘, 또는 자기 정렬된 쿼드 또는 옥토 패터닝 방식을 사용하여 제조되는 포토레지스트를 포함하고, 그리고/또는
    상기 자기 정렬된 쿼드 또는 옥토 패터닝 방식은 극자외선(EUV; extreme ultra violet) 리소그래피와 조합되거나 조합되지 않는 것인, 구조물의 패턴 밀도의 증가 방법.
  17. 제14항에 있어서,
    상기 화학적인 환경은 산소 함유 환경 또는 질소 함유 환경을 포함하고,
    상기 산소 함유 환경은 산소, 일산화탄소, 이산화탄소, 및/또는 이산화질소를 포함하며,
    상기 질소 함유 환경은 질소, 암모니아 및/또는 히드라진을 포함하는 것인, 구조물의 패턴 밀도의 증가 방법.
  18. 제17항에 있어서,
    상기 캡 층 및 상기 구조물의 상기 내부의 비변형 부분을 선택적으로 제거하는 단계는, 사플루오르화 탄소, 산소 및 육플루오르화 실리콘 또는 아르곤, 산소 및 헬륨을 사용하는 플라즈마 화학적 성질을 이용하고, 그리고/또는
    상기 기판은 스톱 층을 포함하며, 상기 스톱 층은 산질화 실리콘, 질화 실리콘, 또는 질화 티타늄을 포함하는 것인, 구조물의 패턴 밀도의 증가 방법.
  19. 제17항에 있어서,
    상기 수소, 산소 및 질소 비는 0/50/9,000 내지 300/400/11,000의 범위 내에 있고, 그리고/또는
    상기 처리실의 상기 온도는 700 내지 1200℃의 범위 내에 있는 것인, 구조물의 패턴 밀도의 증가 방법.
  20. 제17항에 있어서,
    상기 산화물 층 두께는 0.01 내지 1.05 nm의 범위 내에 있고, 상기 수증기 부분 압력은 0.10 내지 1.00 Torr의 범위 내에 있으며, 상기 산화 시간은 0.02 내지 50시간의 범위 내에 있고, 그리고/또는
    상기 측벽 화학적 변형 층의 상기 두께는, 9.0 nm 이하, 7.0 nm 이하, 또는 5 nm 이하 중 하나인 것인, 구조물의 패턴 밀도의 증가 방법.
KR1020160019697A 2015-02-20 2016-02-19 10nm 이하의 패터닝을 달성하기 위한 물질 처리 KR20160102356A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/627,501 2015-02-20
US14/627,501 US9443731B1 (en) 2015-02-20 2015-02-20 Material processing to achieve sub-10nm patterning

Publications (1)

Publication Number Publication Date
KR20160102356A true KR20160102356A (ko) 2016-08-30

Family

ID=56693701

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020160019697A KR20160102356A (ko) 2015-02-20 2016-02-19 10nm 이하의 패터닝을 달성하기 위한 물질 처리

Country Status (4)

Country Link
US (1) US9443731B1 (ko)
JP (1) JP2016154234A (ko)
KR (1) KR20160102356A (ko)
TW (1) TWI596655B (ko)

Families Citing this family (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US9478433B1 (en) * 2015-03-30 2016-10-25 Applied Materials, Inc. Cyclic spacer etching process with improved profile control
US9972502B2 (en) * 2015-09-11 2018-05-15 Lam Research Corporation Systems and methods for performing in-situ deposition of sidewall image transfer spacers
US9601693B1 (en) 2015-09-24 2017-03-21 Lam Research Corporation Method for encapsulating a chalcogenide material
US9831099B2 (en) * 2016-02-12 2017-11-28 Tokyo Electron Limited Method and apparatus for multi-film deposition and etching in a batch processing system
US9852917B2 (en) * 2016-03-22 2017-12-26 International Business Machines Corporation Methods of fabricating semiconductor fins by double sidewall image transfer patterning through localized oxidation enhancement of sacrificial mandrel sidewalls
US10629435B2 (en) * 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
KR20190038945A (ko) 2016-08-29 2019-04-09 도쿄엘렉트론가부시키가이샤 실리콘 질화물의 준원자 층 에칭 방법
US10074543B2 (en) 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
WO2018057493A1 (en) 2016-09-21 2018-03-29 Tokyo Electron Limited Method of patterning intersecting structures
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US10134579B2 (en) 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
KR102537742B1 (ko) 2017-02-23 2023-05-26 도쿄엘렉트론가부시키가이샤 자가 정렬 블록 구조물들의 제조를 위한 실리콘 질화물 맨드렐의 이방성 추출 방법
WO2018156975A1 (en) * 2017-02-23 2018-08-30 Tokyo Electron Limited Method of quasi-atomic layer etching of silicon nitride
WO2018236358A1 (en) * 2017-06-20 2018-12-27 Intel Corporation DUAL PATTERN FORMATION ALLOWED BY ION IMPLANTATION CHARACTERISTICS DEFINED BY SPRAYING
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
KR102427648B1 (ko) 2017-11-03 2022-08-01 삼성전자주식회사 결함 검사 방법 및 결함 검사 장치
US10460994B2 (en) 2017-11-30 2019-10-29 Taiwan Semiconductor Manufacturing Co., Ltd. Residue-free metal gate cutting for fin-like field effect transistor
WO2019169335A1 (en) 2018-03-02 2019-09-06 Lam Research Corporation Selective deposition using hydrolysis
US10796969B2 (en) * 2018-09-07 2020-10-06 Kla-Tencor Corporation System and method for fabricating semiconductor wafer features having controlled dimensions
TWI838420B (zh) 2018-11-13 2024-04-11 日商東京威力科創股份有限公司 在半導體元件圖案化中形成及使用應力調整矽氧化物膜的方法
CN112928165B (zh) * 2019-12-05 2024-06-18 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US20210217614A1 (en) * 2020-01-14 2021-07-15 Tokyo Electron Limited Multiple patterning with selective mandrel formation
CN113140458B (zh) * 2020-01-17 2024-03-01 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5024716A (en) 1988-01-20 1991-06-18 Canon Kabushiki Kaisha Plasma processing apparatus for etching, ashing and film-formation
US6063688A (en) * 1997-09-29 2000-05-16 Intel Corporation Fabrication of deep submicron structures and quantum wire transistors using hard-mask transistor width definition
JPH11204511A (ja) * 1998-01-08 1999-07-30 Kokusai Electric Co Ltd シリコン熱酸化膜の形成装置
JP2001023924A (ja) * 1999-07-09 2001-01-26 Sony Corp プラグの形成方法およびプラグ
JP4467891B2 (ja) * 2003-01-14 2010-05-26 富士通マイクロエレクトロニクス株式会社 半導体装置の製造方法
JP3965167B2 (ja) * 2003-07-04 2007-08-29 東京エレクトロン株式会社 熱処理方法及び熱処理装置
JP4563729B2 (ja) 2003-09-04 2010-10-13 東京エレクトロン株式会社 プラズマ処理装置
KR100518606B1 (ko) * 2003-12-19 2005-10-04 삼성전자주식회사 실리콘 기판과 식각 선택비가 큰 마스크층을 이용한리세스 채널 어레이 트랜지스터의 제조 방법
US7910288B2 (en) 2004-09-01 2011-03-22 Micron Technology, Inc. Mask material conversion
US7253118B2 (en) * 2005-03-15 2007-08-07 Micron Technology, Inc. Pitch reduced patterns relative to photolithography features
KR20080012055A (ko) * 2006-08-02 2008-02-11 주식회사 하이닉스반도체 마스크 패턴 형성 방법
KR100810895B1 (ko) * 2006-08-24 2008-03-07 동부일렉트로닉스 주식회사 반도체 소자 및 그 제조방법
US7611980B2 (en) 2006-08-30 2009-11-03 Micron Technology, Inc. Single spacer process for multiplying pitch by a factor greater than two and related intermediate IC structures
US8563229B2 (en) 2007-07-31 2013-10-22 Micron Technology, Inc. Process of semiconductor fabrication with mask overlay on pitch multiplied features and associated structures
US8030218B2 (en) 2008-03-21 2011-10-04 Micron Technology, Inc. Method for selectively modifying spacing between pitch multiplied structures
JP2010165942A (ja) * 2009-01-16 2010-07-29 Toshiba Corp 半導体装置及びその製造方法
JP5698923B2 (ja) * 2009-06-26 2015-04-08 ローム・アンド・ハース・エレクトロニック・マテリアルズ,エル.エル.シー. 自己整合型スペーサー多重パターニング方法
US8415884B2 (en) 2009-09-08 2013-04-09 Tokyo Electron Limited Stable surface wave plasma source
WO2012002232A1 (ja) * 2010-06-28 2012-01-05 東京エレクトロン株式会社 プラズマ処理装置及び方法
KR101624814B1 (ko) 2011-12-15 2016-05-26 인텔 코포레이션 단일 노광-자기 정렬된 이중, 삼중 및 사중 패터닝을 위한 방법
US8564068B2 (en) 2012-01-05 2013-10-22 Taiwan Semiconductor Manufacturing Company, Ltd. Device and methods for small trench patterning
US8980111B2 (en) 2012-05-15 2015-03-17 Tokyo Electron Limited Sidewall image transfer method for low aspect ratio patterns
JP2014072226A (ja) * 2012-09-27 2014-04-21 Tokyo Electron Ltd パターン形成方法
US8623770B1 (en) 2013-02-21 2014-01-07 HGST Netherlands B.V. Method for sidewall spacer line doubling using atomic layer deposition of a titanium oxide
JP6308727B2 (ja) * 2013-06-13 2018-04-11 キヤノン株式会社 電子デバイスの製造方法

Also Published As

Publication number Publication date
TW201703112A (zh) 2017-01-16
TWI596655B (zh) 2017-08-21
US20160247680A1 (en) 2016-08-25
JP2016154234A (ja) 2016-08-25
US9443731B1 (en) 2016-09-13

Similar Documents

Publication Publication Date Title
US9443731B1 (en) Material processing to achieve sub-10nm patterning
US9673059B2 (en) Method for increasing pattern density in self-aligned patterning integration schemes
US8808562B2 (en) Dry metal etching method
US20230178419A1 (en) Scaled liner layer for isolation structure
TWI524419B (zh) 具有減小的側壁間隔物薄型化之高選擇性間隔物蝕刻程序
US6893893B2 (en) Method of preventing short circuits in magnetic film stacks
US9171736B2 (en) Spacer material modification to improve K-value and etch properties
US20180286707A1 (en) Gas additives for sidewall passivation during high aspect ratio cryogenic etch
US6933239B2 (en) Method for removing conductive residue
JP6175570B2 (ja) ガスパルスを用いる深掘りシリコンエッチングのための方法
JP2016143890A5 (ko)
US20120208369A1 (en) Method of Etching Features in Silicon Nitride Films
WO2016196073A1 (en) Apparatus and methods for spacer deposition and selective removal in an advanced patterning process
WO2004006303A2 (en) Method for fabricating an ultra shallow junction of a field effect transistor
KR102250213B1 (ko) 자가 정렬 다중 패터닝 방법들 및 시스템들에 대한 인시츄 스페이서 재성형
US20170069510A1 (en) Method and system for selective spacer etch for multi-patterning schemes
JP2010080846A (ja) ドライエッチング方法
US20150099366A1 (en) Plasma etching method
KR20190006205A (ko) 유기 멘드렐 보호 공정
US20170053793A1 (en) Method and system for sculpting spacer sidewall mask
JP4577328B2 (ja) 半導体装置の製造方法
JP3172340B2 (ja) プラズマ処理装置
JP4448807B2 (ja) エッチング方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E90F Notification of reason for final refusal
E601 Decision to refuse application