KR20160102356A - 10nm 이하의 패터닝을 달성하기 위한 물질 처리 - Google Patents
10nm 이하의 패터닝을 달성하기 위한 물질 처리 Download PDFInfo
- Publication number
- KR20160102356A KR20160102356A KR1020160019697A KR20160019697A KR20160102356A KR 20160102356 A KR20160102356 A KR 20160102356A KR 1020160019697 A KR1020160019697 A KR 1020160019697A KR 20160019697 A KR20160019697 A KR 20160019697A KR 20160102356 A KR20160102356 A KR 20160102356A
- Authority
- KR
- South Korea
- Prior art keywords
- layer
- substrate
- oxygen
- sidewall
- plasma
- Prior art date
Links
- 238000012545 processing Methods 0.000 title claims description 66
- 239000000463 material Substances 0.000 title claims description 27
- 238000000059 patterning Methods 0.000 title claims description 21
- 238000000034 method Methods 0.000 claims abstract description 128
- 239000000758 substrate Substances 0.000 claims abstract description 121
- 230000008569 process Effects 0.000 claims abstract description 74
- 239000000126 substance Substances 0.000 claims abstract description 45
- 238000005530 etching Methods 0.000 claims abstract description 32
- 238000012546 transfer Methods 0.000 claims abstract description 27
- 239000000203 mixture Substances 0.000 claims abstract description 23
- 230000001965 increasing effect Effects 0.000 claims abstract description 14
- 239000007789 gas Substances 0.000 claims description 37
- 230000003647 oxidation Effects 0.000 claims description 34
- 238000007254 oxidation reaction Methods 0.000 claims description 34
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 claims description 32
- 239000001301 oxygen Substances 0.000 claims description 32
- 229910052760 oxygen Inorganic materials 0.000 claims description 32
- 125000006850 spacer group Chemical group 0.000 claims description 30
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 claims description 26
- 238000013459 approach Methods 0.000 claims description 19
- 238000001020 plasma etching Methods 0.000 claims description 17
- 229910052710 silicon Inorganic materials 0.000 claims description 17
- 239000010703 silicon Substances 0.000 claims description 17
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 claims description 16
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 claims description 16
- 229910052757 nitrogen Inorganic materials 0.000 claims description 13
- 238000000151 deposition Methods 0.000 claims description 12
- 230000010354 integration Effects 0.000 claims description 10
- QJGQUHMNIGDVPM-UHFFFAOYSA-N nitrogen group Chemical group [N] QJGQUHMNIGDVPM-UHFFFAOYSA-N 0.000 claims description 10
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Chemical compound O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 claims description 10
- 229910052581 Si3N4 Inorganic materials 0.000 claims description 9
- 239000001257 hydrogen Substances 0.000 claims description 9
- 229910052739 hydrogen Inorganic materials 0.000 claims description 9
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 claims description 9
- QGZKDVFQNNGYKY-UHFFFAOYSA-N Ammonia Chemical compound N QGZKDVFQNNGYKY-UHFFFAOYSA-N 0.000 claims description 8
- CURLTUGMZLYLDI-UHFFFAOYSA-N Carbon dioxide Chemical compound O=C=O CURLTUGMZLYLDI-UHFFFAOYSA-N 0.000 claims description 8
- OAKJQQAXSVQMHS-UHFFFAOYSA-N Hydrazine Chemical compound NN OAKJQQAXSVQMHS-UHFFFAOYSA-N 0.000 claims description 8
- 229910052786 argon Inorganic materials 0.000 claims description 8
- 238000011282 treatment Methods 0.000 claims description 8
- 239000002019 doping agent Substances 0.000 claims description 7
- 230000001939 inductive effect Effects 0.000 claims description 7
- 238000009616 inductively coupled plasma Methods 0.000 claims description 7
- 238000001459 lithography Methods 0.000 claims description 7
- CPELXLSAUQHCOX-UHFFFAOYSA-N Hydrogen bromide Chemical compound Br CPELXLSAUQHCOX-UHFFFAOYSA-N 0.000 claims description 6
- XYFCBTPGUUZFHI-UHFFFAOYSA-N Phosphine Chemical compound P XYFCBTPGUUZFHI-UHFFFAOYSA-N 0.000 claims description 6
- UORVGPXVDQYIDP-UHFFFAOYSA-N borane Chemical compound B UORVGPXVDQYIDP-UHFFFAOYSA-N 0.000 claims description 6
- 230000008878 coupling Effects 0.000 claims description 6
- 238000010168 coupling process Methods 0.000 claims description 6
- 238000005859 coupling reaction Methods 0.000 claims description 6
- 239000000460 chlorine Substances 0.000 claims description 5
- -1 hexafluorosilicate silicon Chemical compound 0.000 claims description 5
- 238000011065 in-situ storage Methods 0.000 claims description 5
- MGWGWNFMUOTEHG-UHFFFAOYSA-N 4-(3,5-dimethylphenyl)-1,3-thiazol-2-amine Chemical compound CC1=CC(C)=CC(C=2N=C(N)SC=2)=C1 MGWGWNFMUOTEHG-UHFFFAOYSA-N 0.000 claims description 4
- UGFAIRIUMAVXCW-UHFFFAOYSA-N Carbon monoxide Chemical compound [O+]#[C-] UGFAIRIUMAVXCW-UHFFFAOYSA-N 0.000 claims description 4
- NBIIXXVUZAFLBC-UHFFFAOYSA-N Phosphoric acid Chemical compound OP(O)(O)=O NBIIXXVUZAFLBC-UHFFFAOYSA-N 0.000 claims description 4
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 claims description 4
- 229910021529 ammonia Inorganic materials 0.000 claims description 4
- 229910002092 carbon dioxide Inorganic materials 0.000 claims description 4
- 239000001569 carbon dioxide Substances 0.000 claims description 4
- 229910002091 carbon monoxide Inorganic materials 0.000 claims description 4
- 239000001307 helium Substances 0.000 claims description 4
- 229910052734 helium Inorganic materials 0.000 claims description 4
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 claims description 4
- JCXJVPUVTGWSNB-UHFFFAOYSA-N nitrogen dioxide Inorganic materials O=[N]=O JCXJVPUVTGWSNB-UHFFFAOYSA-N 0.000 claims description 4
- RBFQJDQYXXHULB-UHFFFAOYSA-N arsane Chemical compound [AsH3] RBFQJDQYXXHULB-UHFFFAOYSA-N 0.000 claims description 3
- 229910000085 borane Inorganic materials 0.000 claims description 3
- 238000002408 directed self-assembly Methods 0.000 claims description 3
- 229910000042 hydrogen bromide Inorganic materials 0.000 claims description 3
- 229910000073 phosphorus hydride Inorganic materials 0.000 claims description 3
- 229920002120 photoresistant polymer Polymers 0.000 claims description 3
- ZAMOUSCENKQFHK-UHFFFAOYSA-N Chlorine atom Chemical compound [Cl] ZAMOUSCENKQFHK-UHFFFAOYSA-N 0.000 claims description 2
- 229910000147 aluminium phosphate Inorganic materials 0.000 claims description 2
- 229910052801 chlorine Inorganic materials 0.000 claims description 2
- 125000004435 hydrogen atom Chemical class [H]* 0.000 claims 4
- TXEYQDLBPFQVAA-UHFFFAOYSA-N tetrafluoromethane Chemical compound FC(F)(F)F TXEYQDLBPFQVAA-UHFFFAOYSA-N 0.000 claims 2
- 238000001900 extreme ultraviolet lithography Methods 0.000 claims 1
- 239000011368 organic material Substances 0.000 claims 1
- 239000010408 film Substances 0.000 description 15
- 238000001636 atomic emission spectroscopy Methods 0.000 description 14
- 238000009826 distribution Methods 0.000 description 13
- 238000005259 measurement Methods 0.000 description 12
- 238000012360 testing method Methods 0.000 description 12
- 230000006870 function Effects 0.000 description 10
- 238000013461 design Methods 0.000 description 9
- 239000004020 conductor Substances 0.000 description 8
- 230000008021 deposition Effects 0.000 description 7
- 239000004065 semiconductor Substances 0.000 description 7
- 230000007704 transition Effects 0.000 description 6
- 230000003111 delayed effect Effects 0.000 description 5
- 238000005086 pumping Methods 0.000 description 5
- ATJFFYVFTNAWJD-UHFFFAOYSA-N Tin Chemical compound [Sn] ATJFFYVFTNAWJD-UHFFFAOYSA-N 0.000 description 4
- 238000001816 cooling Methods 0.000 description 4
- 238000005516 engineering process Methods 0.000 description 4
- 238000010438 heat treatment Methods 0.000 description 4
- 150000002431 hydrogen Chemical class 0.000 description 4
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N silicon dioxide Inorganic materials O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 4
- 229910052814 silicon oxide Inorganic materials 0.000 description 4
- 238000000231 atomic layer deposition Methods 0.000 description 3
- 229910021419 crystalline silicon Inorganic materials 0.000 description 3
- 230000009977 dual effect Effects 0.000 description 3
- 239000012530 fluid Substances 0.000 description 3
- 238000007789 sealing Methods 0.000 description 3
- 235000012239 silicon dioxide Nutrition 0.000 description 3
- XPDWGBQVDMORPB-UHFFFAOYSA-N Fluoroform Chemical compound FC(F)F XPDWGBQVDMORPB-UHFFFAOYSA-N 0.000 description 2
- PBZHKWVYRQRZQC-UHFFFAOYSA-N [Si+4].[O-][N+]([O-])=O.[O-][N+]([O-])=O.[O-][N+]([O-])=O.[O-][N+]([O-])=O Chemical compound [Si+4].[O-][N+]([O-])=O.[O-][N+]([O-])=O.[O-][N+]([O-])=O.[O-][N+]([O-])=O PBZHKWVYRQRZQC-UHFFFAOYSA-N 0.000 description 2
- 239000000654 additive Substances 0.000 description 2
- 230000002776 aggregation Effects 0.000 description 2
- 238000004220 aggregation Methods 0.000 description 2
- PNEYBMLMFCGWSK-UHFFFAOYSA-N aluminium oxide Inorganic materials [O-2].[O-2].[O-2].[Al+3].[Al+3] PNEYBMLMFCGWSK-UHFFFAOYSA-N 0.000 description 2
- 229910003481 amorphous carbon Inorganic materials 0.000 description 2
- 230000015572 biosynthetic process Effects 0.000 description 2
- 238000005229 chemical vapour deposition Methods 0.000 description 2
- NBVXSUQYWXRMNV-UHFFFAOYSA-N fluoromethane Chemical compound FC NBVXSUQYWXRMNV-UHFFFAOYSA-N 0.000 description 2
- 238000007373 indentation Methods 0.000 description 2
- 230000001788 irregular Effects 0.000 description 2
- 238000004519 manufacturing process Methods 0.000 description 2
- 238000012986 modification Methods 0.000 description 2
- 230000004048 modification Effects 0.000 description 2
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 2
- 230000001902 propagating effect Effects 0.000 description 2
- 239000010453 quartz Substances 0.000 description 2
- 229910052594 sapphire Inorganic materials 0.000 description 2
- 239000010980 sapphire Substances 0.000 description 2
- 229910018072 Al 2 O 3 Inorganic materials 0.000 description 1
- BSYNRYMUTXBXSQ-UHFFFAOYSA-N Aspirin Chemical compound CC(=O)OC1=CC=CC=C1C(O)=O BSYNRYMUTXBXSQ-UHFFFAOYSA-N 0.000 description 1
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 description 1
- 229910018503 SF6 Inorganic materials 0.000 description 1
- 208000037063 Thinness Diseases 0.000 description 1
- 230000009471 action Effects 0.000 description 1
- 238000004380 ashing Methods 0.000 description 1
- 230000008901 benefit Effects 0.000 description 1
- 230000005540 biological transmission Effects 0.000 description 1
- 239000003518 caustics Substances 0.000 description 1
- 239000000919 ceramic Substances 0.000 description 1
- 238000004891 communication Methods 0.000 description 1
- 238000007596 consolidation process Methods 0.000 description 1
- 230000001276 controlling effect Effects 0.000 description 1
- PMHQVHHXPFUNSP-UHFFFAOYSA-M copper(1+);methylsulfanylmethane;bromide Chemical compound Br[Cu].CSC PMHQVHHXPFUNSP-UHFFFAOYSA-M 0.000 description 1
- 230000001419 dependent effect Effects 0.000 description 1
- 239000003989 dielectric material Substances 0.000 description 1
- 238000010894 electron beam technology Methods 0.000 description 1
- 229910052736 halogen Inorganic materials 0.000 description 1
- 150000002367 halogens Chemical class 0.000 description 1
- 230000006872 improvement Effects 0.000 description 1
- 238000002347 injection Methods 0.000 description 1
- 239000007924 injection Substances 0.000 description 1
- 239000012212 insulator Substances 0.000 description 1
- 239000004973 liquid crystal related substance Substances 0.000 description 1
- 238000012423 maintenance Methods 0.000 description 1
- 230000007246 mechanism Effects 0.000 description 1
- 229910052751 metal Inorganic materials 0.000 description 1
- 239000002184 metal Substances 0.000 description 1
- 238000012544 monitoring process Methods 0.000 description 1
- 239000007800 oxidant agent Substances 0.000 description 1
- 230000001590 oxidative effect Effects 0.000 description 1
- 235000012771 pancakes Nutrition 0.000 description 1
- 230000002093 peripheral effect Effects 0.000 description 1
- 238000005240 physical vapour deposition Methods 0.000 description 1
- 239000011295 pitch Substances 0.000 description 1
- 230000001012 protector Effects 0.000 description 1
- 230000007363 regulatory process Effects 0.000 description 1
- 238000001338 self-assembly Methods 0.000 description 1
- 230000035945 sensitivity Effects 0.000 description 1
- 239000000377 silicon dioxide Substances 0.000 description 1
- ABTOQLMXBSRXSM-UHFFFAOYSA-N silicon tetrafluoride Chemical compound F[Si](F)(F)F ABTOQLMXBSRXSM-UHFFFAOYSA-N 0.000 description 1
- 239000007787 solid Substances 0.000 description 1
- 230000003068 static effect Effects 0.000 description 1
- SFZCNBIFKDRMGX-UHFFFAOYSA-N sulfur hexafluoride Chemical compound FS(F)(F)(F)(F)F SFZCNBIFKDRMGX-UHFFFAOYSA-N 0.000 description 1
- 229960000909 sulfur hexafluoride Drugs 0.000 description 1
- 239000010409 thin film Substances 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/027—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32082—Radio frequency generated discharge
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32192—Microwave generated discharge
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J49/00—Particle spectrometers or separator tubes
- H01J49/02—Details
- H01J49/10—Ion sources; Ion guns
- H01J49/105—Ion sources; Ion guns using high-frequency excitation, e.g. microwave excitation, Inductively Coupled Plasma [ICP]
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/027—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
- H01L21/033—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
- H01L21/0334—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
- H01L21/0337—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/302—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
- H01L21/306—Chemical or electrical treatment, e.g. electrolytic etching
- H01L21/3065—Plasma etching; Reactive-ion etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/31051—Planarisation of the insulating layers
- H01L21/31053—Planarisation of the insulating layers involving a dielectric removal step
- H01L21/31055—Planarisation of the insulating layers involving a dielectric removal step the removal being a chemical etching step, e.g. dry etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31105—Etching inorganic layers
- H01L21/31111—Etching inorganic layers by chemical means
- H01L21/31116—Etching inorganic layers by chemical means by dry-etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31144—Etching the insulating layers by chemical or physical means using masks
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3205—Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
- H01L21/321—After treatment
- H01L21/32105—Oxidation of silicon-containing layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3205—Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
- H01L21/321—After treatment
- H01L21/3213—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3205—Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
- H01L21/321—After treatment
- H01L21/3213—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
- H01L21/32139—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/48—Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the groups H01L21/18 - H01L21/326 or H10D48/04 - H10D48/07
- H01L21/4814—Conductive parts
- H01L21/4821—Flat leads, e.g. lead frames with or without insulating supports
- H01L21/4828—Etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76802—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
- H01L21/76807—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
- H01L21/76811—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving multiple stacked pre-patterned masks
-
- H01L29/0665—
-
- H—ELECTRICITY
- H05—ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
- H05H—PLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
- H05H1/00—Generating plasma; Handling plasma
- H05H1/24—Generating plasma
- H05H1/46—Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10D—INORGANIC ELECTRIC SEMICONDUCTOR DEVICES
- H10D84/00—Integrated devices formed in or on semiconductor substrates that comprise only semiconducting layers, e.g. on Si wafers or on GaAs-on-Si wafers
- H10D84/01—Manufacture or treatment
- H10D84/0123—Integrating together multiple components covered by H10D12/00 or H10D30/00, e.g. integrating multiple IGBTs
- H10D84/0126—Integrating together multiple components covered by H10D12/00 or H10D30/00, e.g. integrating multiple IGBTs the components including insulated gates, e.g. IGFETs
- H10D84/0158—Integrating together multiple components covered by H10D12/00 or H10D30/00, e.g. integrating multiple IGBTs the components including insulated gates, e.g. IGFETs the components including FinFETs
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10D—INORGANIC ELECTRIC SEMICONDUCTOR DEVICES
- H10D84/00—Integrated devices formed in or on semiconductor substrates that comprise only semiconducting layers, e.g. on Si wafers or on GaAs-on-Si wafers
- H10D84/01—Manufacture or treatment
- H10D84/02—Manufacture or treatment characterised by using material-based technologies
- H10D84/03—Manufacture or treatment characterised by using material-based technologies using Group IV technology, e.g. silicon technology or silicon-carbide [SiC] technology
- H10D84/038—Manufacture or treatment characterised by using material-based technologies using Group IV technology, e.g. silicon technology or silicon-carbide [SiC] technology using silicon technology, e.g. SiGe
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Computer Hardware Design (AREA)
- Power Engineering (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Chemical & Material Sciences (AREA)
- Inorganic Chemistry (AREA)
- Plasma & Fusion (AREA)
- Analytical Chemistry (AREA)
- Chemical Kinetics & Catalysis (AREA)
- General Chemical & Material Sciences (AREA)
- Drying Of Semiconductors (AREA)
- Crystallography & Structural Chemistry (AREA)
- Nanotechnology (AREA)
- Electromagnetism (AREA)
- Spectroscopy & Molecular Physics (AREA)
- Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
- Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
- Plasma Technology (AREA)
Abstract
Description
도 1b는 10 nm 미만의 패턴 밀도로 구조물을 형성하도록 통합 방식을 수행하기 위한 다른 예시적인 방법을 예시하는 다른 플로우차트를 도시한다.
도 2a는 처리실 내에 적어도 하나의 구조물을 포함하는 제1 조성의 패터닝된 층을 기판에 제공하기 위한 통합 동작의 개략적인 표현을 도시한다.
도 2b는 기판의 패터닝된 층 위에 등각 층을 증착하기 위한 통합 동작의 개략적인 표현을 도시한다.
도 2c는 할로겐 화학적 성질을 포함하는 플라즈마를 사용하여 스페이서 에칭를 수행하기 위한 통합 동작의 개략적인 표현을 도시한다.
도 2d는 구조물의 내부의 비변형 부분 및 캡 층을 선택적으로 제거하기 위한 통합 동작의 개략적인 표현을 도시한다.
도 2e는 구조물의 화학적으로 변형된 층의 측벽의 패턴 전사 에칭를 수행하기 위한 통합 동작의 개략적인 표현을 도시한다.
도 3a는 처리실 내에 적어도 하나의 구조물을 포함하는 제1 조성의 패터닝된 층을 기판에 제공하기 위한 통합 동작의 개략적인 표현을 도시한다.
도 3b는 패터닝된 층 상에 등각 층을 증착하기 위한 통합 동작의 개략적인 표현을 도시한다.
도 3c는 패터닝된 층 상에서 스페이스 반응 이온 에칭(RIE) 시퀀스를 수행하기 위한 통합 동작의 개략적인 표현을 도시한다.
도 3d는 실리콘 스페이서 및 캡 층을 생성하는 맨드릴-풀 스트립 시퀀스(mandrel-pull strip sequence)를 수행하기 위한 통합 동작의 개략적인 표현을 도시한다.
도 3e는 측벽을 화학적으로 변형하기 위해 측벽을 화학적인 환경에 노출시키기 위한 통합 동작의 개략적인 표현을 도시한다.
도 3f는 스페이서에 아주 가까운 기판의 바닥 부분 및 캡 층을 선택적으로 제거하기 위한 통합 동작의 개략적인 표현을 도시한다.
도 3g는 스페이서의 비변형 부분을 제거하도록 스페이서-풀 스트립 시퀀스를 수행하기 위한 통합 동작의 개략적인 표현을 도시한다.
도 3h는 기판의 하부층 상에 측벽 화학적으로 변형된 층의 패턴 전사 에칭 시퀀스를 수행하기 위한 통합 동작의 개략적인 표현을 도시한다.
도 4a는 본 발명의 통합 방식의 단계 동안의 처리실 내의 화학적인 환경의 수소, 산소, 및 질소 비와 경과된 처리 시간의 함수로서 기판 상의 패터닝된 층 내의 구조물의 두께의 예시적인 그래프이다.
도 4b는 본 발명의 통합 방식의 프로세스 단계 동안의 처리실 내의 수증기 부분 압력의 함수로서 기판 상의 패터닝된 층 내의 구조물의 두께의 예시적인 그래프이다.
도 4c1은 본 발명의 통합 방식의 프로세스 단계 동안 기판 온도 및 산화 시간의 함수로서 산화물 두께의 예시적인 그래프이다.
도 4c2는 본 발명의 통합 방식의 프로세스 단계 동안 기판 온도 및 산화 시간의 함수로서 산화물 두께의 다른 예시적인 그래프이다.
도 4d는 본 발명의 통합 방식의 프로세스 단계 동안 광학적 발광 분석법(OES) 신호의 크기 대 상대 처리 시간의 예시적인 그래프이다.
도 5는 일 실시예에 따르는 플라즈마 처리 시스템의 개략적인 표현을 도시한다.
도 6은 다른 일 실시예에 따르는 플라즈마 처리 시스템의 개략적인 표현을 도시한다.
도 7은 다른 일 실시예에 따르는 플라즈마 처리 시스템의 개략적인 표현을 도시한다.
도 8은 다른 일 실시예에 따르는 플라즈마 처리 시스템의 개략적인 표현을 도시한다.
도 9는 다른 일 실시예에 따르는 플라즈마 처리 시스템의 개략적인 표현을 도시한다.
도 10은 다른 일 실시예에 따르는 플라즈마 처리 시스템의 개략적인 표현을 도시한다.
도 11은 다른 일 실시예에 따르는 플라즈마 처리 시스템의 개략적인 표현을 도시한다.
도 12는 일 실시예에 따르는 플라즈마 소스의 단면도를 도시한다.
도 13a 및 도 13b는 다른 실시예에 따르는 플라즈마 소스의 단면도 및 저면도를 도시한다.
Claims (20)
- 하나 이상의 통합 동작 변수(integration operating variable)를 갖는 통합 방식을 사용하여 기판 상의 구조물의 패턴 밀도를 증가시키는 방법에 있어서,
처리실(process chamber) 내에 제1 조성의 패터닝된 층을 갖는 기판을 제공하는 단계로서, 상기 패터닝된 층은 측벽을 갖는 적어도 하나의 구조물 및 상기 구조물 상에 형성된 제2 조성의 캡 층을 포함하는 것인, 상기 기판 제공 단계;
상기 구조물의 노출된 표면 영역에 화학적인 환경의 구성성분을 도입하여 제3 조성의 화학적으로 변형된(modified) 측벽 층을 생성함으로써 상기 구조물의 상기 측벽을 미리 정해진 깊이까지 화학적으로 변형시키도록, 상기 화학적인 환경에 상기 측벽을 노출시키는 단계;
상기 화학적으로 변형된 측벽 층을 남기도록, 적어도 하나의 에칭 프로세스를 사용하여 상기 캡 층 및 상기 구조물의 내부의 비변형(non-modified) 부분을 선택적으로 제거하는 단계;
상기 화학적으로 변형된 측벽 층의 에칭 내성(etch resistance)을 상기 적어도 하나의 에칭 프로세스에 사용되는 에칭제의 등급(class)으로 변형시키는 구성성분을 포함하도록 상기 화학적인 환경을 선택하는 단계로서, 상기 제3 조성은 상기 제1 조성보다 상기 등급의 에칭제에 더욱 내성이 있는 것인, 상기 화학적인 환경 선택 단계; 및
상기 기판의 하부 층 상에 상기 화학적으로 변형된 측벽 층의 패턴 전사 에칭을 수행하는 단계를 포함하며,
상기 하나 이상의 통합 동작 변수는, 수소, 산소 및 질소 비, 상기 처리실 온도, 상기 화학적으로 변형된 측벽 층의 상기 두께, 수증기 부분 압력, 및 산화 시간을 포함하는 것인, 구조물의 패턴 밀도의 증가 방법. - 제1항에 있어서,
상기 구조물의 하나 이상의 타깃 임계 치수를 달성하기 위해, 선택된 하나 이상의 통합 동작 변수를 제어하는 단계를 더 포함하며, 상기 하나 이상의 타깃 임계 치수(CD; critical dimension)는 상기 구조물의 폭, 높이, 및/또는 라인 에지 거칠기를 포함하는 것인, 구조물의 패턴 밀도의 증가 방법. - 제2항에 있어서, 상기 기판 제공 단계는, 리소그래피, 자기 정렬 이중 패터닝(SADP; self-aligned double patterning), 또는 직접 자기 조립(DSA; directed self-assembly)을 사용하여 제조된 기판을 제공하는 단계를 포함하는 것인, 구조물의 패턴 밀도의 증가 방법.
- 제3항에 있어서, 상기 측벽을 노출시키는 단계, 상기 캡 층 및 상기 구조물의 상기 내부의 비변형 부분을 선택적으로 제거하는 단계, 및 상기 기판의 하부 층 상에 상기 층의 상기 패턴 전사 에칭을 수행하는 단계는, 모두 상기 처리실 내의 원위치에서(in situ) 행해지는 것인, 구조물의 패턴 밀도의 증가 방법.
- 제4항에 있어서, 상기 처리실은, 유도성 결합 플라즈마(ICP; inductive coupled plasma), 반경 라인 슬롯 안테나(RLSA; radial line slot antenna), 또는 전자 사이클로트론 공명(ECR; electron cyclotron resonance) 디바이스를 포함하는 전력원을 사용하여 생성되는 고밀도 플라즈마를 사용하는 것인, 구조물의 패턴 밀도의 증가 방법.
- 제4항에 있어서,
상기 캡 층 및 상기 구조물의 상기 내부의 비변형 부분을 선택적으로 제거하는 단계는, 사플루오르화 탄소, 산소 및 육플루오르화 실리콘 또는 아르곤, 산소 및 헬륨을 사용하는 플라즈마 화학적 성질(chemistry)을 이용하고, 그리고/또는
상기 기판은 스톱(stop) 층을 포함하며, 상기 스톱 층은 산질화 실리콘, 질화 실리콘, 또는 질화 티타늄을 포함하는 것인, 구조물의 패턴 밀도의 증가 방법. - 제4항에 있어서,
상기 화학적인 환경은 산소 함유 환경 또는 질소 함유 환경, 또는 도펀트 함유 환경을 포함하고,
상기 화학적인 환경이 산소 함유 환경을 포함하는 경우에는, 상기 산소 함유 환경은 산소, 일산화탄소, 이산화탄소, 및/또는 이산화질소를 포함하거나;
상기 화학적인 환경이 질소 함유 환경을 포함하는 경우에는, 상기 질소 함유 환경은 질소, 암모니아 및/또는 히드라진(hydrazine)을 포함하거나;
상기 화학적인 환경이 도펀트 함유 환경을 포함하는 경우에는, 상기 도펀트 함유 환경은 보란(borane), 디보란(diborane), 포스핀(phosphine) 및/또는 아르신(arsine)을 포함하는 것인, 구조물의 패턴 밀도의 증가 방법. - 제7항에 있어서,
상기 수소, 산소 및 질소 비는 0/50/9,000 내지 300/400/11,000의 범위 내에 있고, 그리고/또는 상기 처리실의 상기 온도는 700 내지 1200℃의 범위 내에 있는 것인, 구조물의 패턴 밀도의 증가 방법. - 제7항에 있어서,
상기 산화물 층 두께는 0.01 내지 1.05 nm의 범위 내에 있고, 상기 수증기 부분 압력은 0.10 내지 1.00 Torr의 범위 내에 있고, 그리고/또는 상기 산화 시간은 0.02 내지 50시간의 범위 내에 있는 것인, 구조물의 패턴 밀도의 증가 방법. - 제7항에 있어서,
상기 화학적으로 변형된 측벽 층의 상기 두께는, 9.0 nm 이하, 7.0 nm 이하, 또는 5 nm 이하 중 하나인 것인, 구조물의 패턴 밀도의 증가 방법. - 하나 이상의 통합 동작 변수를 갖는 통합 방식을 사용하여 기판 상의 구조물의 패턴 밀도를 증가시키는 방법에 있어서,
처리실 내에 패터닝된 층을 갖는 기판을 제공하는 단계로서, 상기 패터닝된 층은 적어도 하나의 맨드릴(mandrel)을 포함하고, 상기 맨드릴은 유기 평탄화 스핀 온 물질, 질화 실리콘, 또는 자기 정렬된 이중 패터닝 방식을 사용하여 제조된 포토레지스트를 포함하는 것인, 상기 기판 제공 단계;
상기 패터닝된 층 상에 등각 스페이서 층을 증착하는 단계;
실리콘 에칭 화학적 성질을 사용하여 스페이서 반응성 이온 에칭(RIE; reactive ion etching) 시퀀스를 수행하는 단계;
상기 맨드릴용으로 사용되는 물질에 의거한 스트립핑 기술을 사용하여 맨드릴 풀 스트립 시퀀스(mandrel-pull strip sequence)를 수행하는 단계로서, 상기 맨드릴 풀 스트립 시퀀스는 측벽 및 캡 층을 갖는 스페이서를 생성하는 것인, 상기 맨드릴 풀 스트립 시퀀스 수행 단계;
상기 측벽을 미리 정해진 깊이까지 화학적으로 변형시켜, 화학적으로 변형된 측벽 층을 생성하도록, 화학적인 환경에 상기 측벽을 노출시키는 단계;
상기 스페이서에 가장 가까운 상기 기판의 바닥부 및 상기 캡 층을 선택적으로 제거하는 단계;
상기 화학적으로 변형된 측벽 층을 남기기 위해 적어도 하나의 에칭 프로세스를 사용하여 상기 스페이서의 비변형 부분을 제거하도록 구성되는 스페이서 풀 에칭 시퀀스를 수행하는 단계; 및
상기 기판의 하부 층 상에 화학적으로 변형된 측벽 층의 패턴 전사 에칭을 수행하는 단계를 포함하며,
상기 하나 이상의 통합 동작 변수는, 수소, 산소 및 질소 비, 상기 처리실 온도, 상기 화학적으로 변형된 측벽 층의 상기 두께, 수증기 부분 압력, 상기 산화물 층 두께, 및 산화 시간을 포함하는 것인, 구조물의 패턴 밀도의 증가 방법. - 제11항에 있어서,
상기 구조물의 하나 이상의 타깃 임계 치수를 달성하기 위해, 선택된 하나 이상의 통합 동작 변수를 제어하는 단계를 더 포함하며, 상기 하나 이상의 타깃 임계 치수는 상기 구조물의 폭, 높이, 또는 라인 에지 거칠기를 포함하는 것인, 구조물의 패턴 밀도의 증가 방법. - 제12항에 있어서, 상기 등각 스페이서 층을 증착하는 단계, 상기 측벽을 노출시키는 단계, 상기 맨드릴 풀 스트립 시퀀스를 수행하는 단계, 상기 캡 층을 선택적으로 제거하는 단계, 상기 스페이서 풀 에칭 시퀀스를 수행하는 단계, 및 상기 기판의 하부 층 상에 상기 층의 상기 패턴 전사 에칭을 수행하는 단계는, 모두 상기 처리실 내의 원위치에서 행해지는 것인, 구조물의 패턴 밀도의 증가 방법.
- 제13항에 있어서,
상기 맨드릴용으로 사용되는 물질에 의거한 스트립핑 기술을 사용하여 맨드릴 풀 스트립 시퀀스를 수행하는 단계는, 상기 맨드릴이 유기 물질로 만들어지는 경우에는 원위치 플라즈마 스트립을 이용하거나, 상기 맨드릴이 질화 실리콘으로 만들어지는 경우에는 뜨거운(hot) 인산을 사용하는 습식 스트립을 이용하고, 그리고/또는
상기 플라즈마 챔버는, 유도성 결합 플라즈마(ICP), 반경 라인 슬롯 안테나(RLSA), 또는 전자 사이클로트론 공명(ECR) 디바이스를 포함하는 전력원을 사용하여 생성되는 고밀도 플라즈마를 사용하는 것인, 구조물의 패턴 밀도의 증가 방법. - 제14항에 있어서, 상기 스페이서 RIE 시퀀스를 수행하는 단계는, 원하는 스페이서 프로파일을 얻도록, 브롬화 수소, 산소 및 아르곤을 포함하는 제1 세트의 RIE 화학물질 또는 염소, 산소 및 아르곤을 포함하는 제2 세트의 RIE 화학물질 또는 제1 세트와 제2 세트의 RIE 화학물질의 추가적인 가스와의 조합을 이용하는 것인, 구조물의 패턴 밀도의 증가 방법.
- 제14항에 있어서,
상기 맨드릴은, 유기 평탄화 스핀 온 물질, 질화 실리콘, 또는 자기 정렬된 쿼드 또는 옥토 패터닝 방식을 사용하여 제조되는 포토레지스트를 포함하고, 그리고/또는
상기 자기 정렬된 쿼드 또는 옥토 패터닝 방식은 극자외선(EUV; extreme ultra violet) 리소그래피와 조합되거나 조합되지 않는 것인, 구조물의 패턴 밀도의 증가 방법. - 제14항에 있어서,
상기 화학적인 환경은 산소 함유 환경 또는 질소 함유 환경을 포함하고,
상기 산소 함유 환경은 산소, 일산화탄소, 이산화탄소, 및/또는 이산화질소를 포함하며,
상기 질소 함유 환경은 질소, 암모니아 및/또는 히드라진을 포함하는 것인, 구조물의 패턴 밀도의 증가 방법. - 제17항에 있어서,
상기 캡 층 및 상기 구조물의 상기 내부의 비변형 부분을 선택적으로 제거하는 단계는, 사플루오르화 탄소, 산소 및 육플루오르화 실리콘 또는 아르곤, 산소 및 헬륨을 사용하는 플라즈마 화학적 성질을 이용하고, 그리고/또는
상기 기판은 스톱 층을 포함하며, 상기 스톱 층은 산질화 실리콘, 질화 실리콘, 또는 질화 티타늄을 포함하는 것인, 구조물의 패턴 밀도의 증가 방법. - 제17항에 있어서,
상기 수소, 산소 및 질소 비는 0/50/9,000 내지 300/400/11,000의 범위 내에 있고, 그리고/또는
상기 처리실의 상기 온도는 700 내지 1200℃의 범위 내에 있는 것인, 구조물의 패턴 밀도의 증가 방법. - 제17항에 있어서,
상기 산화물 층 두께는 0.01 내지 1.05 nm의 범위 내에 있고, 상기 수증기 부분 압력은 0.10 내지 1.00 Torr의 범위 내에 있으며, 상기 산화 시간은 0.02 내지 50시간의 범위 내에 있고, 그리고/또는
상기 측벽 화학적 변형 층의 상기 두께는, 9.0 nm 이하, 7.0 nm 이하, 또는 5 nm 이하 중 하나인 것인, 구조물의 패턴 밀도의 증가 방법.
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US14/627,501 | 2015-02-20 | ||
US14/627,501 US9443731B1 (en) | 2015-02-20 | 2015-02-20 | Material processing to achieve sub-10nm patterning |
Publications (1)
Publication Number | Publication Date |
---|---|
KR20160102356A true KR20160102356A (ko) | 2016-08-30 |
Family
ID=56693701
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
KR1020160019697A KR20160102356A (ko) | 2015-02-20 | 2016-02-19 | 10nm 이하의 패터닝을 달성하기 위한 물질 처리 |
Country Status (4)
Country | Link |
---|---|
US (1) | US9443731B1 (ko) |
JP (1) | JP2016154234A (ko) |
KR (1) | KR20160102356A (ko) |
TW (1) | TWI596655B (ko) |
Families Citing this family (26)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US9564312B2 (en) | 2014-11-24 | 2017-02-07 | Lam Research Corporation | Selective inhibition in atomic layer deposition of silicon-containing films |
US9478433B1 (en) * | 2015-03-30 | 2016-10-25 | Applied Materials, Inc. | Cyclic spacer etching process with improved profile control |
US9972502B2 (en) * | 2015-09-11 | 2018-05-15 | Lam Research Corporation | Systems and methods for performing in-situ deposition of sidewall image transfer spacers |
US9601693B1 (en) | 2015-09-24 | 2017-03-21 | Lam Research Corporation | Method for encapsulating a chalcogenide material |
KR102469407B1 (ko) * | 2016-02-12 | 2022-11-21 | 도쿄엘렉트론가부시키가이샤 | 일괄 처리 시스템에서의 다중막 퇴적 및 에칭을 위한 방법 및 장치 |
US9852917B2 (en) * | 2016-03-22 | 2017-12-26 | International Business Machines Corporation | Methods of fabricating semiconductor fins by double sidewall image transfer patterning through localized oxidation enhancement of sacrificial mandrel sidewalls |
US10629435B2 (en) * | 2016-07-29 | 2020-04-21 | Lam Research Corporation | Doped ALD films for semiconductor patterning applications |
US10312102B2 (en) | 2016-08-29 | 2019-06-04 | Tokyo Electron Limited | Method of quasi-atomic layer etching of silicon nitride |
US10074543B2 (en) | 2016-08-31 | 2018-09-11 | Lam Research Corporation | High dry etch rate materials for semiconductor patterning applications |
KR102405202B1 (ko) | 2016-09-21 | 2022-06-02 | 도쿄엘렉트론가부시키가이샤 | 교차 구조물들을 패터닝하는 방법 |
US10832908B2 (en) | 2016-11-11 | 2020-11-10 | Lam Research Corporation | Self-aligned multi-patterning process flow with ALD gapfill spacer mask |
US10454029B2 (en) | 2016-11-11 | 2019-10-22 | Lam Research Corporation | Method for reducing the wet etch rate of a sin film without damaging the underlying substrate |
US10134579B2 (en) | 2016-11-14 | 2018-11-20 | Lam Research Corporation | Method for high modulus ALD SiO2 spacer |
TWI761461B (zh) * | 2017-02-23 | 2022-04-21 | 日商東京威力科創股份有限公司 | 用於製造自對準塊體結構之矽氮化物心軸的異向性抽出方法 |
KR102537097B1 (ko) * | 2017-02-23 | 2023-05-25 | 도쿄엘렉트론가부시키가이샤 | 실리콘 질화물의 유사 원자층 에칭 방법 |
WO2018236358A1 (en) * | 2017-06-20 | 2018-12-27 | Intel Corporation | Double patterning enabled by sputter defined ion implant features |
US10269559B2 (en) | 2017-09-13 | 2019-04-23 | Lam Research Corporation | Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer |
KR102427648B1 (ko) | 2017-11-03 | 2022-08-01 | 삼성전자주식회사 | 결함 검사 방법 및 결함 검사 장치 |
US10460994B2 (en) | 2017-11-30 | 2019-10-29 | Taiwan Semiconductor Manufacturing Co., Ltd. | Residue-free metal gate cutting for fin-like field effect transistor |
US11404275B2 (en) | 2018-03-02 | 2022-08-02 | Lam Research Corporation | Selective deposition using hydrolysis |
US10796969B2 (en) * | 2018-09-07 | 2020-10-06 | Kla-Tencor Corporation | System and method for fabricating semiconductor wafer features having controlled dimensions |
US11443953B2 (en) | 2018-11-13 | 2022-09-13 | Tokyo Electron Limited | Method for forming and using stress-tuned silicon oxide films in semiconductor device patterning |
CN114207184A (zh) | 2019-08-06 | 2022-03-18 | 朗姆研究公司 | 含硅膜的热原子层沉积 |
CN112928165B (zh) * | 2019-12-05 | 2024-06-18 | 中芯国际集成电路制造(上海)有限公司 | 半导体结构及其形成方法 |
US20210217614A1 (en) * | 2020-01-14 | 2021-07-15 | Tokyo Electron Limited | Multiple patterning with selective mandrel formation |
CN113140458B (zh) * | 2020-01-17 | 2024-03-01 | 中芯国际集成电路制造(上海)有限公司 | 半导体结构的形成方法 |
Family Cites Families (25)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US5024716A (en) | 1988-01-20 | 1991-06-18 | Canon Kabushiki Kaisha | Plasma processing apparatus for etching, ashing and film-formation |
US6063688A (en) * | 1997-09-29 | 2000-05-16 | Intel Corporation | Fabrication of deep submicron structures and quantum wire transistors using hard-mask transistor width definition |
JPH11204511A (ja) * | 1998-01-08 | 1999-07-30 | Kokusai Electric Co Ltd | シリコン熱酸化膜の形成装置 |
JP2001023924A (ja) * | 1999-07-09 | 2001-01-26 | Sony Corp | プラグの形成方法およびプラグ |
JP4467891B2 (ja) * | 2003-01-14 | 2010-05-26 | 富士通マイクロエレクトロニクス株式会社 | 半導体装置の製造方法 |
JP3965167B2 (ja) * | 2003-07-04 | 2007-08-29 | 東京エレクトロン株式会社 | 熱処理方法及び熱処理装置 |
JP4563729B2 (ja) | 2003-09-04 | 2010-10-13 | 東京エレクトロン株式会社 | プラズマ処理装置 |
KR100518606B1 (ko) * | 2003-12-19 | 2005-10-04 | 삼성전자주식회사 | 실리콘 기판과 식각 선택비가 큰 마스크층을 이용한리세스 채널 어레이 트랜지스터의 제조 방법 |
US7910288B2 (en) | 2004-09-01 | 2011-03-22 | Micron Technology, Inc. | Mask material conversion |
US7253118B2 (en) * | 2005-03-15 | 2007-08-07 | Micron Technology, Inc. | Pitch reduced patterns relative to photolithography features |
KR20080012055A (ko) * | 2006-08-02 | 2008-02-11 | 주식회사 하이닉스반도체 | 마스크 패턴 형성 방법 |
KR100810895B1 (ko) * | 2006-08-24 | 2008-03-07 | 동부일렉트로닉스 주식회사 | 반도체 소자 및 그 제조방법 |
US7611980B2 (en) | 2006-08-30 | 2009-11-03 | Micron Technology, Inc. | Single spacer process for multiplying pitch by a factor greater than two and related intermediate IC structures |
US8563229B2 (en) | 2007-07-31 | 2013-10-22 | Micron Technology, Inc. | Process of semiconductor fabrication with mask overlay on pitch multiplied features and associated structures |
US8030218B2 (en) | 2008-03-21 | 2011-10-04 | Micron Technology, Inc. | Method for selectively modifying spacing between pitch multiplied structures |
JP2010165942A (ja) * | 2009-01-16 | 2010-07-29 | Toshiba Corp | 半導体装置及びその製造方法 |
JP5698923B2 (ja) * | 2009-06-26 | 2015-04-08 | ローム・アンド・ハース・エレクトロニック・マテリアルズ,エル.エル.シー. | 自己整合型スペーサー多重パターニング方法 |
US8415884B2 (en) | 2009-09-08 | 2013-04-09 | Tokyo Electron Limited | Stable surface wave plasma source |
JP5514310B2 (ja) * | 2010-06-28 | 2014-06-04 | 東京エレクトロン株式会社 | プラズマ処理方法 |
KR101624814B1 (ko) | 2011-12-15 | 2016-05-26 | 인텔 코포레이션 | 단일 노광-자기 정렬된 이중, 삼중 및 사중 패터닝을 위한 방법 |
US8564068B2 (en) | 2012-01-05 | 2013-10-22 | Taiwan Semiconductor Manufacturing Company, Ltd. | Device and methods for small trench patterning |
US8980111B2 (en) | 2012-05-15 | 2015-03-17 | Tokyo Electron Limited | Sidewall image transfer method for low aspect ratio patterns |
JP2014072226A (ja) * | 2012-09-27 | 2014-04-21 | Tokyo Electron Ltd | パターン形成方法 |
US8623770B1 (en) | 2013-02-21 | 2014-01-07 | HGST Netherlands B.V. | Method for sidewall spacer line doubling using atomic layer deposition of a titanium oxide |
JP6308727B2 (ja) * | 2013-06-13 | 2018-04-11 | キヤノン株式会社 | 電子デバイスの製造方法 |
-
2015
- 2015-02-20 US US14/627,501 patent/US9443731B1/en not_active Expired - Fee Related
-
2016
- 2016-02-17 TW TW105104530A patent/TWI596655B/zh not_active IP Right Cessation
- 2016-02-19 KR KR1020160019697A patent/KR20160102356A/ko not_active Application Discontinuation
- 2016-02-19 JP JP2016029701A patent/JP2016154234A/ja active Pending
Also Published As
Publication number | Publication date |
---|---|
TW201703112A (zh) | 2017-01-16 |
US20160247680A1 (en) | 2016-08-25 |
US9443731B1 (en) | 2016-09-13 |
JP2016154234A (ja) | 2016-08-25 |
TWI596655B (zh) | 2017-08-21 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
US9443731B1 (en) | Material processing to achieve sub-10nm patterning | |
US9673059B2 (en) | Method for increasing pattern density in self-aligned patterning integration schemes | |
US8808562B2 (en) | Dry metal etching method | |
TWI524419B (zh) | 具有減小的側壁間隔物薄型化之高選擇性間隔物蝕刻程序 | |
US20230178419A1 (en) | Scaled liner layer for isolation structure | |
US6893893B2 (en) | Method of preventing short circuits in magnetic film stacks | |
US9171736B2 (en) | Spacer material modification to improve K-value and etch properties | |
US6933239B2 (en) | Method for removing conductive residue | |
KR102483741B1 (ko) | 진보된 패터닝 프로세스에서의 스페이서 퇴적 및 선택적 제거를 위한 장치 및 방법들 | |
US20180286707A1 (en) | Gas additives for sidewall passivation during high aspect ratio cryogenic etch | |
JP6175570B2 (ja) | ガスパルスを用いる深掘りシリコンエッチングのための方法 | |
JP2016143890A5 (ko) | ||
WO2011108663A1 (ja) | プラズマエッチング方法、半導体デバイスの製造方法、及びプラズマエッチング装置 | |
US20170069510A1 (en) | Method and system for selective spacer etch for multi-patterning schemes | |
WO2004006303A2 (en) | Method for fabricating an ultra shallow junction of a field effect transistor | |
JP6779846B2 (ja) | セルフアライン式マルチパターニングのためのその場スペーサ再整形方法及びシステム | |
US20150099366A1 (en) | Plasma etching method | |
KR20190006205A (ko) | 유기 멘드렐 보호 공정 | |
US20170053793A1 (en) | Method and system for sculpting spacer sidewall mask | |
JP3172340B2 (ja) | プラズマ処理装置 | |
JP2007214588A (ja) | 半導体装置の製造方法 | |
JP4448807B2 (ja) | エッチング方法 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
PA0109 | Patent application |
Patent event code: PA01091R01D Comment text: Patent Application Patent event date: 20160219 |
|
A201 | Request for examination | ||
PA0201 | Request for examination |
Patent event code: PA02012R01D Patent event date: 20160311 Comment text: Request for Examination of Application Patent event code: PA02011R01I Patent event date: 20160219 Comment text: Patent Application |
|
PG1501 | Laying open of application | ||
E902 | Notification of reason for refusal | ||
PE0902 | Notice of grounds for rejection |
Comment text: Notification of reason for refusal Patent event date: 20170616 Patent event code: PE09021S01D |
|
E90F | Notification of reason for final refusal | ||
PE0902 | Notice of grounds for rejection |
Comment text: Final Notice of Reason for Refusal Patent event date: 20171226 Patent event code: PE09021S02D |
|
E601 | Decision to refuse application | ||
PE0601 | Decision on rejection of patent |
Patent event date: 20180628 Comment text: Decision to Refuse Application Patent event code: PE06012S01D Patent event date: 20171226 Comment text: Final Notice of Reason for Refusal Patent event code: PE06011S02I Patent event date: 20170616 Comment text: Notification of reason for refusal Patent event code: PE06011S01I |