KR20190003795A - 수소 플라즈마를 사용한 실리콘 추출 방법 - Google Patents

수소 플라즈마를 사용한 실리콘 추출 방법 Download PDF

Info

Publication number
KR20190003795A
KR20190003795A KR1020187037056A KR20187037056A KR20190003795A KR 20190003795 A KR20190003795 A KR 20190003795A KR 1020187037056 A KR1020187037056 A KR 1020187037056A KR 20187037056 A KR20187037056 A KR 20187037056A KR 20190003795 A KR20190003795 A KR 20190003795A
Authority
KR
South Korea
Prior art keywords
plasma
substrate
process gas
forming
sio
Prior art date
Application number
KR1020187037056A
Other languages
English (en)
Other versions
KR102360404B1 (ko
Inventor
소남 디. 쉐르파
알록 란잔
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20190003795A publication Critical patent/KR20190003795A/ko
Application granted granted Critical
Publication of KR102360404B1 publication Critical patent/KR102360404B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)

Abstract

다양한 실시예들에서 수소 플라즈마를 사용한 실리콘 추출 방법이 개시되었다. 기판 프로세싱 방법은, 실리콘으로 구성되는 제 1 재료, 및 제 1 재료와는 상이한 제 2 재료를 함유하는 기판을 제공하는 단계, H2 및 선택적으로 Ar을 함유하는 플라즈마 여기된 프로세스 가스를 형성하는 단계, 및 제 2 재료에 대해 제 1 재료를 선택적으로 에칭하기 위해, 플라즈마 여기된 프로세스 가스에 기판을 노출시키는 단계를 포함한다. 일 실시예에 따르면, 제 2 재료는 SiN, SiO2, 및 이들의 조합으로 구성된 그룹으로부터 선택된다.

Description

수소 플라즈마를 사용한 실리콘 추출 방법
본 출원은 2016년 5월 29일에 출원된 미국 특허 가출원 제 62/342,992 호에 관련되고 이 가출원을 우선권으로 주장하며, 이 가출원의 전체 내용은 참조로서 본원에 포함된다.
본 발명은 반도체 제조 및 반도체 디바이스들의 분야, 더 구체적으로 수소 플라즈마를 사용한 실리콘 추출 방법에 관한 것이다.
라인 에칭 및 패터닝 프로세스들의 프론트 엔드(front end)는 기저(underlying) 재료들에 대해 높거나 또는 무한한 선택비(selectivity)를 갖는 실리콘의 추출을 요구한다. 실리콘을 추출하기 위해 사용되는 현재의 방법들은 에칭 부산물(by-product)들의 재퇴적(redeposition) 및 활성 이온(energetic ion)들에 의한 충격(bombardment)을 포함한다. 이 프로세스들은 기저 재료들에 푸팅(footing) 및 상당한 데미지를 초래한다. 따라서, 이 문제들을 극복하기 위해 실리콘 추출을 위한 새로운 프로세싱 방법들이 필요된다.
본 발명의 실시예들은 실리콘 추출을 위해 수소 플라즈마를 사용하는 기판 프로세싱 방법들을 설명한다. 수소 플라즈마는 산화물, 질화물, 및 다른 재료들에 대해 매우 높은 선택비로 실리콘을 추출할 수 있다. 이 프로세스는 기판들 상의 부산물 퇴적(예를 들어, 폴리머)이 없으며, 수소 이온들로 인한 기저 재료에의 데미지가 무시가능하다.
일 실시예에 따르면, 방법은, 원소 실리콘으로 구성되는 제 1 재료, 및 제 1 재료와는 상이한 제 2 재료를 함유하는 기판을 제공하는 단계, H2 및 선택적으로 Ar을 함유하는 플라즈마 여기된 프로세스 가스를 형성하는 단계, 및 제 2 재료에 대해 제 1 재료를 선택적으로 에칭하기 위해, 플라즈마 여기된 프로세스 가스에 기판을 노출시키는 단계를 포함한다. 일 실시예에서, 제 2 재료는 SiN, SiO2, 및 이들의 조합으로 구성된 그룹으로부터 선택될 수 있다.
본 발명 및 본 발명의 많은 수반되는 이점들의 보다 완전한 이해는, 첨부 도면들과 관련하여 고려될 때 이어지는 상세한 설명에 대한 참조에 의해 보다 잘 이해되므로 쉽게 얻어질 것이다.
도 1의 A 및 도 1의 B는 기판을 프로세싱하는 방법을 단면도들을 통해 개략적으로 도시한다.
도 2의 A 및 도 2의 B는 본 발명의 실시예에 따른 기판을 프로세싱하는 방법을 단면도들을 통해 개략적으로 도시한다.
도 3의 A 및 도 3의 B는 본 발명의 실시예에 따른 기판을 프로세싱하는 방법을 단면도들을 통해 개략적으로 도시한다.
도 4는 본 발명의 실시예에 따른 SiN 에칭 및 SiO2 에칭 대비 선택적 Si 에칭에 대한 실험적 결과들을 도시한다.
도 5는 본 발명의 실시예에 따른 SiN 에칭 및 SiO2 에칭 대비 선택적 Si 에칭에 대한 실험적 결과들을 도시한다.
도 6 및 도 7은 본 발명의 실시예에 따른 Si 에칭에 대한 실험적 결과들을 도시한다.
도 8의 A 내지 도 8의 F는 본 발명의 실시예에 따른 SiN 에칭 및 SiO2 에칭 대비 선택적 Si 에칭에 대한 실험적 결과들을 도시한다.
도 9는 본 발명의 실시예에 따른 용량성 결합 플라즈마(capacitively coupled plasma; CCP) 시스템을 개략적으로 도시한다.
본 발명의 실시예들은 다른 재료들에 대해 원소 실리콘(Si)을 선택적으로 에칭하기 위해 비폴리머라이징 화학물(non-polymerizing chemistry)을 사용하는 기판 프로세싱 방법들을 설명한다.
본원에서 사용되는 바와 같이, 표기(notation) “SiN”은 주요 성분들로서 실리콘 및 질소를 함유하는 층들을 포함하고, 이 층들은 일 범위의 Si 및 N 조성들을 가질 수 있다. Si3N4는 실리콘 질화물들 중 가장 열역학적으로 안정적이므로 실리콘 질화물들 중 가장 상업적으로 중요하다. 그러나, 본 발명의 실시예들은 넓은 범위의 Si 및 N 조성들을 갖는 SiN층들에 적용될 수 있다. 또한, 표기 “SiO2”는 주요 성분들로서 실리콘 및 산소를 함유하는 층들을 포함하는 것을 의미하고, 이 층들은 일 범위의 Si 및 O 조성들을 가질 수 있다. SiO2는 실리콘 산화물 중 가장 열역학적으로 안정적이며 따라서 실리콘 산화물 중 가장 상업적으로 중요하다.
도 1의 A 및 도 1의 B는 기판을 프로세싱하는 방법을 단면도들을 통해 개략적으로 도시한다. 도 1의 A는 기판(100), 실리콘 이산화물(SiO2)층(101), 융기된(raised) Si 피처들(102), 및 융기된 Si 피처들(102)의 수직 부분들(105) 상의 실리콘 질화물(SiN) 측벽 스페이서들(106)을 도시한다. SiN 측벽 스페이서들(106)은 융기된 Si 피처들(102)의 수평 부분들(103) 및 수직 부분들(105) 상에 SiN 스페이서층을 컨포멀하게(conformally) 퇴적시키고, 이어서 탄화불소 함유(fluorocarbon-containing) 플라즈마를 포함할 수 있는 이방성(anisotropic) 에칭 프로세스에서 수평 부분들(103) 상의 SiN 스페이서층(104)을 에칭함으로써 형성될 수 있다. 융기된 Si 피처들(102)은 종종 맨드렐(mandrel)들로 지칭되고, 이들은 할로겐 함유 에칭 프로세스[즉, 맨드렐 풀(pull) 프로세스]를 사용하여 제거될 수 있다.
도 1의 B는, Si과 SiO2 사이의 불량한 에칭 선택비로 인한 SiO2층(101) 내의 산화물(즉, SiO2) 리세스(109), 폴리머 잔여물(107)의 존재, 및 SiN 측벽 스페이서들(106)의 최상부에서의 테이퍼진(tapered) 프로파일을 생성하는 스페이서 부식(erosion)을 포함하는, 융기된 Si 피처들(102)을 제거하기 위한 할로겐 함유 에칭 프로세스의 몇몇 단점들을 예시한다. 본 발명의 실시예들은 할로겐 함유 에칭 프로세스의 이 단점들을 해결한다.
도 2의 A 및 도 2의 B는 본 발명의 실시예에 따른 기판을 프로세싱하는 방법을 단면도들을 통해 개략적으로 도시한다. 도 1의 A가 도 2의 A로서 재생성되었고, 기판(100), SiO2층(101), 융기된 Si 피처들(102), 및 융기된 Si 피처들(102)의 수직 부분들(105) 상의 SiN 측벽 스페이서들(106)을 도시한다. 융기된 Si 피처들(102)은 다결정 Si(polycrystalline Si; poly-Si) 또는 비정질 Si(amorphous Si; a-Si)을 함유할 수 있다.
도 2의 B는 융기된 Si 피처들(102)을 기판으로부터 선택적으로 제거하는 플라즈마 에칭 프로세스의 결과들을 도시한다. 플라즈마 에칭 프로세스는 H2 및 선택적으로 Ar 가스를 함유하는 프로세스 가스를 플라즈마 여기시키는 것(plasma exciting), 및 도 2의 A 내의 구조물을 플라즈마 여기된 프로세스 가스에 노출시키는 것을 포함한다. 일 실시예에 따르면, 프로세스 가스는 H2로 구성된다. 다른 실시예에 따르면, 프로세스 가스는 H2 및 Ar으로 구성된다. 도 2의 B 내의 결과적 구조물은 SiO2층(101) 상의 SiN 측벽 스페이서들(106)을 포함하고, 위에서 설명되고 도 1의 B에 도시된 단점들을 갖지 않는다.
도 2의 A 및 도 2의 B에서 설명되는 방법은, 기판 상의 융기된 피처들을 포함하는 제 1 재료, 융기된 피처들의 수직 부분들에 측벽 스페이서들을 형성하는 제 2 재료를 함유하는 기판을 제공하는 단계로서, 제 1 재료 및 제 2 재료는 이들 아래에 있는 제 3 재료와 직접 접촉하고, 제 1 재료는 원소 Si으로 구성되고, 제 2 재료는 SiN로 구성되며, 제 3 재료는 SiO2로 구성되는 것인, 기판을 제공하는 단계, H2 및 선택적으로 Ar으로 구성된 플라즈마 여기된 프로세스 가스를 형성하는 단계, 및 플라즈마 여기된 프로세스 가스에 기판을 노출시켜 제 2 재료 및 제 3 재료에 대하여 제 1 재료를 선택적으로 제거하는 단계를 포함한다.
도 3의 A 및 도 3의 B는 본 발명의 실시예에 따른 기판을 프로세싱하는 방법을 단면도들을 통해 개략적으로 도시한다. 도 3의 A는 SiO2층(300), Si층들(302), SiO2층들(306), 및 노출된 Si층들(310)과 인접한 SiN 측벽 스페이서들(308)을 포함하는 구조물을 도시한다.
본 발명의 실시예에 따르면, 도 3의 A 내의 구조물은 SiO2층들(306) 및 SiN 측벽 스페이서들(308)에 대하여 Si층들(310)을 선택적으로 에칭하는 에칭 프로세스를 사용하여 프로세싱될 수 있다. 에칭 프로세스는 H2 및 선택적으로 Ar 가스를 함유하는 프로세스 가스를 플라즈마 여기시키는 것, 및 도 3의 A 내의 구조물을 플라즈마 여기된 프로세스 가스에 노출시키는 것을 포함한다. 일 실시예에 따르면, 프로세스 가스는 H2로 구성된다. 다른 실시예에 따르면, 프로세스 가스는 H2 및 Ar으로 구성된다. 도 3의 B는 부분적 Si 풀 에칭 프로세스에 이은 구조물을 도시한다.
도 4는 본 발명의 실시예에 따른 SiN 에칭(482) 및 SiO2 에칭(484) 대비 선택적 Si 에칭(480)에 대한 실험적 결과들을 도시한다. 플라즈마 에칭은, 프로세싱 조건들이 60MHz에서의 200W의 상부 전극 전력, 10°C의 기판 홀더 온도, 및 H2 및 Ar으로 구성된 프로세스 가스를 포함했던 용량성 결합 플라즈마(CCP) 시스템에서 수행되었다. 하부 전극은 전력공급되지 않았다. 챔버 온도는 20mTorr 내지 100mTorr에서 변화되었다. 에칭 결과들은 SiN 에칭 및 SiO2 에칭 대비 Si 에칭에 대한 매우 높은 에칭 선택비를 보여준다. 이 플라즈마 프로세싱 조건들 하에서는, 원자 수소가 지배적 에천트 종(species)이다. 본 발명의 실시예들에 따르면, 프로세싱 조건들은 60MHz에서의 200W 내지 1000W의 상부 전극 전력을 포함할 수 있다.
도 5는 본 발명의 실시예에 따른 SiN 에칭(582) 및 SiO2 에칭(604) 대비 선택적 Si 에칭(580)에 대한 실험적 결과들을 도시한다. 플라즈마 에칭은, 프로세싱 조건들이 13.56MHz에서의 75W의 하부 전극 전력, 10°C의 기판 홀더 온도, 및 H2 및 Ar으로 구성된 프로세스 가스를 포함했던 CCP 시스템에서 수행되었다. 상부 전극은 전력공급되지 않았다. 챔버 온도는 20mTorr 내지 150mTorr에서 변화되었다. 결과들은 SiN 에칭 및 SiO2 에칭 대비 Si 에칭에 대한 매우 높은 에칭 선택비를 보인다. 이 프로세싱 조건들 하에서는, 원자 수소가 여전히 지배적 에천트 종이지만, 기판에 대한 이온 에너지(Eion) > 스퍼터링 임계값으로 인해 수소 이온들이 활성적이다. 본 발명의 실시예들에 따르면, 프로세싱 조건들은 13.56MHz에서의 75W 내지 250W의 하부 전극 전력을 포함할 수 있다.
도 6 및 도 7은 본 발명의 실시예에 따른 Si 에칭에 대한 실험적 결과들을 도시한다. 도 6에서, 도표는 광학적 방출 분광법(optical emission spectroscopy; OES) 대 플라즈마 실행 시간(run time)을 사용하여 656.5nm에서 측정된 H 플라즈마 강도(600)를 도시한다. 도 7에서, 도표는 OES 대 플라즈마 실행 시간을 사용하여 414.0nm에서 측정된 SiH 플라즈마 강도(800)를 도시한다. 도 7 및 도 8에서의 결과들은 원자 수소에 의한 실리콘의 화학적 에칭의 증거를 보여준다. 플라즈마 에칭은, 프로세싱 조건들이 60MHz에서의 200W의 상부 전극 전력, 10°C의 기판 홀더 온도, 및 H2 및 Ar으로 구성된 프로세스 가스를 포함했던 CCP 시스템에서 수행되었다. 하부 전극은 전력공급되지 않았다. 챔버 압력은 20mTorr였다. 본 발명의 실시예들에 따르면, 프로세싱 조건들은 60MHz에서의 200W 내지 1000W의 상부 전극 전력, 및 20mTorr 내지 150mTorr의 챔버 압력을 포함할 수 있다.
도 8의 A 내지 도 8의 F는 본 발명의 실시예에 따른 SiN 에칭 및 SiO2 에칭 대비 선택적 Si 에칭에 대한 실험적 결과들을 도시한다. 도 8의 A 및 도 8의 B에서의 단면 주사 전자 현미경(scanning electron microscopy; SEM) 그래프들은, 둘 다 SiO2층 위에 있는, 융기된 폴리 Si층들의 측벽 부분들 상의 SiN 측벽 스페이서들을 포함하는 준완성(as-received) 샘플들을 도시한다.
도 8의 C 및 도 8의 D는 융기된 폴리 Si층들을 SiN 측벽 스페이서들 및 SiO2층에 대해 선택적으로 에칭하는 플라즈마 에칭 프로세스(맨드렐 풀)에 이은 SEM 그래프들을 도시한다. 플라즈마 에칭 프로세스는, 60MHz에서의 200W의 상부 전극 전력, 10°C의 기판 홀더 온도, 및 H2 및 Ar으로 구성된 프로세스 가스를 포함했던 프로세싱 조건들 및 CCP 플라즈마 프로세싱 시스템을 사용하여 수행되었다. 하부 전극은 전력공급되지 않았다. 챔버 압력은 20mTorr였다. 본 발명의 실시예들에 따르면, 프로세싱 조건들은 60MHz에서의 200W 내지 1000W의 상부 전극 전력, 및 20mTorr 내지 150mTorr의 챔버 압력을 포함할 수 있다.
도 8의 E 및 도 8의 F는 CCP 플라즈마 프로세싱 시스템에서 종래의 할로겐 함유 화학물을 사용하여 SiN 측벽 스페이서들을 형성하기 위한 플라즈마 에칭 프로세스(맨드렐 풀)에 이은 SEM 그래프들을 도시한다. 프로세싱 조건들은 60MHz에서의 500W의 상부 전극 전력, 13.56MHz에서의 100W의 하부 전극 전력, 90sccm의 Cl2 가스 유동, 50°C의 기판 홀더 온도, 및 75초의 실행 시간을 포함했다. 챔버 압력은 80mTorr였다.
도 8의 E 및 도 8의 F에서의 종래의 에칭 프로세스에 대한 도 8의 C 및 도 8의 D에서의 발명적 에칭 프로세스의 비교는, 발명적 에칭 프로세스가 폴리머 잔여물을 초래하지 않고, SiN 측벽 스페이서들의 테이퍼링(tapering)을 감소시키며, 높은 에칭 선택비에 의해 산화물 리세스를 감소시킨다는 것을 보여준다.
본 발명의 실시예들에 따르면, 프로세스 가스는 다양한 상이한 플라즈마 소스들을 사용하여 여기되는 플라즈마일 수 있다. 일 실시예에 따르면, 플라즈마 소스는 상부 플레이트 전극, 및 기판을 지지하는 하부 플레이트 전극을 포함하는 CCP 소스를 포함할 수 있다. RF(radio frequency) 발생기들 및 임피던스 네트워크들을 사용하여 상부 플레이트 전극, 하부 플레이트 전극, 또는 상부 플레이트와 하부 플레이트 전극 둘 다에 무선 주파수(radio frequency; RF) 전력이 제공될 수 있다. 상부 전극에의 RF 전력의 인가를 위한 일반적인 주파수는 10MHz 내지 200MHz 범위이고 60MHz일 수 있다. 추가적으로, 하부 전극에의 RF 전력의 인가를 위한 일반적인 주파수는 0.1MHz 내지 100MHz 범위이고 13.56MHz일 수 있다. 도 8의 C 및 도 8의 D에 도시된 맨드렐 풀 에칭 프로세스를 수행하기 위해 CCP 시스템이 사용될 수 있다. 일 실시예에 따르면, 플라즈마 여기된 프로세스 가스를 형성하는 것은, 높은 라디칼(radical) 대 이온 플럭스 비율(ratio)을 생성하는 원격(remote) 플라즈마 소스를 사용하여 플라즈마를 생성하는 것을 포함한다. 원격 플라즈마 소스는 플라즈마 프로세싱 챔버의 외부에 위치될 수 있고, 플라즈마 여기된 가스가 플라즈마 프로세싱 챔버 내로 유동하여 기판을 프로세싱한다.
도 9에 도시된 예시적인 플라즈마 프로세싱 디바이스(500)는 챔버(510), 프로세싱될 기판(525)이 그 위에 고정되는 기판 홀더(520), 가스 주입 시스템(540), 및 진공 펌핑 시스템(550)을 포함한다. 챔버(510)는 기판(525)의 표면에 인접한 프로세싱 영역(545) 내의 플라즈마의 생성을 용이하게 하도록 구성되고, 가열된 전자들과 이온화가능(ionizable) 가스 사이의 충돌들을 통해 플라즈마가 형성된다. 이온화가능 가스 또는 가스들의 혼합물이 가스 주입 시스템(540)을 통해 도입되고, 프로세스 압력이 조절된다. 예를 들어, 진공 펌핑 시스템(550)을 스로틀링(throttling)하기 위해 게이트 밸브(미도시)가 사용된다.
기판(525)은 로봇식 기판 전달 시스템을 통해 슬롯 밸브(미도시) 및 챔버 피드 스루(feed-through)(미도시)를 거쳐 챔버(510)의 내부와 외부로 전달되고, 기판 홀더(520) 내에 하우징된 기판 리프트 핀들(미도시)에 의해 기판이 수용되고 로봇식 기판 전달 시스템 내에 하우징된 디바이스들에 의해 기계적으로 이동된다. 기판 전달 시스템으로부터 기판(525)이 수용되면, 기판은 기판 홀더(520)의 상면까지 하강된다.
대안적인 실시예에서, 기판(525)은 정전 클램프(electrostatic clamp)(미도시)를 통해 기판 홀더(520)에 부착된다. 또한, 기판 홀더(520)는 기판 홀더(520)로부터의 열을 수용하고 이 열을 열 교환기 시스템(미도시)에 전달하거나, 또는 가열 시, 열 교환기 시스템으로부터의 열을 전달하는, 재순환 냉각제 유동을 포함하는 냉각 시스템을 더 포함한다. 또한, 기판(525)과 기판 홀더(520) 사이의 가스 갭 열 전도성을 향상시키기 위해 기판의 후면(back-side)에 가스가 전달될 수 있다. 그러한 시스템은 승온 시 또는 감온 시 기판의 온도 제어가 요구될 때 이용된다. 예를 들어, 기판의 온도 제어는, 플라즈마로부터 기판(525)에 전달되는 열 플럭스와, 기판 홀더(520)에의 전도에 의해 기판(525)으로부터 제거되는 열 플럭스의 밸런스로 인해 달성되는 정상 상태(steady-state) 온도를 초과하는 온도들에서 유용할 수 있다. 다른 실시예들에서, 저항성 가열 엘리먼트들, 또는열전기(thermo-electric) 히터들/쿨러들과 같은 가열 엘리먼트들이 포함된다.
제 1 실시예에서, 기판 홀더(520)는 또한 전극으로서 역할하고, 이 전극을 통해 무선 주파수(RF) 전력이 프로세싱 영역(545)에서 플라즈마에 커플링된다. 예를 들어, 기판 홀더(520)는, RF 생성기(530)로부터 임피던스 정합 네트워크(532)를 통한 기판 홀더(520)에의 RF 전력의 전송을 통해 RF 전압으로 전기적으로 바어어싱된다. RF 바이어스는 전자들을 가열하고, 이에 따라 플라즈마를 형성하고 유지하도록 역할한다. 이 구성에서, 시스템은 반응성 이온 에칭(reactive ion etch; RIE) 반응기로서 동작하고, 챔버 및 상부 가스 주입 전극은 접지면들로서 역할한다. RF 바이어스에 대한 일반적인 주파수는 0.1MHz 내지 100MHz 범위이고 13.56MHz일 수 있다. 대안적인 실시예에서, RF 전력은 다중 주파수들로 기판 홀더 전극에 인가된다. 또한, 임피던스 정합 네트워크(532)는, 프로세싱 챔버(10) 내에서의 플라즈마에의 RF 전력의 전달을, 반사되는 전력을 최소화함으로써 최소화하도록 역할한다. 정합 네트워크 토폴로지들(예를 들어, L 타입, π 타입, T 타입 등) 및 자동 제어 방법들은 본 분야에 알려져 있다.
도 9를 계속 참조하면, 가스 주입 시스템(540)을 통해 프로세싱 영역(545)에 (예를 들어, H2 및 선택적으로 Ar을 함유하는) 프로세스 가스(542)가 도입된다. 가스 주입 시스템(540)은 샤워헤드를 포함할 수 있고, 가스 주입 플레넘(plenum)(미도시), 일련의 배플(baffle) 플레이트들(미도시) 및 다중 오리피스(multi-orifice) 샤워헤드 가스 주입 플레이트(미도시)를 통해 가스 전달 시스템(미도시)으로부터 프로세싱 영역(545)에 프로세스 가스(542)가 공급된다.
진공 펌핑 시스템(550)은 바람직하게 초당 5000리터(및 그 이상)까지의 펌핑 속도가 가능한 터보 분자 진공 펌프(turbo-molecular vacuum pump; TMP) 및 챔버 압력을 스로틀링하기 위한 게이트 밸브를 포함한다. 건식 플라즈마 에칭을 위해 이용되는 종래의 플라즈마 프로세싱 디바이스들에서, 초당 1000리터 내지 3000리터 TMP가 이용된다. TMP들은 일반적으로 50mTorr보다 낮은, 저압력 프로세싱을 위해 유용하다. 더 높은 압력들에서는, TMP 펌핑 속도가 극적으로 떨어진다. 고압력 프로세싱(즉, 100mTorr보다 큼)에 대해, 기계적 부스터 펌프 및 건식 러핑(roughing) 펌프가 사용된다.
컴퓨터(555)는 플라즈마 프로세싱 시스템(500)으로부터의 출력들을 모니터링하는 것 뿐만 아니라 플라즈마 프로세싱 시스템(500)에 입력들을 전달하고 활성화시키기에 충분한 제어 전압들을 생성할 수 있는 디지털 I/O 포트, 메모리, 마이크로프로세서를 포함한다. 또한, 컴퓨터(555)는 RF 생성기(530), 임피던스 정합 네트워크(532), 가스 주입 시스템(540) 및 진공 펌핑 시스템(550)에 커플링되고 이들과 정보를 교환한다. 메모리 내에 저장된 프로그램은, 저장된 프로세스 레시피에 따라 플라즈마 프로세싱 시스템(500)의 이전에 언급된 컴포넌트들에의 입력들을 활성화하도록 이용된다.
플라즈마 프로세싱 시스템(500)은 상부 플레이트 전극(570)을 더 포함하고, 이 상부 플레이트 전극(570)에 RF 전력이 임피던스 정합 네트워크(574)를 통해 RF 생성기(572)로부터 커플링된다. 상부 전극에의 RF 전력의 인가를 위한 일반적인 주파수는 10MHz 내지 200MHz 범위이고 바람직하게 60MHz이다. 추가적으로, 하부 전극에의 전력의 인가를 위한 일반적인 주파수는 0.1MHz 내지 30MHz 범위이다. 또한, 컴퓨터(555)는 상부 플레이트 전극(570)에의 RF 전력의 인가를 제어하도록 RF 생성기(572) 및 임피던스 정합 네트워크(574)에 커플링된다.
다양한 실시예들에서 수소 플라즈마를 사용한 실리콘 추출 방법이 개시되었다. 본 발명의 실시예의 상술한 설명은 예시 및 설명의 목적을 위해 제시되었다. 이는 포괄적으로 되거나 또는 본 발명을 개시된 엄밀한 형태에 제한하도록 의도되지 않는다. 이 설명 및 이어지는 청구항은 설명 목적을 위해 사용되고 제한적으로 해석되지 않아야 할 용어를 포함한다. 당업자는 위에서의 교시에 비추어 많은 변형예 및 변경예가 가능하다는 점을 이해할 수 있다. 당업자는 도면에 도시된 다양한 컴포넌트에 대한 다양한 균등한 조합 및 대체를 인식할 것이다. 따라서 본 발명의 범위가 이 상세한 설명에 의해서가 아닌 본원에 첨부된 청구항에 의해 제한되는 것으로 의도된다.

Claims (19)

  1. 기판 프로세싱 방법에 있어서,
    원소 Si로 구성되는 제 1 재료, 및 상기 제 1 재료와는 상이한 제 2 재료를 함유하는 기판을 제공하는 단계;
    H2 및 선택적으로 Ar을 함유하는 플라즈마 여기된(plasma-excited) 프로세스 가스를 형성하는 단계; 및
    상기 제 2 재료에 대해 상기 제 1 재료를 선택적으로 에칭하기 위해 상기 플라즈마 여기된 프로세스 가스에 상기 기판을 노출시키는 단계
    를 포함하는, 기판 프로세싱 방법.
  2. 제 1 항에 있어서, 상기 프로세스 가스는 H2로 구성되는 것인, 기판 프로세싱 방법.
  3. 제 1 항에 있어서, 상기 프로세스 가스는 H2 및 Ar으로 구성되는 것인, 기판 프로세싱 방법.
  4. 제 1 항에 있어서, 상기 제 2 재료는 SiN, SiO2, 및 이들의 조합으로 구성되는 그룹으로부터 선택되는 것인, 기판 프로세싱 방법.
  5. 제 1 항에 있어서, 상기 제 2 재료는 유기 재료를 포함하는 것인, 기판 프로세싱 방법.
  6. 제 1 항에 있어서, 상기 제 1 재료는 상기 기판 상의 융기된(raised) 피처들을 포함하고, 상기 제 2 재료는 상기 융기된 피처들의 수직 부분들에 측벽 스페이서들을 형성하며, 상기 노출시키는 단계는 상기 제 1 재료의 융기된 피처들을 제거하지만 상기 측벽 스페이서들을 제거하지 않는 것인, 기판 프로세싱 방법.
  7. 제 6 항에 있어서, 상기 제 2 재료는 SiN 및 SiO2로 구성되는 그룹으로부터 선택되는 것인, 기판 프로세싱 방법.
  8. 제 6 항에 있어서, 상기 제 1 재료 및 상기 제 2 재료는 이들 아래에 있는 SiO2 재료와 직접 접촉하고, 상기 제 2 재료는 SiN을 포함하는 것인, 기판 프로세싱 방법.
  9. 제 1 항에 있어서, 상기 플라즈마 여기된 프로세스 가스를 형성하는 단계는, 상부 플레이트 전극, 및 상기 기판을 지지하는 하부 플레이트 전극을 포함하는 용량성 결합 플라즈마 소스를 사용하여 플라즈마를 생성하는 단계를 포함하는 것인, 기판 프로세싱 방법.
  10. 제 1 항에 있어서, 상기 플라즈마 여기된 프로세스 가스를 형성하는 단계는, 높은 라디칼(radical) 대 이온 플럭스 비율(ratio)을 생성하는 원격(remote) 플라즈마 소스를 사용하여 플라즈마를 생성하는 단계를 포함하는 것인, 기판 프로세싱 방법.
  11. 기판 프로세싱 방법에 있어서,
    원소 Si로 구성되는 제 1 재료, 및 SiN, SiO2, 및 이들의 조합으로 구성되는 그룹으로부터 선택되는 제 2 재료를 함유하는 기판을 제공하는 단계;
    H2 및 Ar으로 구성되는 플라즈마 여기된 프로세스 가스를 형성하는 단계; 및
    상기 제 2 재료에 대해 상기 제 1 재료를 선택적으로 에칭하기 위해 상기 플라즈마 여기된 프로세스 가스에 상기 기판을 노출시키는 단계
    를 포함하는, 기판 프로세싱 방법.
  12. 제 11 항에 있어서, 상기 제 1 재료는 상기 기판 상의 융기된 피처들을 포함하고, 상기 제 2 재료는 상기 융기된 피처들의 수직 부분에 측벽 스페이서들을 형성하며, 상기 노출시키는 단계는 상기 제 1 재료의 융기된 피처들을 제거하지만 상기 측벽 스페이서들을 제거하지 않는 것인, 기판 프로세싱 방법.
  13. 제 11 항에 있어서, 상기 플라즈마 여기된 프로세스 가스를 형성하는 단계는, 상부 플레이트 전극, 및 상기 기판을 지지하는 하부 플레이트 전극을 포함하는 용량성 결합 플라즈마 소스를 사용하여 플라즈마를 생성하는 단계를 포함하는 것인, 기판 프로세싱 방법.
  14. 제 11 항에 있어서, 상기 플라즈마 여기된 프로세스 가스를 형성하는 단계는, 높은 라디칼 대 이온 플럭스 비율을 생성하는 원격 플라즈마 소스를 사용하여 플라즈마를 생성하는 단계를 포함하는 것인, 기판 프로세싱 방법.
  15. 기판 프로세싱 방법에 있어서,
    기판 상의 융기된 피처들을 포함하는 제 1 재료, 상기 융기된 피처들의 수직 부분들에 측벽 스페이서들을 형성하는 제 2 재료를 함유하는 기판을 제공하는 단계로서, 상기 제 1 재료 및 상기 제 2 재료는 이들 아래에 있는 제 3 재료와 직접 접촉하고, 상기 제 1 재료는 원소 Si으로 구성되고, 상기 제 2 재료는 SiN으로 구성되며, 상기 제 3 재료는 SiO2로 구성되는 것인, 상기 기판을 제공하는 단계;
    H2 및 선택적으로 Ar으로 구성되는 플라즈마 여기된 프로세스 가스를 형성하는 단계; 및
    상기 제 2 재료 및 상기 제 3 재료에 대해 상기 제 1 재료를 선택적으로 제거하기 위해 상기 플라즈마 여기된 프로세스 가스에 상기 기판을 노출시키는 단계
    를 포함하는, 기판 프로세싱 방법.
  16. 제 15 항에 있어서, 상기 플라즈마 여기된 프로세스 가스를 형성하는 단계는, 상부 플레이트 전극, 및 상기 기판을 지지하는 하부 플레이트 전극을 포함하는 용량성 결합 플라즈마 소스를 사용하여 플라즈마를 생성하는 단계를 포함하는 것인, 기판 프로세싱 방법.
  17. 제 15 항에 있어서, 상기 플라즈마 여기된 프로세스 가스를 형성하는 단계는, 높은 라디칼 대 이온 플럭스 비율을 생성하는 원격 플라즈마 소스를 사용하여 플라즈마를 생성하는 단계를 포함하는 것인, 기판 프로세싱 방법.
  18. 제 15 항에 있어서, 상기 프로세스 가스는 H2로 구성되는 것인, 기판 프로세싱 방법.
  19. 제 15 항에 있어서, 상기 프로세스 가스는 H2 및 Ar으로 구성되는 것인, 기판 프로세싱 방법.
KR1020187037056A 2016-05-29 2017-05-26 수소 플라즈마를 사용한 실리콘 추출 방법 KR102360404B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201662342992P 2016-05-29 2016-05-29
US62/342,992 2016-05-29
PCT/US2017/034852 WO2017210139A1 (en) 2016-05-29 2017-05-26 Method of silicon extraction using a hydrogen plasma

Publications (2)

Publication Number Publication Date
KR20190003795A true KR20190003795A (ko) 2019-01-09
KR102360404B1 KR102360404B1 (ko) 2022-02-08

Family

ID=60418817

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020187037056A KR102360404B1 (ko) 2016-05-29 2017-05-26 수소 플라즈마를 사용한 실리콘 추출 방법

Country Status (5)

Country Link
US (1) US20170345667A1 (ko)
JP (1) JP6958980B6 (ko)
KR (1) KR102360404B1 (ko)
TW (1) TWI687995B (ko)
WO (1) WO2017210139A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190030181A (ko) * 2017-09-13 2019-03-21 도쿄엘렉트론가부시키가이샤 자기 정렬된 다중 패터닝을 위한 선택적 질화물 에칭 방법

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190038945A (ko) 2016-08-29 2019-04-09 도쿄엘렉트론가부시키가이샤 실리콘 질화물의 준원자 층 에칭 방법
KR102537097B1 (ko) 2017-02-23 2023-05-25 도쿄엘렉트론가부시키가이샤 실리콘 질화물의 유사 원자층 에칭 방법
WO2018156985A1 (en) 2017-02-23 2018-08-30 Tokyo Electron Limited Method of anisotropic extraction of silicon nitride mandrel for fabrication of self-aligned block structures
JP2022519663A (ja) * 2019-02-06 2022-03-24 エヴァテック・アーゲー イオンを生成する方法および装置

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20140002539A (ko) * 2012-06-29 2014-01-08 도쿄엘렉트론가부시키가이샤 성막 방법, 성막 장치 및 기억 매체
US20150279687A1 (en) * 2014-03-31 2015-10-01 Applied Materials, Inc. Halogen-free gas-phase silicon etch

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100607409B1 (ko) * 2004-08-23 2006-08-02 삼성전자주식회사 기판 식각 방법 및 이를 이용한 반도체 장치 제조 방법
US8808563B2 (en) * 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US8969212B2 (en) * 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9773649B2 (en) * 2014-11-17 2017-09-26 Lam Research Corporation Dry development and image transfer of si-containing self-assembled block copolymers

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20140002539A (ko) * 2012-06-29 2014-01-08 도쿄엘렉트론가부시키가이샤 성막 방법, 성막 장치 및 기억 매체
US20150279687A1 (en) * 2014-03-31 2015-10-01 Applied Materials, Inc. Halogen-free gas-phase silicon etch

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190030181A (ko) * 2017-09-13 2019-03-21 도쿄엘렉트론가부시키가이샤 자기 정렬된 다중 패터닝을 위한 선택적 질화물 에칭 방법

Also Published As

Publication number Publication date
JP6958980B6 (ja) 2021-12-22
TWI687995B (zh) 2020-03-11
JP2019522906A (ja) 2019-08-15
US20170345667A1 (en) 2017-11-30
JP6958980B2 (ja) 2021-11-02
WO2017210139A1 (en) 2017-12-07
KR102360404B1 (ko) 2022-02-08
TW201806029A (zh) 2018-02-16

Similar Documents

Publication Publication Date Title
US11410860B2 (en) Process chamber for etching low k and other dielectric films
KR102360404B1 (ko) 수소 플라즈마를 사용한 실리콘 추출 방법
US8664125B2 (en) Highly selective spacer etch process with reduced sidewall spacer slimming
US20080081483A1 (en) Pulsed plasma etching method and apparatus
US20040221797A1 (en) Method of etching high aspect ratio features
US8372756B2 (en) Selective etching of silicon dioxide compositions
US20130084707A1 (en) Dry cleaning method for recovering etch process condition
JP2005537668A (ja) 高誘電率誘電体材料の除去を改良する方法及びシステム
TWI610362B (zh) 表面介面工程方法
Pu Plasma Etch Equipment
US11804380B2 (en) High-throughput dry etching of films containing silicon-oxygen components or silicon-nitrogen components by proton-mediated catalyst formation
US20210233775A1 (en) High-throughput dry etching of silicon oxide and silicon nitride materials by in-situ autocatalyst formation

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant