JP7008918B2 - 選択的窒化シリコンエッチングの方法 - Google Patents

選択的窒化シリコンエッチングの方法 Download PDF

Info

Publication number
JP7008918B2
JP7008918B2 JP2019514201A JP2019514201A JP7008918B2 JP 7008918 B2 JP7008918 B2 JP 7008918B2 JP 2019514201 A JP2019514201 A JP 2019514201A JP 2019514201 A JP2019514201 A JP 2019514201A JP 7008918 B2 JP7008918 B2 JP 7008918B2
Authority
JP
Japan
Prior art keywords
plasma
substrate
process gas
gas
sin
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2019514201A
Other languages
English (en)
Other versions
JP2019517742A (ja
Inventor
ランジャン,アロック
ラストギ,ヴィナヤク
ディー. シェルパ,ソナム
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of JP2019517742A publication Critical patent/JP2019517742A/ja
Application granted granted Critical
Publication of JP7008918B2 publication Critical patent/JP7008918B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)

Description

この出願は、2016年5月29日付で出願された米国仮特許出願第62/342,991号に関連し、それに対する優先権を主張し、そのすべての内容は参照により本明細書に援用される。この出願は、2016年9月7日付で出願された米国仮特許出願第62/384,481号に関連し、それに対する優先権を主張し、そのすべての内容は参照により本明細書に援用される。
本発明は、半導体製造及び半導体デバイスの分野に関連し、より詳細には、半導体製造に使用される、他の材料に対する選択的窒化シリコンエッチングの方法に関連する。
次世代の半導体技術開発は、酸化シリコン(SiO)及び他の材料に対する選択的な窒化シリコン(SiN)のドライエッチング除去が必要とされるため、大きな課題を投げかけている。SiNエッチングのために使用されている現在のフルオロカーボンケミストリは、凹状フィーチャの目詰まりの可能性により、狭いマスク開口部と高いアスペクト比で制御することが極めて困難になっている。プロセスマージンが後続の各テクノロジノードとともに減少する。それゆえ、フルオロカーボンの付着がなく、既存のプロセスのさらなる課題を回避する新しいケミストリが必要とされている。
本発明の実施形態は、他の材料に対して窒化シリコンを選択的にエッチングするために非重合ケミストリ(chemistry)を使用する基板処理方法を説明する。
一実施形態によれば、本方法は、プラズマ処理チャンバ内に、SiNを含む第1の材料と、第1の材料とは異なる第2の材料とを含む基板を提供するステップと、NFとOとを含むプロセスガスをプラズマ励起するステップと、基板をプラズマ励起されたプロセスガスに暴露して、第2の材料に対して第1の材料を選択的にエッチングするステップと、を含む。
別の実施形態によれば、本方法は、プラズマ処理チャンバ内に、SiNを含む第1の材料と、Si、SiO、及びそれらの組合せからなる群から選択される第2の材料とを含む基板とを提供するステップと、NFとOとを含むプラズマ励起されたプロセスガスを形成するステップと、基板をプラズマ励起されたプロセスガスに暴露して、第2の材料に対して第1の材料を選択的にエッチングするステップと、を含み、暴露は、以下の3つのプラズマ処理条件a)プラズマ処理チャンバ内において300mTorr以上のガス圧力、b)約0.1と約0.5の間のO/NFガス流量比、c)第1の材料及び第2の材料のスパッタリング閾値未満の、プラズマ励起されたプロセスガス中のプラズマ種の運動エネルギー、のうちの少なくとも1つを含む。
本発明の実施形態及びそれに付随する多くの利点のより完全な理解は、添付の図面と併せて考慮されるときに、以下の発明を実施するための形態を参照することでより良く理解されるとともに、容易に明らかになるであろう。
本発明の一実施形態による基板を処理する方法を概略的に断面図で示す。 本発明の一実施形態による基板を処理する方法を概略的に断面図で示す。 本発明の別の実施形態による基板を処理する方法を概略的に断面図で示す。 本発明の別の実施形態による基板を処理する方法を概略的に断面図で示す。 本発明のさらに別の実施形態による基板を処理する方法を概略的に断面図で示す。 本発明のさらに別の実施形態による基板を処理する方法を概略的に断面図で示す。 本発明の別の実施形態による基板を処理する方法を概略的に断面図で示す。 本発明の別の実施形態による基板を処理する方法を概略的に断面図で示す。 本発明の別の実施形態による基板を処理する方法を概略的に断面図で示す。 本発明のさらに別の実施形態による基板を処理する方法を概略的に断面図で示す。 本発明のさらに別の実施形態による基板を処理する方法を概略的に断面図で示す。 本発明の一実施形態による原子層堆積(ALD)システムを概略的に示す。 本発明の一実施形態による容量結合プラズマ(CCP)システムを概略的に示す。 本発明の一実施形態によるプラズマ処理チャンバのガス圧力の関数として、SiNエッチング量、SiOエッチング量、及びSiN/SiOエッチング選択性比を示す。 本発明の一実施形態によるO/NFガス流量比の関数として、SiNエッチング量、SiOエッチング量、及びSiN/SiOエッチング選択性比を示す。 本発明の実施形態による、高いラジカルフラックスと基板の無視できるイオン衝撃とを有するプラズマプロセスについてのガス圧力の関数として、SiNエッチング量、SiOエッチング量、及びSiN/SiOエッチング選択性比を示す。
本発明の実施形態は、他の材料に対して窒化シリコンを選択的にエッチングするために非重合ケミストリを使用する基板処理方法を説明する。
図1A及び図1Bは、本発明の一実施形態による基板を処理する方法を概略的に断面図で示す。図1Aは、基板100と、基板100上の隆起フィーチャ102と、隆起フィーチャ102及び基板100の露出面上にコンフォーマルに形成されたSiNスペーサ層104と、を示す。隆起フィーチャ102の露出面は垂直部分105及び水平部分103を含む。
基板100及び隆起フィーチャ102は、Si、SiO、及びそれらの組合せからなる群から選択され得る。いくつかのマイクロ電子デバイスでは、隆起フィーチャ102はフィンと呼ばれる。本明細書で使用されるとき、表記「SiN」は、主成分としてシリコン及び窒素を含む層を含み、この層は、ある範囲のSi及びNの組成物を有することができる。Siは窒化シリコンのなかで最も熱力学的に安定であり、そのため、窒化シリコンのなかで最も商業的に重要である。しかし、本発明の実施形態は、広い範囲のSi及びN組成物を有するSiN層に適用され得る。さらに、表記「SiO」は、主成分としてシリコン及び酸素を含む層を含むことを意味し、この層はある範囲のSi及びOの組成物を含む層を含むことができる。SiOは酸化シリコンのなかで最も熱力学的に安定であり、そのため、酸化シリコンのなかで最も商業的に重要である。表記「Si」は、多結晶Si(poly-Si)又はアモルファスSi(a-Si)を含む。SiNスペーサ層104を付着させる(depositing)ために使用することができる原子層堆積(ALD)システムを図6に概略的に示す。
本発明の一実施形態によれば、異方性スペーサエッチングプロセスが、図1Aに示される構造に対して実行され、図1Bに示す構造を形成する。スペーサエッチングプロセスは、SiNスペーサ層104の垂直部分105を残しながら、SiNスペーサ層104の水平部分103を除去することによって、隆起フィーチャ102の垂直部分105上にSiN側壁スペーサ106を形成する。
本発明の実施形態によれば、スペーサエッチングプロセスは、NF、O、及び任意で、Ar、N、又はArとNの両方を含む非重合プロセスガスをプラズマ励起するステップと、基板100をプラズマ励起されたプロセスガスに暴露して、隆起フィーチャ102及び基板100に対してSiNスペーサ104を選択的にエッチングするステップと、を含む。一例では、非重合プロセスガスは、N、O、NF、及びArを含む、又はそれらからなる。いくつかの実施形態によれば、スペーサエッチングプロセスを最適化するために、Ar、N、又はArとNの両方をNF及びOと共に使用してよい。
本発明者らは、非重合プロセスガスが、Si、SiO、及び他の下地材料に対する、SiNの優れた選択的ドライエッチング除去をもたらすことを発見した。これは、フルオロカーボン化学ケミストリからのポリマー付着フラックスのために狭いフィーチャ開口部及び高いアスペクト比で制御することが極めて困難である、SiNエッチングに使用される、現在使用されているフルオロカーボン化学ケミストリとは対照的である。
非重合プロセスガスは、様々な異なるプラズマ源を用いてプラズマ励起され得る。一実施形態によれば、プラズマ源は、上部プレート電極と、基板を支持する下部プレート電極とを含む容量結合プラズマ(CCP)源を含むことができる。高周波(RF)電力は、RF発生器及びインピーダンスネットワークを使用して、上部プレート電極、下部プレート電極、又はその両方に供給され得る。上部電極へのRF電力の印加のための代表的な周波数は、10MHzから200MHzの範囲であり、60MHzとしてよい。追加的に、下部電極へのRF電力の印加のための代表的な周波数は、0.1MHzから100MHzの範囲であり、13.56MHzとしてよい。スペーサエッチングプロセスを実行するために使用され得るCCPシステムを図7に概略的に示す。別の実施形態によれば、対イオンフラックスに対する高いラジカル比を生み出すことが可能な遠隔プラズマ源を使用してよい。プラズマ処理は、プラズマ暴露における異方性の程度を制御するように調整してよい。異方性の程度は、大部分が異方性であることから大部分が等方性であるように調整してよい。
図2A及び図2Bは、本発明の別の実施形態による基板を処理する方法を概略的に断面図で示す。図1Aが図2Aとして再現され、基板100と、基板100上の隆起フィーチャ102と、隆起フィーチャ102の垂直部分105上のSiN側壁スペーサ106と、を示す。基板100及び隆起フィーチャ102は、Si、SiO、及びそれらの組合せからなる群から選択され得る。一実施形態によれば、SiN側壁スペーサ106は、ドライエッチングプロセスにおいて隆起フィーチャ102の垂直部分105から除去される。結果として生じる構造を図2Bに示す。本発明の実施形態によれば、SiN側壁スペーサ106の除去は、NF、O、及び任意でAr、N、又はArとNの両方を含む非重合プロセスガスをプラズマ励起し、基板100をプラズマ励起されたプロセスガスに暴露することによって実行される。一例では、非重合プロセスガスは、N、O、NF、及びArを含む、又はそれらからなる。いくつかの実施形態によれば、エッチングプロセスを最適化するために、Ar、N、又はArとNの両方をNF及びOと共に使用してよい。
図3A及び図3Bは、本発明のさらに別の実施形態による基板を処理する方法を概略的に断面図で示す。図3Aは、基板300と、基板300上のSiN隆起フィーチャ302と、SiN隆起フィーチャ302の垂直部分305上に形成された側壁スペーサ306を示す。SiN隆起フィーチャ302の水平部分303は、その前のエッチングプロセスによって露出される。基板300及び側壁スペーサ306は、Si、SiO、及びそれらの組合せからなる群から選択され得る。この実施形態では、SiN隆起フィーチャ302は犠牲的フィーチャ(sacrificial features)であり、しばしばマンドレルと呼ばれる。SiN隆起フィーチャ302の除去は、しばしばマンドレルプルと呼ばれる。図3Aに示す構造は、従来の付着、リソグラフィ、及びエッチングプロセスを使用してSiN隆起フィーチャ302を作成することによって形成してよい。その後、側壁スペーサ306が、異方性エッチングプロセスを使用して形成され得る。
一実施形態によれば、SiN隆起フィーチャ302は、ドライエッチングプロセスにおいて基板300から除去される。基板300上に自立型の側壁スペーサ306を有する、結果として得られた構造を図3Bに示す。本発明の実施形態によれば、基板300からのSiN隆起フィーチャ302の除去は、NF、O、及び任意でAr、N、又はArとNの両方を含む非重合プロセスガスをプラズマ励起するステップと、基板100をプラズマ励起されたプロセスガスに暴露するステップと、を含む。一例では、非重合プロセスガスは、N、O、NF、及びArを含む、又はそれらからなる。いくつかの実施形態によれば、エッチングプロセスを最適化するために、Ar、N、又はArとNの両方をNF及びOと共に使用してよい。
図4A~図4Cは、本発明の一実施形態による基板を処理する方法を概略的に断面図で示す。図4Aは、基板400と、基板400上の隆起フィーチャ402と、隆起フィーチャ402及び基板400の露出面上にコンフォーマルに形成されたSiNスペーサ層404と、を示す。隆起フィーチャ402の露出面は、垂直部分405及び水平部分403を含む。基板400及び隆起フィーチャ402は、Si、SiO、及びそれらの組合せからなる群から選択され得る。
本発明の一実施形態によれば、図4Aの構造は、NF、O、及び任意でAr、N、又はArとNの両方を含むプラズマ励起された非重合プロセスガスに暴露されて、SiNスペーサ層404上に改質スペーサ層407を形成する。これを図4Bに示す。その後、改質スペーサ層407は、熱処理チャンバ内で基板加熱を用いて等方的に除去され得る。結果として得られた構造を図4Cに示し、改質スペーサ層407が脱着され、それによって図4AのSiNスペーサ層404が等方的に薄くなっている。一例では、非重合プロセスガスは、N、O、NF、及びArを含む、又はそれらからなる。
図5A及び図5Bは、本発明のさらに別の実施形態による基板を処理する方法を概略的に断面図で示す。図5Aは、基板410と、基板410上のSiN隆起フィーチャ412と、を示す。SiN隆起フィーチャ412は、基板410上で厚さ413及び高さ415を有する。基板410は、Si、SiO、及びそれらの組合せからなる群から選択してよい。一実施形態によれば、SiN隆起フィーチャ302は、等方性ドライエッチングプロセスにおいてトリミングされる。本発明の実施形態によれば、SiN隆起フィーチャ412の選択的トリミングは、NF、O、及び任意でAr、N、又はArとNの両方を含む非重合プロセスガスをプラズマ励起するステップと、基板410をプラズマ励起されたプロセスガスに暴露するステップと、を含む。暴露は、厚さ417及び高さ419を有するトリミングされたSiN隆起フィーチャ414を形成し、厚さ417は厚さ413未満であり、高さ419は高さ415未満である。一例では、非重合プロセスガスは、N、O、NF、及びArを含む、又はそれらからなる。
図1に戻る。SiNスペーサ層104をコンフォーマルに付着する技術は、単層堆積(「MLD」)方法を含み得る。MLD法は、例えば化学吸着による反応性前駆体分子の飽和単層の形成の原理に基づくALD法を含んでよい。AB膜を形成するための代表的なMLDプロセスは、例えば、Aの飽和単層が基板上に形成される期間にわたって、第1の前駆体又は反応物A(「R」)を注入することからなる。次に、Rを不活性ガスGを用いてチャンバからパージする。次に、やはりある期間にわたって、第2の前駆体又は反応物B(「R」)をチャンバ内に注入して、BをAと混合し、基板上に層ABを形成する。その後、Rをチャンバからパージする。前駆体又は反応物を導入するステップ、反応器をパージするステップと、別の又は同じ前駆体又は反応物を導入するステップ、及び反応器をパージするというこのプロセスは、所望の厚さのAB膜を達成するために何度も繰り返してよい。各ALDサイクルで付着させたAB膜の厚さは、約0.5オングストロームから約2.5オングストロームの範囲としてよい。
いくつかの実施形態では、AB膜を形成するステップが、第1のステップ中に基板上に吸着されるABCを含む前駆体を注入するステップを含む場合、第2のステップ中にCを除去する。
本発明の一実施形態によれば、SiNスペーサ層104は、ALDシステムのALD付着プロセスによって付着させてよく、その一例を図6のALDシステム44として示し、これは、基板14をその上に支持するように構成されている基板ホルダ48を有する処理チャンバ46を含む。処理チャンバ46はさらに、(シリコン含有ガスを含み得る)第1の材料供給システム52、(窒素含有ガスを含み得る)第2のプロセス材料供給システム54、及びパージガス供給システム56に結合された上部アセンブリ50(例えば、シャワーヘッド)と、(所望のスペーサ層材料を付着させるための、希釈ガス、又は必要に応じて他のものを含み得る)1つ以上の補助ガス供給システム58と、基板温度制御システム60と、を含む。
代替的又は追加的に、コントローラ62は、1つ以上の追加のコントローラ/コンピュータ(図示せず)に結合されてよく、その追加のコントローラ/コンピュータからセットアップ及び/又は設定情報を取得してよい。コントローラ62は、任意の数の処理要素52、54、56、58、60を設定するために使用してよく、それらからデータを収集、提供、処理、記憶、及び/又は表示してよい。コントローラ62は、処理要素52、54、56、58、60のうちの1つ以上を制御するためのある数のアプリケーションを含んでよく、必要に応じて、ユーザが処理要素52、54、56、58、60のうちの1つ以上を監視及び/又は制御するための使いやすいインタフェースを提供し得るグラフィカルユーザインタフェース(「GUI」、図示せず)を含んでよい。
処理チャンバ46はさらに、ダクト70を介して真空ポンプシステム66及びバルブ68を含む圧力制御システム64に結合されており、圧力制御システム64は、SiNスペーサ層104を形成するのに適し、第1及び第2のプロセス材料の使用に適した圧力に、処理チャンバ46を制御可能に排気するように構成されている。真空ポンプシステム66は、毎秒約5000リットル(以上)までのポンピング速度が可能なターボ分子真空ポンプ(「TMP」)又は低温ポンプを含んでよく、バルブ68は、チャンバ圧力をスロットル調整する(throttling)ゲートバルブを含んでよい。さらに、チャンバプロセスを監視するための装置(図示せず)を処理チャンバ46に結合してよい。圧力制御システム64は、例えば、ALDプロセス中、処理チャンバ圧力を約0.1Torrと約100Torrの間に制御するように構成してよい。
第1及び第2の材料供給システム52、54と、パージガス供給システム56と、1つ以上の補助ガス供給システム58のそれぞれとは、1つ以上の圧力制御装置、1つ以上の流量制御デバイス、1つ以上のフィルタ、1つ以上のバルブ、及び/又は1つ以上の流量センサを含んでよい。流量制御デバイスは、空気圧駆動バルブ、電気機械式(ソレノイド)バルブ、及び/又は高速パルスガス注入バルブを含んでよい。本発明の実施形態によれば、ガスは処理チャンバ46内に順次交互にパルシング(pulsed)されてよく、各ガスパルスの長さは、例えば、約0.1秒と約100秒の間としてよい。代替的には、各ガスパルスの長さは、約1秒と約10秒の間としてよい。ケイ素及び窒素含有ガスの例示的なガスパルス長は、約0.3秒と約3秒の間、例えば、約1秒としてよい。例示的なパージガスパルスは、約1秒と約20秒の間、例えば約3秒としてよい。さらに図6を参照する。コントローラ62は、マイクロプロセッサと、メモリと、及びALDシステム44への入力を通信、起動し、ALDシステム44からの主力を監視するのに十分な制御電圧を生成することが可能なデジタルI/Oポートを含んでよい。さらに、コントローラ62は、処理チャンバ46、基板ホルダ48、上部アセンブリ50、処理要素52、54、56、58、基板温度制御システム60、及び圧力制御システム64に結合されてよく、それらと情報を交換してよい。例えば、付着プロセスを実行するために、プロセスレシピに従って、コントローラ62のメモリに記憶されたプログラムを利用して、ALDシステム44の前述の構成要素への入力を起動してよい。
コントローラ62は、メモリに含まれる1つ以上の命令の1つ以上のシーケンスを実行するプロセッサに応答して、本発明のマイクロプロセッサベースの処理ステップの一部又は全部を実行する汎用コンピュータシステムとして実装してよい。そのような命令は、ハードディスク、リムーバブルメディアドライブ等の他のコンピュータ可読媒体からコントローラメモリに読み込まれてよい。多重処理構成における1つ以上のプロセッサはまた、メインメモリに含まれた命令のシーケンスを実行するためのコントローラマイクロプロセッサとして採用され得る。代替の実施形態では、ソフトウェア命令の代わりに又はそれと組み合わせたハードワイヤード回路を使用してよい。したがって、実施形態は、ハードウェア回路とソフトウェアとのいかなる特定の組合せにも限定されない。
コントローラ62は、本発明の教示に従ってプログラムされた命令を保持し、データ構造、テーブル、レコード、又は本発明の実装に必要とされ得る他のデータを記憶するために、コントローラメモリなどの少なくとも1つのコンピュータ可読媒体又はメモリを含む。コンピュータ可読媒体の例は、ハードディスク、フロッピー(登録商標)ディスク、テープ、光磁気ディスク、PROM(EPROM、EEPROM、フラッシュEPROM)、DRAM、SRAM、SDRAM、若しくは任意の他の磁気媒体、コンパクトディスク(例えば、CD-ROM)、若しくは任意の他の光学媒体、パンチカード、紙テープ、若しくは穴のパターンを有する他の物理的媒体、搬送波(後述)、又はコンピュータが読み取ることができる他の任意の媒体である。
コンピュータ可読媒体の任意の1つ又は組合せに記憶され、本発明を実施するための1つ又は複数のデバイスを駆動するため、及び/又はコントローラ62が人間のユーザと相互作用することを可能にするために、コントローラ62を制御するためのソフトウェアが存在する。そのようなソフトウェアは、デバイスドライバ、オペレーティングシステム、開発ツール、及びアプリケーションソフトウェアを含んでよいが、それらに限定されない。そのようなコンピュータ可読媒体はさらに、本発明を実施する際に実行される処理の全部又は一部(処理が分散されている場合)を実行するための本発明のコンピュータプログラム製品を含む。
コンピュータコードデバイスは、スクリプト、解釈可能プログラム、ダイナミックリンクライブラリ(「DLL」)、Java(登録商標)クラス、及び完全な実行可能プログラムを含むがこれらに限定されず、任意の解釈可能、又は実行可能コードメカニズムとしてよい。さらに、本発明の処理の一部は、より良い性能、信頼性、及び/又はコストのために分散させてよい。
本明細書で使用される「コンピュータ可読媒体」という用語は、実行のためにコントローラ62のプロセッサに命令を提供することに関与する任意の媒体を指す。したがって、コンピュータ可読媒体は、不揮発性媒体、揮発性媒体、及び伝送媒体を含むがこれらに限定されず、多くの形態をとってよい。不揮発性媒体は、例えば、ハードディスク、リムーバブルメディアドライブ等の光ディスク、磁気ディスク、光磁気ディスクを含む。揮発性媒体は、メインメモリのようなダイナミックメモリを含む。さらに、実行のためにコントローラ62のプロセッサに1つ以上の命令の1つ以上のシーケンスを実行することには、様々な形態のコンピュータ可読媒体が関与してよい。例えば、命令は、最初にリモートコンピュータの磁気ディスク上で搬送されてよい。リモートコンピュータは、本発明の全部又は一部を実施するための命令をリモートでダイナミックメモリにロードし、その命令をネットワークを介してコントローラ62に送信することができる。
コントローラ62は、ALDシステム44に対して局所的に配置されてもよく、又はALDシステム44に対して遠隔的に配置されてもよい。例えば、コントローラ62は、直接接続、イントラネット、インターネット、ワイヤレス接続のうちの少なくとも1つを用いてALDシステムとデータを交換してよい。コントローラ62は、例えばカスタマサイト(すなわち、デバイスメーカーなど)でイントラネットに結合されてもよく、又は例えば、ベンダサイト(すなわち、設備製造業者)でイントラネットに結合されてもよい。追加的に、例えば、コントローラ62はインターネットに結合されてよい。さらに、別のコンピュータ(すなわち、コントローラ、サーバなど)が、例えば、コントローラ62にアクセスして、直接接続、イントラネット、及びインターネットのうちの少なくとも1つを介してデータを交換してよい。また、当業者には理解されるように、コントローラ62は無線接続を介してALDシステム44とデータを交換してよい。
SiNスペーサ層104の付着は、SiNスペーサ層104材料の異なる成分(ここでは、例えば、ケイ素及び窒素)を付着させるために、順次交互のパルスシーケンスによって進行してよい。ALDプロセスは代表的にはガスパルス当たり単層未満で付着させるため、膜の異なる成分の別々の堆付着シーケンスを用いて均質材料を形成することが可能である。各ガスパルスは、処理チャンバ46から未反応ガス又は副生成物を除去するためのそれぞれのパージ又は排気ステップを含んでよい。本発明の他の実施形態によれば、パージ又は排気ステップのうちの1つ以上は省略してよい。
したがって、一例示的実施形態として、処理された隆起フィーチャ102を有する基板14は、ALDシステム44の処理チャンバ46内に配置され、シリコンを含むガスパルス及び窒素含有のガスパルスに順次暴露される。後者のものは、NH、プラズマ励起窒素(PEALDシステムで使用するためなど)、又はそれらの組合せ、及び任意でアルゴン(Ar)などの不活性ガスを含んでよい。
ケイ素は、隆起フィーチャ102の表面上で反応して、単層厚より薄い化学吸着層を形成してよい。窒素含有ガスのガスパルスからの窒素は、次いで、化学吸着された表面層と反応し得る。この順次のガス暴露を繰り返す、すなわち2つの暴露を複数回交互に行うことにより、所望の厚さが達成されるまで1サイクルにつき約1オングストローム(10-10メートル)の層ごとの成長を達成することが可能である。
図7に示される例示的なプラズマ処理システム500は、処理チャンバ510と、処理される基板525が固定される基板ホルダ520(下部電極)と、ガス注入システム540と、真空ポンプシステム550と、を含む。処理チャンバ510は、基板525の表面に隣接した処理領域545でのプラズマの発生を容易にするように構成されており、プラズマは、加熱電子とイオン化ガスとの衝突によって形成される。イオン化ガス又はガス混合物がガス注入システム540を介して導入され、プロセス圧力が調整される。例えば、ゲートバルブ(図示せず)を使用して真空ポンプシステム550をスロットル調整する。望ましくは、プラズマを利用して所定の材料プロセスに特有の材料を生成し、基板525への材料の付着又は基板525の露出面からの材料の除去のいずれかを促進する。
基板525は、ロボット式基板搬送システムによりスロットバルブ(図示せず)及びチャンバフィードスルー(図示せず)を介してチャンバ510に搬入出され、そこで基板ホルダ520内に収容された基板リフトピン(図示せず)によって受け取られ、そこに収容されたデバイスによって機械的に移される。基板525が基板搬送システムから受け取られると、基板ホルダ520の上面まで下げられる。
代替の実施形態では、基板525は静電クランプ(図示せず)を介して基板ホルダ520に固定されている。さらに、基板ホルダ520は、基板ホルダ520から熱を受け取り、熱交換器システム(図示せず)に熱を伝達する、又は加熱時に熱交換器システムからの熱を伝達する再循環冷却剤フローを含む冷却システムをさらに含む。さらに、基板525と基板ホルダ520との間のガスギャップ熱コンダクタンスを改善するためにガスが基板の裏側に供給され得る。このようなシステムは、高温又は低温での基板の温度制御が必要なときに利用される。例えば、基板の温度制御は、プラズマから基板525に供給された熱流フラックスと、基板525から基板ホルダ520への伝導によって除去された熱流フラックスとのバランスにより達成される定常状態温度を超える温度で有用であり得る。他の実施形態では、抵抗加熱素子、熱電加熱器/冷却器等の加熱素子が含まれる。
第1の実施形態では、基板ホルダ520はさらに、高周波(RF)電力が処理領域545内のプラズマに結合される電極として機能する。例えば、基板ホルダ520は、RF発生器530からインピーダンス整合ネットワーク532を通じて基板ホルダ520へのRF電力の送信を介してRF電圧で電気的にバイアスされる。RFバイアスは、電子を加熱し、それによってプラズマを形成し維持するように機能する。この構成では、システムは反応性イオンエッチング(RIE)リアクタとして動作し、チャンバ及び上部ガス注入電極は接地面として機能する。RFバイアスの代表的な周波数は、0.1MHzから100MHzの範囲であり、13.56MHzとしてよい。代替の実施形態では、RF電力が複数の周波数で基板ホルダ電極に印加される。さらに、インピーダンス整合ネットワーク532は、反射電力を最小にすることによって処理チャンバ10内のプラズマへのRF電力の伝達を最大にするように機能する。整合ネットワークトポロジ(例えば、L型、π型、T型等)及び自動制御方法が、当技術分野において知られている。
引き続き図7を参照する。プロセスガス542(例えば、NF、O、及び任意でAr、N、又はArとNの両方を含む)が、ガス注入システム540を介して処理領域545に導入される。プロセスガス542はシャワーヘッドを含むことができ、プロセスガス542は、ガス供給システム(図示せず)から、ガス注入プレナム(図示せず)、一連のバッフルプレート(図示せず)及びマルチオリフィスシャワーヘッドガス注入プレート(図示せず)を介して処理領域545に供給される。
真空ポンプシステム550は、好ましくは、毎秒5000リットル(以上)までのポンピング速度が可能なターボ分子真空ポンプ(TMP)と、チャンバ圧力をスロットル調整するためのゲートバルブとを含む。ドライプラズマエッチングに利用される従来のプラズマ処理デバイスでは、毎秒1000から3000リットルのTMPが使用されている。TMPは、典型的には50mTorr未満の低圧処理に有用である。より高い圧力では、TMPポンピング速度が劇的に低下する。高圧処理(すなわち100mTorr超)については、メカニカルブースターポンプ及びドライ粗引ポンプが使用される。
コンピュータ555は、マイクロプロセッサ、メモリ、及びプラズマ処理システム500への入力を通信し、起動すると共に、プラズマ処理システム500からの出力をモニタするのに十分な制御電圧を生成することが可能であるデジタルI/Oポートを含む。さらに、コンピュータ555は、RF発生器530、インピーダンス整合ネットワーク532、ガス注入システム540及び真空ポンプシステム550に結合され、それらと情報を交換する。メモリに記憶されているプログラムは、記憶されているプロセスレシピに従って、プラズマ処理システム500の前述の構成要素への入力を起動するために利用される。
プラズマ処理システム500は、RF発生器572からインピーダンス整合ネットワーク574を通じてRF電力が結合され得る上部プレート電極570をさらに含む。上部電極へのRF電力の印加のための代表的な周波数は、10MHz~200MHzの範囲であり、好ましくは60MHzである。追加的には、下部電極への電力の印加のための代表的な周波数は、0.1MHz~30MHzの範囲である。さらに、コンピュータ555は、上部プレート電極570へのRF電力の印加を制御するために、RF発生器572及びインピーダンス整合ネットワーク574に結合されている。いくつかの実施形態によれば、上部プレート電極570は接地、又は電力が供給されていない状態で、下部電力にRF電力を与えることによって、処理チャンバ510内にプラズマを発生させてよい。
、NF及び任意でN、Ar、又はNとArの両方を含む非重合プロセスガスを用いたSi層のドライエッチングは、プラズマ中のFラジカルの発生と、Si層へのFラジカルの拡散と、Si層でのFラジカルの吸着と、SiとFの表面反応によるSiF及びNFエッチング生成物の形成と、Si層からのSiF及びNFエッチング生成物の脱着及び除去とを含む、以下のエッチングメカニズムを含むと考えられる。
NF解離:
+NF→NF+F
NF+NF→N+2F
NF+NF→N+F+F
添加によるフッ素原子の生成量の増加
O+NF→NF+OF
O+NF→N+F+F
2OF→2F+O
O+OF→O+F
Siエッチング
Si+24F→3SiF+4NF
図8は、本発明の実施形態による、プラズマ処理チャンバのガス圧力の関数として、SiNエッチング量800、SiOエッチング量802、及びSiN/SiOエッチング選択性比804を示す。プロセスガスは、CCPプラズマ源を使用してプラズマ励起されたNF、O、及びArからなっていた。処理条件は、上部プレート電極に0Wの印加、基板を支持する下部プレート電極に13.56MHzで50WのRF電力の印加、160sccmのOガス流量、480sccmのNFガス流量、1000sccmのArガス流量、及び60秒のプラズマ暴露時間を含んでいた。下部プレート電極(基板ホルダ)を15℃に冷却した。プラズマ処理チャンバ内のガス圧力は、100mTorr、300mTorr、及び500mTorrであった。実験結果は、SiN/SiOエッチング選択性比804が300mTorr超のガス圧力で大幅に増加し、300mTorrでは約4であるが、そこから500mTorrでは70超にまで増加したことを示している。300mTorr超のガス圧力でこの予想外に高いSiN/SiOエッチング選択性比804は、半導体製造について実質的に無限のSiN/SiOエッチング選択性比に対応する。
ガス圧力が増加するにつれてこの予想外に高いSiN/SiOエッチング選択性比は、少なくとも部分的には、プラズマ中のイオンエネルギーの減少、ラジカルフラックスの増加及び暴露されるイオンフラックスの減少を伴うことによると考えられる。さらに、下部プレート電極に印加される低RF電力(50W以下)は、SiN及びSiOのスパッタリング閾値より低い運動エネルギーを有するプラズマ種を生成する。したがって、高いSiN/SiOエッチング選択性比は、ほぼ完全にSiOに対するSiNの熱力学的に好ましいラジカルエッチングによるものであると考えられる。
図9は、本発明の実施形態による、O/NFガス流量比の関数として、SiNエッチング量900、SiOエッチング量902、及びSiN/SiOエッチング選択性比904を示す。プロセスガスは、CCPプラズマ源を使用してプラズマ励起されたNF、O、及びArからなっていた。処理条件は、上部プレート電極に0Wの印加、基板を支持する下部プレート電極に13.56MHzで50WのRF電力を印加、Oガス流量及びNFガス流量は変化させること、1000sccmのArガス流量、及び60秒のプラズマ暴露時間を含んでいた。下部プレート電極(基板ホルダ)を15℃に冷却した。プラズマ処理チャンバ内のガス圧力は500mTorrであった。実験結果は、約0.1と約0.5の間のO/NFガス流量比で非常に高いSiN/SiOエッチング選択性比904を示している。最大約80のSiN/SiO最大エッチング選択性比904が、約1/3のO/NFガス流量比で観察された。
一実施形態によれば、CCPプラズマ処理チャンバ内のガス圧力は、300mTorr超とすることができる。一実施形態によれば、CCPプラズマ処理チャンバ内のガス圧力は、約500mTorr以上である。いくつかの実施形態によれば、O/NFガス流量比は、約0.1と約0.5の間、約0.15と約0.45の間、又は約0.2と約0.4の間である。一実施形態によれば、O/NFガス流量比は約1/3である。一実施形態によれば、約0.1の約0.5のO/NFガス流量比が選択されてよく、SiOに対するSiNについての最大エッチング選択性比をもたらす。別の実施形態によれば、約0.2と約0.4の間のO/NFガス流量比が選択されてよく、SiOに対してSiNについてのtに対する最大エッチング選択性比をもたらす。
図10は、本発明の実施形態による、高いラジカルフラックスと基板の無視できるイオン衝撃とを有するプラズマプロセスについてのガス圧力の関数として、SiNエッチング量1000、SiOエッチング量1002、及びSiN/SiOエッチング選択性比1004を示す。プロセスガスは、リモートプラズマ源を使用してプラズマ励起されたNFガス及びOガスからなっていた。約90の最大SiN/SiOエッチング選択性比1004が観察された。
他の層に対してSiNを選択的にエッチングするために非重合ケミストリを使用する基板処理方法が様々な実施形態において開示されている。本発明の実施形態の前述の説明は、例示及び説明を目的として提示されている。網羅的であること、又は本発明を開示された正確な形態に限定することを意図するものではない。この説明及び添付の特許請求の範囲は、説明目的のためだけに使用され、限定として解釈されるべきではない用語を含む。当業者は、上記の教示に照らして多くの修正形態及び変形形態が可能であることを理解することができる。当業者であれば、図に示されている様々な構成要素に対する様々な同等の組合せ及び置換えを理解するものである。したがって、本発明の範囲は、この詳細な説明によってではなく、むしろ添付の特許請求の範囲によって限定されることを意図している。

Claims (16)

  1. 基板処理方法であって、
    プラズマ処理チャンバ内に、SiNを含む第1の材料と、該第1の材料とは異なる第2の材料とを含む基板を提供するステップと、
    NFとOとを含むプロセスガスをプラズマ励起するステップと、
    前記基板をプラズマ励起された前記プロセスガスに暴露して、前記第2の材料に対して前記第1の材料を選択的にエッチングするステップと、を含み、
    /NFガス流量比が0.1と0.5の間である、方法。
  2. 前記第2の材料が、Si、SiO、及びそれらの組合せからなる群から選択される、請求項1に記載の方法。
  3. 前記暴露中、前記プラズマ処理チャンバ内のガス圧力が300mTorrより大きい、請求項1に記載の方法。
  4. /NFガス流量比が1/3である、請求項1に記載の方法。
  5. .1と.5の間にあるO/NFガス流量比を選択して、前記第2の材料に対して前記第1の材料に対する最大エッチング選択性比をもたらすステップをさらに含む、請求項1に記載の方法。
  6. プラズマ励起された前記プロセスガス中のプラズマ種の運動エネルギーが、前記第1の材料及び前記第2の材料のスパッタリング閾値未満である、請求項1に記載の方法。
  7. プラズマ励起された前記プロセスガスを形成することは、接地されているか又は電力が供給されていない上部プレート電極と、前記基板を支持するRF電力供給された下部プレート電極と、を含む容量結合プラズマ源を使用してプラズマを生成することを含み、該下部プレート電極に印加されるRF電力は、前記第1の材料及び前記第2の材料のスパッタリング閾値未満の運動エネルギーを有する、プラズマ励起された前記プロセスガス中にプラズマ種を生成する、請求項1に記載の方法。
  8. 前記下部プレート電極に印加される前記RF電力は、0W以下である、請求項7に記載の方法。
  9. 前記プロセスガスが、Ar、N、又はArとNをさらに含む、請求項1に記載の方法。
  10. 前記プロセスガスが、NF、O、N及びArからなる、請求項1に記載の方法。
  11. 前記第2の材料が前記基板上に隆起フィーチャを含み、前記第1の材料が該隆起フィーチャの水平部分及び垂直部分にコンフォーマル膜を形成し、前記暴露が該隆起フィーチャの垂直部分上に前記第1の材料の側壁スペーサを形成するスペーサエッチングプロセスを含む、請求項1に記載の方法。
  12. 前記第2の材料が前記基板上に隆起フィーチャを含み、前記第1の材料が前記隆起フィーチャの垂直部分上に側壁スペーサを形成し、前記暴露が前記隆起フィーチャから前記第1の材料の側壁スペーサを除去する、請求項1に記載の方法。
  13. 前記第1の材料が前記基板上に隆起フィーチャを含み、前記第2の材料が前記隆起フィーチャの垂直部分上に側壁スペーサを形成し、前記暴露が該側壁スペーサではなく前記第1の材料の隆起フィーチャを除去する、請求項1に記載の方法。
  14. プラズマ励起された前記プロセスガスを形成することは、高ラジカル対イオンフラックス比を生成する遠隔プラズマ源を使用してプラズマを生成するステップを含む、請求項1に記載の方法。
  15. 基板処理方法であって、
    プラズマ処理チャンバ内に、SiNを含む第1の材料と、Si、SiO及びそれらの組合せからなる群から選択される第2の材料とを含む基板を提供するステップと、
    NFとOとを含むプラズマ励起されたプロセスガスを形成するステップと、
    前記基板をプラズマ励起された前記プロセスガスに暴露して、前記第2の材料に対して前記第1の材料を選択的にエッチングするステップと、を含み、
    前記暴露は、
    プラズマ励起された前記プロセスガス中のプラズマ種の運動エネルギーが、前記第1の材料及び第2の材料のスパッタリング閾値未満である
    プラズマ処理条件を満たす、方法。
  16. 前記プロセスガスが、Ar、N、又はArとNをさらに含む、請求項15に記載の方法。
JP2019514201A 2016-05-29 2017-05-26 選択的窒化シリコンエッチングの方法 Active JP7008918B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201662342991P 2016-05-29 2016-05-29
US62/342,991 2016-05-29
US201662384481P 2016-09-07 2016-09-07
US62/384,481 2016-09-07
PCT/US2017/034860 WO2017210140A1 (en) 2016-05-29 2017-05-26 Method of selective silicon nitride etching

Publications (2)

Publication Number Publication Date
JP2019517742A JP2019517742A (ja) 2019-06-24
JP7008918B2 true JP7008918B2 (ja) 2022-01-25

Family

ID=60418870

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2019514201A Active JP7008918B2 (ja) 2016-05-29 2017-05-26 選択的窒化シリコンエッチングの方法

Country Status (5)

Country Link
US (1) US10381235B2 (ja)
JP (1) JP7008918B2 (ja)
KR (1) KR102523717B1 (ja)
TW (1) TWI648785B (ja)
WO (1) WO2017210140A1 (ja)

Families Citing this family (254)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10312102B2 (en) 2016-08-29 2019-06-04 Tokyo Electron Limited Method of quasi-atomic layer etching of silicon nitride
WO2018044727A1 (en) * 2016-08-29 2018-03-08 Tokyo Electron Limited Method of anisotropic extraction of silicon nitride mandrel for fabrication of self-aligned block structures
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
TWI760421B (zh) * 2017-01-18 2022-04-11 日商東京威力科創股份有限公司 使用六氟化硫之優先氮化矽蝕刻方法
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
TWI761461B (zh) 2017-02-23 2022-04-21 日商東京威力科創股份有限公司 用於製造自對準塊體結構之矽氮化物心軸的異向性抽出方法
US10431470B2 (en) 2017-02-23 2019-10-01 Tokyo Electron Limited Method of quasi-atomic layer etching of silicon nitride
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607852B2 (en) * 2017-09-13 2020-03-31 Tokyo Electron Limited Selective nitride etching method for self-aligned multiple patterning
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10720334B2 (en) 2018-07-20 2020-07-21 Asm Ip Holding B.V. Selective cyclic dry etching process of dielectric materials using plasma modification
US10720337B2 (en) 2018-07-20 2020-07-21 Asm Ip Holding B.V. Pre-cleaning for etching of dielectric materials
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
CN111092013B (zh) * 2018-10-23 2022-07-19 中芯国际集成电路制造(上海)有限公司 半导体器件的形成方法
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10629451B1 (en) * 2019-02-01 2020-04-21 American Air Liquide, Inc. Method to improve profile control during selective etching of silicon nitride spacers
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003068707A (ja) 2001-08-28 2003-03-07 Seiko Epson Corp 半導体装置の製造方法
JP2009503270A (ja) 2005-08-02 2009-01-29 マサチューセッツ インスティテュート オブ テクノロジー 表面沈着物を除去するためのnf3の使用方法
JP2013110414A (ja) 2011-11-21 2013-06-06 Psk Inc 半導体製造装置及び半導体製造方法
JP2013153075A (ja) 2012-01-25 2013-08-08 Tokyo Electron Ltd 被処理基体の処理方法

Family Cites Families (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6340322A (ja) * 1986-08-05 1988-02-20 Fujitsu Ltd 半導体装置の製造方法
US4793897A (en) * 1987-03-20 1988-12-27 Applied Materials, Inc. Selective thin film etch process
JPH05109760A (ja) * 1991-10-18 1993-04-30 Seiko Epson Corp 半導体装置
US5238532A (en) * 1992-02-27 1993-08-24 Hughes Aircraft Company Method and apparatus for removal of subsurface damage in semiconductor materials by plasma etching
JPH06168920A (ja) * 1992-11-30 1994-06-14 Sumitomo Metal Ind Ltd 薄膜の除去方法
US6235213B1 (en) * 1998-05-18 2001-05-22 Micron Technology, Inc. Etching methods, methods of removing portions of material, and methods of forming silicon nitride spacers
JP4209253B2 (ja) * 2003-05-22 2009-01-14 忠弘 大見 フッ素添加カーボン膜の形成方法
KR20080029151A (ko) * 2006-09-28 2008-04-03 삼성전자주식회사 반도체 장치의 절연막 형성 방법
TW200904220A (en) * 2007-07-03 2009-01-16 Hannspree Inc Combination of hanging-type speaker device and display
US8252696B2 (en) 2007-10-22 2012-08-28 Applied Materials, Inc. Selective etching of silicon nitride
US7709396B2 (en) * 2008-09-19 2010-05-04 Applied Materials, Inc. Integral patterning of large features along with array using spacer mask patterning process flow
US8961794B2 (en) * 2010-07-29 2015-02-24 Phillips 66 Company Metal impurity and high molecular weight components removal of biomass derived biocrude
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US20130045605A1 (en) 2011-08-18 2013-02-21 Applied Materials, Inc. Dry-etch for silicon-and-nitrogen-containing films
US8679982B2 (en) * 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
TWI476832B (zh) * 2011-09-28 2015-03-11 Tokyo Electron Ltd 蝕刻方法及裝置
KR101987688B1 (ko) 2011-12-13 2019-06-12 엘지디스플레이 주식회사 어레이 기판의 제조방법
EP2818587B1 (en) * 2012-02-24 2017-05-03 Toray Industries, Inc. Polyphenylene sulfide fiber, filter cloth comprising polyphenylene sulfide fiber, and method for producing polyphenylene sulfide fiber
US8969212B2 (en) * 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
FR3000601B1 (fr) * 2012-12-28 2016-12-09 Commissariat Energie Atomique Procede de formation des espaceurs d'une grille d'un transistor
US9362130B2 (en) * 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
JP2015228433A (ja) * 2014-06-02 2015-12-17 東京エレクトロン株式会社 エッチング方法
US9406522B2 (en) 2014-07-24 2016-08-02 Applied Materials, Inc. Single platform, multiple cycle spacer deposition and etch
JP2016119344A (ja) * 2014-12-19 2016-06-30 株式会社日立ハイテクノロジーズ プラズマ処理方法
WO2018044727A1 (en) * 2016-08-29 2018-03-08 Tokyo Electron Limited Method of anisotropic extraction of silicon nitride mandrel for fabrication of self-aligned block structures
US10312102B2 (en) * 2016-08-29 2019-06-04 Tokyo Electron Limited Method of quasi-atomic layer etching of silicon nitride
US10062575B2 (en) * 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10026621B2 (en) * 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
TWI760421B (zh) * 2017-01-18 2022-04-11 日商東京威力科創股份有限公司 使用六氟化硫之優先氮化矽蝕刻方法

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003068707A (ja) 2001-08-28 2003-03-07 Seiko Epson Corp 半導体装置の製造方法
JP2009503270A (ja) 2005-08-02 2009-01-29 マサチューセッツ インスティテュート オブ テクノロジー 表面沈着物を除去するためのnf3の使用方法
JP2013110414A (ja) 2011-11-21 2013-06-06 Psk Inc 半導体製造装置及び半導体製造方法
JP2013153075A (ja) 2012-01-25 2013-08-08 Tokyo Electron Ltd 被処理基体の処理方法

Also Published As

Publication number Publication date
JP2019517742A (ja) 2019-06-24
KR20190004363A (ko) 2019-01-11
WO2017210140A1 (en) 2017-12-07
US10381235B2 (en) 2019-08-13
TW201802936A (zh) 2018-01-16
TWI648785B (zh) 2019-01-21
KR102523717B1 (ko) 2023-04-19
US20170345674A1 (en) 2017-11-30

Similar Documents

Publication Publication Date Title
JP7008918B2 (ja) 選択的窒化シリコンエッチングの方法
US10446407B2 (en) Method of preferential silicon nitride etching using sulfur hexafluoride
KR102347402B1 (ko) 측벽 이미지 전사 방법
US20170345673A1 (en) Method of selective silicon oxide etching
JP6352771B2 (ja) 低減されたトリミングレートで炭素含有膜をトリミングする方法
JP5950831B2 (ja) イオン発生と処理ガスの解離の独立制御を有するプラズマエッチングのためのシステム、方法、および装置
JP2014528181A (ja) 組み合わされたシリコン酸化膜エッチング及び汚染物除去プロセス
TWI629710B (zh) 用於多重圖案化架構之選擇性間隔件蝕刻用方法及系統
JP6963097B2 (ja) プラズマ処理方法
EP3955276A1 (en) Plasma-assisted etching of metal oxides
Sridhar et al. Role of physisorption in atomic layer etching of silicon nitride
JP6976352B2 (ja) プラズマエッチング方法及びプラズマエッチング装置
JP7257883B2 (ja) プラズマ処理方法およびプラズマ処理装置
US20200006081A1 (en) Method of Isotropic Etching of Silicon Oxide Utilizing Fluorocarbon Chemistry
US20230085078A1 (en) Etching processing method and etching processing apparatus
JP2006156992A (ja) プラズマ処理方法
TW202147386A (zh) 藉由原位自觸媒形成的矽氧化物及矽氮化物材料之高產能乾式蝕刻
TW202302900A (zh) 用於形成包括氮化矽之圖案化結構的方法及系統及利用方法形成的裝置結構
TW202304600A (zh) 形成結構之方法、半導體結構、及形成結構之系統
KR20220117835A (ko) 메모리 셀의 측벽 상에 막을 형성하는 반응기
JP2021145000A (ja) 基板処理方法及び基板処理装置

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20200525

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20201222

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20210319

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210521

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20210622

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210921

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20211116

A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A711

Effective date: 20211215

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20211215

R150 Certificate of patent or registration of utility model

Ref document number: 7008918

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150