JP5950831B2 - イオン発生と処理ガスの解離の独立制御を有するプラズマエッチングのためのシステム、方法、および装置 - Google Patents

イオン発生と処理ガスの解離の独立制御を有するプラズマエッチングのためのシステム、方法、および装置 Download PDF

Info

Publication number
JP5950831B2
JP5950831B2 JP2012555013A JP2012555013A JP5950831B2 JP 5950831 B2 JP5950831 B2 JP 5950831B2 JP 2012555013 A JP2012555013 A JP 2012555013A JP 2012555013 A JP2012555013 A JP 2012555013A JP 5950831 B2 JP5950831 B2 JP 5950831B2
Authority
JP
Japan
Prior art keywords
hollow cathode
plasma
conductive layer
upper electrode
cathode cavities
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2012555013A
Other languages
English (en)
Other versions
JP2013520836A (ja
Inventor
ハドソン・エリック・エー.
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2013520836A publication Critical patent/JP2013520836A/ja
Application granted granted Critical
Publication of JP5950831B2 publication Critical patent/JP5950831B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32596Hollow cathodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/18Vacuum control means
    • H01J2237/182Obtaining or maintaining desired pressure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32541Shape

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Analytical Chemistry (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)

Description

本発明は一般にプラズマエッチングのシステムおよび方法に関し、より具体的には、イオン発生と処理ガスの解離の独立制御を有するプラズマエッチングのためのシステムおよび方法に関するものである。
典型的なプラズマエッチングのプロセスを簡単に説明すると、処理ガスが中に入ったプラズマ室である。このプロセスは、処理ガスに電気的に結合されるRF信号またはマイクロ波信号により励起される。処理ガスの励起によって、処理ガス内でイオンとラジカルの生成が引き起こされる。そのとき、イオンとラジカルは、エッチング対象の表面に向けられる。イオンとラジカルは、ガス流、およびプラズマ室内のいくつかの面の電気的バイアスを用いて、エッチング対象の表面に向けることが可能である。イオンおよびラジカルは、エッチング対象の表面において材料と反応する。
エッチングプラズマの密度を高める(増加させる)ことは、エッチング表面をエッチングする速度(例えば、通常、オングストローム毎分で表されるエッチング速度)を高める1つの方法である。エッチングプラズマの密度を高めると、イオンの濃度が高くなり、これにより、処理ガス、イオンおよびエッチング表面の間における反応性が向上する。しかしながら、プラズマ密度を高めることで、最適な解離度を超えて、処理ガス混合物の構成元素または分子への過剰解離を引き起こす可能性もある。
例えば、増加したプラズマ密度によって、一般に、フッ化炭素処理ガス混合物からのフッ素原子ラジカルの解離が生じる。解離したフッ素は、エッチングマスクの、フィーチャ側壁の、またはエッチング対象の層の下にあるエッチング停止層の、望ましくないエッチングを加速させることがある。さらには、フッ化炭素原料ガスの過剰解離は、過剰なフッ素と同様の作用を持つ、プラズマ中のフッ化炭素ラジカル種の非最適な組成につながる可能性がある。
処理ガスが過度に解離する場合に生じ得る他の問題として、均一性および制御がやはり望ましい程度より低い、または望ましい程度に達しないということがある。上記のことから、イオン発生と処理ガスの解離の独立制御を有するプラズマエッチングのためのシステムおよび方法への要求がある。
大まかに言えば、本発明は、イオン発生と処理ガスの解離の独立制御を有するプラズマエッチングのためのシステムおよび方法を提供することにより、これらの要求を満たす。当然のことながら、本発明は、数多くの方法で実施することが可能であり、それには、プロセス、装置、システム、コンピュータ読み取り可能な媒体、またはデバイスが含まれる。本発明のいくつかの発明的実施形態について以下で説明する。
一実施形態は、半導体ウェハをエッチングする方法を提供し、この方法は、処理室内に原料ガス混合物を注入することであって、処理室の上部電極における複数の中空陰極キャビティ内に原料ガス混合物を注入すること、中空陰極キャビティの各々においてプラズマを発生させることを含む。中空陰極キャビティにおいてプラズマを発生させることは、中空陰極キャビティに第1のバイアス信号を印加することを含む。発生されたプラズマは、中空陰極キャビティの各々の対応する出口から処理室内のウェハ処理領域に出力される。ウェハ処理領域は、中空陰極キャビティの出口と、エッチング対象の表面との間に配置されている。エッチャントガス混合物が、ウェハ処理領域に注入される。チャントガス混合物が、中空陰極キャビティの出口から出力されるプラズマと混ざり合うように、エッチャントガス混合物は上部電極の中の複数の注入ポートを介して注入される。中空陰極キャビティの出口内へのエッチャントガス混合物の流入は、中空陰極キャビティの出口から流出するプラズマと原料ガスによって実質的に阻止される。エッチャントガス混合物とプラズマを混合することによって、ウェハ処理領域内で所望の化学種のセットが生成され、これが、エッチング対象の表面における最適なエッチング結果につながる。
中空陰極キャビティの各々においてプラズマを発生させることは、上部電極を冷却することを含み得る。中空陰極キャビティにバイアスをかけることは、上部電極の第2の導電層に第1のバイアス信号を印加することを含むことができ、中空陰極キャビティは第2の導電層に形成される。第1のバイアス信号は、RFバイアス信号を含み得る。第1のバイアス信号は、約1MHzから約15MHzの範囲内のRF信号を含み得る。
複数の注入ポートは、上部電極のウェハ処理領域表面にわたって実質的に分散され得る。中空陰極キャビティは、上部電極のウェハ処理領域表面にわたって実質的に分散され得る。複数の中空陰極キャビティおよび複数の注入ポートは、上部電極のウェハ処理領域表面にわたって、ほぼ均等に散在され得る。
発生したプラズマを中空陰極キャビティの各々の対応する出口から出力することは、下部電極に第2のバイアス信号を印加することを含み得る。中空陰極キャビティの各々においてプラズマを発生させることは、中空陰極キャビティの出口に第3のバイアス信号を印加することを含み得る。第3のバイアス信号は接地電位とすることができる。
プラズマ発生と、中空陰極キャビティから流出するプラズマによって提供されるウェハ・イオン衝撃を増加させるため、エッチング対象の表面をエッチングすることは、ウェハ支持電極に、またはウェハ処理領域に接続された別の電極に、第4のバイアス信号を印加することを含み得る。
エッチング対象の表面をエッチングすることは、ウェハ処理領域からエッチング副生成物を除去することを含み得る。さらに、上部電極の第1の導電層に接地電位を印加することもできる。中空陰極キャビティは、複数の中空陰極トレンチを含むことができる。上部電極の中の複数の注入ポートは、複数の注入トレンチを含むことができる。原料ガス混合物は不活性ガスとすることができる。エッチャントガス混合物は、フッ化炭素含有ガスを含むことができる。中空陰極キャビティの各々の出口は、プラズマシース厚の2倍よりも大きい幅を有することができる。
別の実施形態は、エッチング種を発生させるためのシステムを提供し、このシステムは、原料ガス混合物源と、エッチャントガス源と、処理室とを備える。処理室は、上部電極と下部電極とを有している。上部電極は、複数の中空陰極キャビティを含み、中空陰極キャビティの各々の入口には、上記原料ガス混合物源が接続されている。上部電極は、さらに、中空陰極キャビティの各々に接続された第1のバイアス信号源と、中空陰極キャビティの各々の対応する出口とを含む。それらの対応する出口は、処理室内のウェハ処理領域に対して開口している。ウェハ処理領域は、中空陰極キャビティの各々の出口とエッチング対象の表面との間に配置されている。上部電極は、さらに、上記エッチャントガス源に接続された複数の注入ポートを含む。これらの注入ポートは、ウェハ処理領域内にエッチャントガスを注入することができる。下部電極は、エッチング対象の表面を含む半導体ウェハを支持することができる。
上記対応する出口の各々は、プラズマシース厚の2倍よりも大きい幅を有し得る。あるいは、上記対応する出口の各々は、プラズマシース厚の2倍以下の幅を有し得る。
別の実施形態は、半導体ウェハをエッチングする方法を提供し、この方法は、処理室内に原料ガス混合物を注入することであって、原料ガス混合物を、処理室の上部電極の中の複数の中空陰極キャビティ内に注入すること、複数の中空陰極キャビティに第1のバイアス信号を印加することを含む処理室内に原料ガス混合物を注入すること、中空陰極キャビティの各々においてプラズマを発生させること、中空陰極キャビティにおいて活性種を発生させること、発生された活性種を、中空陰極キャビティの各々の対応する出口から処理室内のウェハ処理領域に出力すること、を含む。ウェハ処理領域は、中空陰極キャビティの各々の出口と、エッチング対象の表面との間に配置されている。エッチャントガス混合物は、ウェハ処理領域に注入される。ウェハ支持電極に、またはウェハ処理領域に電気的に接続されている別の電極に、第2のバイアス信号を接続することにより、ウェハ処理領域においてプラズマが発生される。エッチャントガス混合物が、中空陰極キャビティの出口から出力される活性種と混ざり合うように、エッチャントガス混合物は、上部電極の中の1つまたは複数の注入ポートを介して注入され、さらに、ウェハ処理領域において所望の化学種を発生させることを含む。中空陰極キャビティの各々の出口から流出する活性種によって、エッチャントガス混合物の中空陰極キャビティの各々の出口内への流入が実質的に阻止される。中空陰極キャビティの各々の出口は、プラズマシース厚の2倍未満の幅を有している。そして、エッチング対象の表面が、エッチングされる。
本発明の他の態様および効果は、発明の原理を例によって示す添付の図面を併用して行われる以下の詳細な説明から、明らかになるであろう。
本発明は、添付の図面を併用して行われる以下の詳細な説明によって容易に理解されるであろう。
図1は、本発明の実施形態により上部電極に複数の中空陰極プラズマキャビティを有するプラズマ処理室システムの概略図である。 図2は、本発明の実施形態による複数の中空陰極プラズマキャビティのうちの1つの、より詳細な図である。 図3は、本発明の実施形態による、上部電極のDCまたはRF駆動の中空陰極(HC)キャビティの2次元配列の概略図である。 図4は、本発明の実施形態による、上部電極のDCまたはRF駆動の中空陰極トレンチの2次元配列の概略図である。 図5は、本発明の一実施形態により、解離の増加を伴うことなく、より高いプラズマ密度を発生させる際に実行される方法オペレーションを示すフローチャートである。
イオン発生と処理ガスの解離の独立制御を有するプラズマエッチングのためのシステムおよび方法のいくつかの例示的な実施形態について以下で説明する。本明細書に記載の具体的詳細の一部またはすべてがなくても本発明を実施できることは、当業者には明らかであろう。
誘電体ウェハの膜をエッチングするための標準的なプラズマ室は、共通の問題を抱えている。ターゲット材のエッチング速度は、多くの場合、表面に到達するイオン流束によって制限される。より高いエッチング速度を得ることで、より効率的なエッチング・プロセスを得るため、イオン流束を増加させることができる。エッチング対象のウェハ表面への比較的高いイオン流束を発生させるように、プロセス制御パラメータが調整されると、それに応じたプラズマ密度の増加が、処理ガスの解離の増加につながる。これによって、プラズマ中に存在する化学種の組み合わせが変化する。より具体的には、ウェハへのイオン流束を増加させるパラメータの変化は、一般に、プラズマの電子密度も増加させる。電子密度は、プラズマにおける処理ガス化学の分子解離速度に直接影響を与えるプラズマ条件であり、それによってプラズマの化学組成(例えば、異なるラジカル、処理ガスの親分子、エッチング副生成物の間の比率)が変化する。プラズマ密度の増加によって、それに応じた変化がプラズマ化学全体に生じるため、解離がより多くなる。
一般に、SiO2、SiNx、SiOCHx、および他のシリコン系誘電体のエッチングに、フッ化炭素(またはハイドロフルオロカーボン)原料ガス(FCガス)が用いられる。過剰解離は、好ましくないプラズマ条件につながり、また、最適な処理結果に達しないことにつながる。具体的には、過剰解離によって“片寄り”すぎたプラズマ化学作用が生じ、これは、表面をエッチングする傾向が強すぎる一方、フッ化炭素(FC)薄膜を形成することにより表面を不動態化する傾向が弱すぎることを意味している。過剰解離によって、CxFy(x=2,3,4)などの中性重合種が、CFx(x=1,2,3)およびFなどの中性エッチング種に変換されて、その結果、表面上での原料ガスの重合が低減する。過剰解離と、その結果としての原料ガスの低重合とによって、マスクおよび基板膜に対するエッチング選択性の低下が生じるだけではなく、望ましくない側壁エッチングが生じる。
プラズマ化学においてガス混合物を調整することで、過剰解離を少なくとも部分的に補償することができる場合がある。しかしながら、ガス混合物を調整することで、高密度プラズマによる低重合の制限を完全に克服することはできない。この問題の極端な例が、高密度誘導結合プラズマ(ICP)源をFCガスと共に用いる場合に見られる。その結果は、有機マスク材に対する低選択性である。
イオン流束と電子密度の間の密結合は、高いイオン流束と最適なフッ化炭素プラズマ化学とを組み合わせたプラズマ条件を得ることを困難にする。この密結合によって、高エッチング速度と高選択性の間のトレードオフを余儀なくされる。このトレードオフは、一般に、高アスペクト比(HAR)コンタクトまたはセルエッチングにおいて見られ、この場合、比較的厚い膜のため高エッチング速度が望ましく、厚いマスク膜を供給するコストと複雑さのため高選択性が望ましい。
解離を増加させることなくプラズマ密度の増加を可能にするための1つのアプローチは、HAR誘電体エッチングのための一般的な条件と比較して、より低い電子温度で高密度プラズマを発生させることである。これによって、高いイオン流束がウェハ表面に到達することが可能であるが、処理ガスの解離を誘発するのに十分なエネルギーを持つ電子の割合は低減される。これは、ウェハ処理領域へのプラズマと処理ガスの両方の均一な注入を可能にする方法で、プラズマの励起または生成を、処理ガスの解離から空間的に分離することによって実現することができる。このようにすると、処理ガスから分離された領域で、プラズマが生成されるか、またはガスが予め励起されるので、被処理基板と相互作用するプラズマは、低解離であるが高プラズマ密度であるという利点を得ることになる。
解離を増加させることなくプラズマ密度を高めるための1つのアプローチとして、プラズマをプラズマ源からウェハ処理領域に直接注入することが挙げられる。ウェハ処理領域におけるプラズマ密度は、電子温度ひいては処理ガス解離速度を高めることがないように、注入されるプラズマによって提供および/または増加される。
解離の増加なしでプラズマ密度を高める別のアプローチとして、プラズマ源からウェハ領域に活性種を注入することが挙げられる。これらの種として、電子的に励起された準安定原子および分子、振動励起された分子、または分子解離により発生するラジカルを含むことができ、これらの種は、原料ガス混合物中に存在する親原子および分子よりも実質的に低いイオン化エネルギーを有する。プラズマは、プラズマ源の励起とは別の電気的励起によって、ウェハ処理領域で生成される。例として、下部電極104にRFバイアス信号S2を印加することができ(例えば、27MHz)、上部電極の第3の導電層112に接地電位S3を印加することができる。活性種の一部は、ウェハ処理領域においてプラズマによりイオン化される。活性種を特徴付ける、活性化されていない原料ガス混合物に比較して低下したイオン化ポテンシャルによって、より低い電子エネルギーでプラズマ平衡が達成され、これにより、ウェハ処理領域のプラズマは、より低い電子温度に調整されることになる。この低い電子温度によると、同じプラズマ密度で発生するエッチャントガス混合物の解離がより少なくなるか、または、より高いプラズマ密度で発生する解離が同じである傾向がある。両方の場合において、ウェハ処理領域におけるプラズマ密度の増加は、ウェハ処理領域における処理ガスの解離速度から大体は切り離されて、プラズマ源(複数の場合もある)に供給される電力によって制御することができる。
図1は、本発明の実施形態により上部電極103に複数の中空陰極プラズマキャビティ108を有するプラズマ処理室システム100の概略図である。図2は、本発明の実施形態による複数の中空陰極プラズマキャビティ108のうちの1つの、より詳細な図である。システム100は、プラズマ処理室101とコントローラ125とを備えている。プラズマ処理室101は、上部電極103と下部電極104とを有している。下部電極104は、ウェハ102を支持すると共に、このウェハに電気的に接続されたチャックを含んでいる。プラズマ処理室101は、さらに、上部電極103と下部電極104との間にウェハ処理領域106を有している。ウェハ処理領域は、約16mmから約36mmの間のギャップDllを有することができる。
複数のガス源129、141が、プラズマ処理室101に接続されている。2つのガス源129、141を図示しているが、システム100には、2つよりも多くのガス源を含むか、または接続することもできる。また、ガス源129、141は、ガスの流量と混合を制御するためのサブシステム(例えば、バルブ、マニホールド、フローモニタ、およびフローコントローラ)も備えている。ガス源129、141は、さらに、その中に入っているガスのガス圧力を制御するためのサブシステムを備えている。
システム100には、さらに、複数のバイアス(RFおよび/またはDC)信号源S1〜S5が含まれている。バイアス信号源S1≡S5は、以下でより詳細に説明するように、上部電極103および下部電極104の部分に接続されている。
上部電極103は、上部電極の温度を制御するための温度制御システム122Aを備えている。上部電極103は、さらに、複数の中空陰極プラズマキャビティ108を備えている。上部電極103は、以下でより詳細に説明するように、それぞれのガス源129、141からのそれぞれのガス128、140をそれぞれの使用場所に分配するための供給プレナム124および126をさらに備えている。
上部電極103は、複数の層に形成することができる。例として、温度制御層122は、金属(アルミニウム、ステンレス鋼、他の適当な熱伝導性材料または材料の組み合わせ)、炭化ケイ素など、良好な熱伝導性を有する材料とすることができる。温度制御層122は、いずれかの適当な寸法の厚さD10を有している。例えば、厚さD10は、約3mm未満から約100mm超の間とすることができる。
HCキャビティ108の上方に、第1の導電層120を形成することができる。第1の導電層120には、以下でより詳細に説明するように、HCキャビティ108内で生成されるプラズマ140Aの方向づけを助けるためのバイアスをかけることができる。第1の導電層120は、約3mmから約10mmの間の厚さD9を有している。温度制御層122と第1の導電層120との間に、オプションとして電気絶縁層(図示せず)を含むことができる。
第2の導電層116は、HCキャビティ108を含んでいる。以下でより詳細に説明するように、導電層内にHCキャビティ108を形成することによって、HCキャビティにバイアス信号S1を印加するための比較的単純な構造が可能となる。第1の絶縁層118は、第2の導電層116を第1導電層120から電気的に絶縁している。第1の絶縁層118は、約1mmから約6mmの間の厚さD8を有している。
第2の絶縁層114は、第2の導電層116を第3の導電層112から電気的に絶縁している。第2の絶縁層114は、約1mmから約6mmの間の厚さD7を有している。第3の導電層112には、以下でより詳細に説明するように、HCキャビティ108内で生成されるプラズマ140Aの方向づけを助けるためのバイアスをかけることができる。第3の導電層112は、約3mmから約10mmの間の厚さD6を有している。
コントローラ125は、論理回路、ソフトウェア、プロセッサ(複数の場合もある)、ハードウェア、入力/出力サブシステム、表示サブシステム、データ記憶システム、メモリシステム、通信およびネットワーキングサブシステムを含むコントローラ・サブシステムを備えている。コントローラ125は、プラズマ室システム100の所望の動作を規定するレシピを有している。コントローラ125は、プラズマ処理システム内での処理を監視するために、プラズマ処理システム100内の様々なセンサ系(例えば、電気、光学、圧力、温度など)に接続されている。コントローラ125は、さらに、バイアス信号源S1〜S5の制御入力および/またはフィードバック出力、ガス源129、141、温度制御システム122Aと、また、様々なアクチュエータにも接続されており、これらは、プラズマ処理システム100内の様々な構成要素と、さらに実施形態によってはウェハ102を動かす(例えば、上昇、下降、側方移動、開閉など)ためのものである。
図3は、本発明の実施形態による、上部電極103のDCまたはRF駆動の中空陰極(HC)キャビティの2次元配列の概略図である。図4は、本発明の実施形態による、上部電極103のDCまたはRF駆動の中空陰極トレンチの2次元配列の概略図である。図1、2、および3では、上部電極103の表面に、複数の個別のHC108と個別の注入ポート127を示している。図4に示す実施形態では、上部電極103の表面において、個別のHC108と個別の注入ポート127の少なくとも一部が、それぞれトレンチ108’および127’で置き換えられている。トレンチ108’および127’によって、製造および組み立てを単純化することができる。当然のことながら、実施形態は簡略図の形で示されており、必ずしも縮尺通りに描かれてはいない。
HCキャビティ108は、高エネルギー電子が陰極壁の間で捕獲される中空陰極効果によってプラズマを発生させる。HCキャビティ108は、約3mmから約25mmの間の幅D4を有している。HCキャビティ108は、約3mmから約25mmの間の高さD5を有している。
個別のHC108、個別の注入ポート127、およびトレンチ108’、127’は、上部電極103の表面に略均等に分布するように図示されている。しかし、当然のことながら、個別のHC108、個別の注入ポート127、およびトレンチ108’、127’の分布は、図示のように均等に分布していなくてもよい。また、個別のHC108、個別の注入ポート127、およびトレンチ108’、127’は、当然のことながら、図1〜4に示されているような相対的大きさではなくてもよい。
図5は、本発明の一実施形態により、解離の増加を伴うことなく、より高いプラズマ密度を発生させる際に実行される方法オペレーション500を示すフローチャートである。ここで示すオペレーションは一例であって、当然のことながら、一部のオペレーションはサブオペレーションを含むことがあり、他の例では、図示のオペレーションが、ここで記載する一部のオペレーションを含んでいないことがある。この点を念頭に置いて、方法およびオペレーション500について以下で説明する。
オペレーション505において、原料ガス140が供給プレナム126を介してHCキャビティ108および/またはトレンチに供給される。オペレーション510では、第1の信号S1がHCキャビティ108に印加され、これにより、その中でプラズマ140Aおよび/または活性種を発生させる。高密度プラズマ140Aは、約20〜約5000mTの適度な圧力で、より具体的には約50〜1000mTの圧力で、発生させることができる。オペレーション515において、プラズマ140Aおよび/または活性種は、エッチング対象の表面102AとHCキャビティ108の出口108Aとの間に配置されたウェハ処理領域106に供給される。
原料ガス140は、HCキャビティ108の頂部から供給されて、HCキャビティ内を最適な圧力にする。原料ガス140は、純ガス、または2種以上のガスのガス混合物とすることができる。原料ガス140は、実質的に不活性であって、エッチング対象の表面と反応しない。例として、原料ガス140は、アルゴン、キセノン、さらにはN2またはO2などの分子ガスのうちの1つ、または混合物を含むものとすることができる。N2およびO2は、通常、不活性とはみなされないが、本例では、原料ガス、N2およびO2とそれらの解離が、HCキャビティ108またはトレンチの内面に大きな変化を引き起こすものではなく、また低解離度であることを意味するフッ化炭素ガスなどのガスを含んでいなければ、原料ガスは不活性とみなすことができる。
ウェハ処理領域106内に活性種が注入される場合には、原料ガスは、そのような所望の種を発生させるように選択される。例えば、アルゴンおよびキセノンなどの希ガス原子は、電子的に励起された準安定原子を、標準的なプラズマ条件の下で発生させることが知られている。これらの準安定原子は、基底状態の原子よりも遥かに低いイオン化ポテンシャルを有していることがある。同様に、N2分子も、標準的なプラズマ条件の下で準安定電子状態とするのに比較的効率的である。また他の例として、CO分子も有効であり、これはソース領域で解離して、CO分子より低いイオン化ポテンシャルを有するC原子ラジカルを発生させることができる。
フッ化炭素または他のハロゲン化物含有のエッチャントガス128は、HCキャビティ108の内面およびエッチング対象の表面102Aへのエッチングまたは堆積物の形成が生じ得るので、不活性とみなされない。また、フッ化炭素または他のハロゲン化物含有のエッチャントガス128の解離は、上記のようにエッチング結果に大きな影響を与える可能性もある。このため、フッ化炭素または他のハロゲン化物含有のエッチャントガス128は、HCキャビティ108を通しては、注入されない。しかし、それでも、フッ化炭素または他のハロゲン化物含有のエッチャントガス128は、エッチング対象の表面102Aに対するエッチング/化学反応のために必要である。
フッ化炭素または他のハロゲン化物含有のエッチャントガス128は、さらにガスの混合物を含むこともできる。エッチャントガス混合物中の一部のガスは不活性のものとすることができ、混合物中の一部のガスは反応性の高いものとすることができる。エッチャントガス混合物中のガスの比率を、所望の比率を得るように調整してもよい。エッチャントガス128は、エッチング対象の表面102Aにおいて化学エッチャントとして作用する化学種またはその前駆体を含む単一ガスまたはガス混合物とすることができる。例として、エッチャントガス128は、SiO2エッチング用のフッ化炭素、またはSiエッチング用のCl2を含むことができる。側壁パッシベーションまたは他の有効な効果を得るため、O2、CH4、またはHBrといった他のガスを、フッ化炭素含有ガスと混合してもよい。
オペレーション520において、フッ化炭素または他のハロゲン化物含有のエッチャントガス128を含む第2のガスを、ウェハ処理領域106内に注入する。第2のガスは、HCキャビティ108を迂回し、注入ポート127を介してウェハ処理領域106に直接注入される。注入ポート127は、HCキャビティ108の出口108A間に配置されている。エッチャントガス128を注入することは、エッチャントガス源129からのエッチャントガスを、1つまたは複数の供給プレナム124に供給することを含み得る。供給プレナム124によって、エッチャントガス128は注入ポート127に分配される。エッチャントガス18は、注入ポート127からウェハ処理領域106内に注入される。このように、フッ化炭素または他のハロゲン化物含有のエッチャントガス128は、HCキャビティ108を迂回して、ウェハ処理領域106内に直接注入される。
オペレーション530で、エッチャントガス128は、ウェハ処理領域106において、HC出口108Aから出力されるプラズマ140Aおよび/または活性種と混ざり合う。HC出口108Aから活性種が出力される際に、下部電極104にバイアス信号S2を印加し、上部電極の第3の導電層112に接地電位信号S3を印加することにより、ウェハ処理領域106においてプラズマを発生させることができる。原料ガス140の選択された流量と、出口108Aの幅D2、および出口108Aの縦の長さD6+D7の組み合わせによって、プラズマ140Aおよび/または活性種がHC108の出口108Aから流れる際の圧力降下が決まる。出口108Aの幅D2は、約1.0mmから約15mmの間とすることができ、出口108Aの長さD6+D7は、約1.0mmから約12mmの間とすることができる。アパーチャを通るガス流の場合、面積に対する正味流量の比は流束を表し、そしてこのパラメータを、望ましくない逆方向のガス輸送を防ぐための正味ガス流の能力を決定づけるように、調整することができる。ウェハ処理領域106内にあるガス種が開口部108Aを通ってHC108に入ることが、出口108Aを通るガス140およびプラズマ140Aの流束によって実質的に阻止される。このようにして、エッチャントガス128とその解離および反応の生成物がHC108内部の面と相互作用することは、実質的に阻止される。
原料ガス140の選択された流量と、ポート(複数の場合もある)140Bの幅D3、およびポート140Bの縦の長さD12の組み合わせによって、HC108の中にガス140が流れ込む際の圧力降下が決まる。プラズマが原料ガス供給プレナム126内へ広がることが、ポート140Bを通るガス140の流束と幅D3とによって実質的に阻止される。ポート140Bは、名目上、プラズマシース厚の約2倍よりも小さい幅D3を有している。例として、幅D3は、約0.1mmから約0.7mmの間とすることができる。アパーチャ140Bの長さD12は、約1mmから約12mmの間とすることができる。
エッチャントガス128の選択された流量と、アパーチャ127Bの幅D1および長さD13の組み合わせによって、ウェハ処理領域106内に入るエッチャントガス128の圧力降下が決まる。アパーチャ127Bの幅D1は、約0.3mmから約0.8mmの間とすることができ、アパーチャの長さD13は、約2.0mmから約20.0mmの間とすることができる。ウェハ処理領域106にあるガス種が注入ポート127に入ることが、エッチャントガス128の流束とアパーチャ127Bの幅D1とによって実質的に阻止される。それぞれのガス源129、141の圧力を変えるなどして、原料ガス140とエッチャントガス128の流量比を選択的に制御することができる。
例として、ウェハ処理領域106内に入る総ガス流のより大きな部分が、HC108から流出する原料ガス140とプラズマイオン140Aであるように、原料ガス140とエッチャントガス128の流量比を選択的に制御することができる。同様に、ウェハ処理領域106内に入る総ガス流のより大きな部分が、注入ポート127から流出するものであるように、原料ガス140とエッチャントガス128の流量比を選択的に制御することができる。また同様に、ウェハ処理領域106内に入る総ガスが、注入ポート127から流出するエッチャントガス128と、HC108から流出する原料ガス140およびプラズマイオン140Aに略均等に分かれるように、原料ガス140とエッチャントガス128の流量比を選択的に制御することもできる。
エッチャントガス128がウェハ処理領域106からHCキャビティ内に流れることが、HCキャビティ108の開口部108Aの幅D2によって実質的に阻止される。幅D2は、HC108から出力することが望まれるプラズマおよび/または活性種の選択によって、さらにはその特定のプラズマ140Aのダイナミクスによって、決定される。例として、プラズマが開口部108Aを通ってウェハ処理領域106内に確実に輸送されるように、幅D2は、プラズマシース厚の2倍よりも大きくされる。逆に、活性種のみがウェハ処理領域106内に注入されて、プラズマ140Aは注入されないことを目的とする場合は、開口部108A内でプラズマが確実に消滅するように、幅D2は、プラズマシース厚の2倍よりも小さくされることになる。
エッチャントガス12およびプラズマイオン140Aの略均一な流れの混合物208が、ウェハ処理領域106全体に供給されるように、そしてエッチング対象の表面102Aに供給されるように、HC108および/またはトレンチ108’は、上部電極103の表面全体に分散している。オペレーション540では、ウェハ処理領域106において、プラズマ140Aの電子がエッチャントガス12と相互作用することで、制御された解離が引き起こされて、表面102Aとのエッチング反応および関連するパッシベーションのために必要な所望の化学種208が生成される。プラズマ源(複数の場合もある)108の遠隔性、およびHC108からウェハ処理領域106内へのプラズマ140の拡散によって、または、より低いイオン化ポテンシャルを持つ活性種のHC108からウェハ処理領域106内への輸送によって、ウェハ処理領域における電子温度が、現在の標準的なHAR誘電体エッチングの場合の通常の電子温度よりも大幅に低くなる。
オペレーション550において、プラズマ140Aおよび所望の化学種208の一部がエッチング対象の表面102Aに供給されて、そのエッチング対象の表面がエッチングされる。表面102Aをエッチングすることによって、エッチング副生成物が発生する。オペレーション560では、エッチング副生成物がウェハ処理領域106から除去される。また、エッチング対象の表面102Aにプラズマ140Aおよび所望の化学種208を供給することは、信号源からの信号S2を下部電極104に印加することも含んでいる。信号S2は、イオン衝撃エネルギーを制御するためのRFバイアスとすることができる。RFバイアスは、周知のようにDCバイアスよりも優れており、RFバイアスは、最小限の電位降下で誘電体膜(例えば、ウェハ表面の酸化膜、またはESCのセラミック層)を通して印加することができる。当然のことながら、信号S2は、下部電極104にバイアスをかけるためのRF信号とDC信号の両方を含むことができる。また、下部電極104に印加されるバイアス信号S2は、ウェハ処理領域106内でプラズマ密度を発生および/または増加させることもできる。
HCキャビティからのプラズマ140Aの供給を向上させるため、バイアス信号S3、S4はHCキャビティ108の上部120と下部112にそれぞれ供給され得る。バイアス信号S3、S4は、接地電位とすることができる。温度制御層122には、第1導電層120と同じバイアス信号S4によってバイアスをかけることができる。あるいはこれに代えて、上記のようにオプションの絶縁層によって、温度制御層122を第1の導電層120から電気的に絶縁することができ、この場合、温度制御層には、第1の導電層に印加されるバイアス信号S4とは異なる信号S5を用いてバイアスをかけることが可能である。
原料ガス140は、幅D3を有する1つまたは複数のポートの140Bを通って、HCキャビティ108の頂部に注入される。複数の小さいポート140Bは、プラズマ140AがHCキャビティ108から上方に漏れて供給プレナム126に入ることを実質的に阻止する。一方で、最小限のガス伝導でプラズマ輸送および/または活性種の輸送を可能にするために、HC108の出口108Aにおけるプラズマ注入は単一の開口である。
例示的な一実施形態では、電極表面上のDCフローティング表面膜に伴って生じ得る問題を回避するため、信号S1は、1〜15MHzの範囲のRFとされる。原料ガス140はアルゴンとされ、また、エッチャントガス128は、フッ化炭素、ハイドロフルオロカーボン、および/またはO2の混合物とされることになる。
多くの代替的実施形態が可能である。例として、HCキャビティ108の陰極信号および接地信号S1、S3、S4は、HCキャビティの上に広がるオプションの導電層116Aに信号S1が印加され得るように配することができる。また、2種の別々のガス128、140が実質的にアレイ上の交互の点でウェハ処理領域106に供給されるガス供給ジオメトリにおいても、他の形態が可能である。
温度制御層122は、温度制御システム122Aによって能動的に冷却することができる。温度制御層122を冷却することによって、HCキャビティ108から熱を奪う。例として、温度制御層122は、上部電極103から奪った熱を外部の放熱システム(図示せず)に運ぶため、温度制御システム122A中を流れる冷媒を含むことができる。温度制御システム122Aは、当技術分野で周知であるような、上部電極103の温度を制御する他のシステムおよび方法を含むことができる。例として、温度制御システム122Aは、ヒートシンク、熱電冷却、加熱、いずれかの適当な冷却媒体を含むことができる。
エッチャントガスがC48とO2で構成される一例において、C48分子は解離して、より小さい様々な原子および分子となり、それらの一部は化学反応性の高いラジカルある。特に、C24、C34、および他の多炭素種は、マスク、側壁、および停止層をポリマー堆積により不動態化する重合プロセスの一端を担う。一方で、CF3およびFラジカル種は、イオン衝撃と相まって、エッチング対象のSiO2系膜をエッチングするように作用する。O2の解離によって、Oラジカルが発生する。Oラジカルは、特にイオン衝撃と相まって、ポリマーをエッチングし、この場合、正味重合度を制御可能とすることができる。通常のプロセスよりも低い解離度を可能とすることにより、本プロセスでは、エッチャントに対するパッシバントの比率がより大きくなり、これは、選択エッチングのために、より好ましい。
上記実施形態を念頭に置いて、当然のことながら、本発明は、コンピュータにより実現される、コンピュータシステムに記憶されたデータに関する様々なオペレーションを採用することができる。これらのオペレーションは、物理量の物理的操作を必要とするものである。必ずではないが、これらの量は、通常、記憶、伝達、結合、比較、および他の操作が可能な電気または磁気信号の形をとるものである。また、実行される操作は、しばしば、生成、識別、判断、または比較といった用語で呼ばれる。
また、本発明は、コンピュータ読み取り可能な媒体上のコンピュータ読み取り可能なコードとして具現化することができる。コンピュータ読み取り可能な媒体は、データを保存することができるデータ記憶装置であって、後にコンピュータシステムによりそのデータを読み出すことが可能なものである。コンピュータ読み取り可能な媒体の例には、ハードドライブ、ネットワーク接続ストレージ(NAS)、読み取り専用メモリ、ランダムアクセスメモリ、CD‐ROM、CD‐R、CD‐RW、DVD、フラッシュ、磁気テープ、および他の光学式および非光学式のデータ記憶装置が含まれる。コンピュータ読み取り可能な媒体は、コンピュータシステムに接続されたネットワーク上に分散させることもでき、これにより、コンピュータ読み取り可能なコードは分散的に記憶および実行される。
本明細書に記載の、本発明の一部をなすオペレーションは、いずれも有用なマシンオペレーションである。また、本発明は、これらのオペレーションを実行するためのデバイスまたは装置に関するものである。装置は、所要の目的のために特別に構築されたものとすることができ、あるいはコンピュータに格納されたコンピュータプログラムによって選択的に起動または設定される汎用コンピュータとすることができる。特に、様々な汎用機を、本明細書の教示に従って書かれたコンピュータプログラムと共に用いることができ、あるいは所要のオペレーションを実行するために、より特化した装置を構築するほうが、利便性が高い場合がある。
上記の発明は、明確な理解を目的として、ある程度詳細に記載したが、添付の請求項の範囲内でいくらかの変更および変形を実施することができることは明らかであろう。よって、本実施形態は例示とみなされるべきであって、限定するものではなく、また、本発明は、本明細書で提示した詳細に限定されるものではなく、添付の請求項の範囲内および均等物の範囲内で変更することができる。

Claims (20)

  1. 半導体ウェハをエッチングする方法であって、
    原料ガス混合物を処理室内に注入することであって、
    原料ガス混合物を前記処理室の上部電極であって、第1の導電層と前記第1の導電層の下側に配置されている第1の絶縁層と、前記第1の絶縁層の下方に配置され複数の中空陰極キャビティを含む第2の導電層と、前記第2の導電層の下方に配置されている第2の絶縁層と、前記第2の絶縁層の下方に配置されている第3の導電層とを有する上部電極における前記複数の中空陰極キャビティ内に注入すること、
    前記複数の中空陰極キャビティに第1のバイアス信号を印加することおよび前記上部電極において前記第1の導電層の上側に配置されている温度制御層によって前記上部電極を冷却することを含む、前記複数の中空陰極キャビティの各々においてプラズマを発生させること、
    前記発生させたプラズマを、前記複数の中空陰極キャビティの各々の対応する出口から、前記処理室内のウェハ処理領域に出力すること、ウェハ処理領域は前記複数の中空陰極キャビティの各々の前記出口とエッチング対象の表面との間に配置されていることと
    を含む、原料ガス混合物を処理室内に注入すること、
    エッチャントガス混合物を前記ウェハ処理領域内に注入することと、前記エッチャントガス混合物は前記複数の中空陰極キャビティの前記出口から出力される前記プラズマと混ざり合うように、前記上部電極における複数の注入ポートを介して注入され、前記ウェハ処理領域において所望の化学種を発生させることを含むエッチャントガス混合物を前記ウェハ処理領域に注入すること、前記複数の中空陰極キャビティの各々の前記出口から流出する前記原料ガス混合物と前記プラズマによって、前記エッチャントガス混合物が前記複数の中空陰極キャビティの各々の前記出口内に流入することが実質的に阻止され、
    前記エッチング対象の表面をエッチングすること、
    とを備える、半導体ウェハをエッチングする方法。
  2. 前記複数の中空陰極キャビティにバイアスをかけることは、前記第2の導電層に前記第1のバイアス信号を印加することを含み、前記複数の中空陰極キャビティは前記第2の導電層に形成される、請求項1に記載の方法。
  3. 前記第1のバイアス信号は、RFバイアス信号を含む、請求項1に記載の方法。
  4. 前記第1のバイアス信号は、約1MHzから約15MHzの範囲内のRF信号を含む、請求項1に記載の方法。
  5. 前記複数の注入ポートは、前記上部電極の前記ウェハ処理領域表面にわたって実質的に分散されている、請求項1に記載の方法。
  6. 前記複数の中空陰極キャビティは、前記上部電極の前記ウェハ処理領域表面にわたって実質的に分散されている、請求項1に記載の方法。
  7. 前記複数の中空陰極キャビティおよび前記複数の注入ポートは、前記上部電極の前記ウェハ処理領域表面にわたって、ほぼ均等に散在している、請求項1に記載の方法。
  8. 前記発生したプラズマを前記複数の中空陰極キャビティの各々の対応する前記出口から出力することは、前記上部電極に第2のバイアス信号を印加することを含む、請求項1に記載の方法。
  9. 前記複数の中空陰極キャビティの各々においてプラズマを発生させることは、前記複数の中空陰極キャビティの前記出口に第3のバイアス信号を印加することを含む、請求項1に記載の方法。
  10. 前記複数の中空陰極キャビティの各々においてプラズマを発生させることは、前記複数の中空陰極キャビティの前記出口に第3のバイアス信号を印加することを含み、前記第3のバイアス信号は接地電位である、請求項1に記載の方法。
  11. 前記エッチング対象の表面をエッチングすることは、前記ウェハ処理領域からエッチング副生成物を除去することを含む、請求項1に記載の方法。
  12. 前記複数の中空陰極キャビティは、複数の中空陰極トレンチを含む、請求項1に記載の方法。
  13. 前記上部電極の中の複数の注入ポートは、複数の注入トレンチを含む、請求項1に記載の方法。
  14. 前記原料ガス混合物は不活性ガスである、請求項1に記載の方法。
  15. 前記エッチャントガス混合物は、フッ化炭素含有ガスを含む、請求項1に記載の方法。
  16. 前記複数の中空陰極キャビティの各々の前記出口は、プラズマシース厚の2倍よりも大きい幅を有する、請求項1に記載の方法。
  17. 原料ガス混合物源と、
    エッチャントガス源と、
    処理室と、
    を備える、エッチング種を発生させるためのシステムであって、
    前記処理室は、
    上部電極であって、
    前記上部電極を冷却するための温度制御層と、
    前記温度制御層の下側に配置されている第1の導電層と、
    前記第1の導電層の下側に配置されている第1の絶縁層と、
    その各々の入口に前記原料ガス混合物源が接続されている複数の中空陰極キャビティを含み、前記第1の絶縁層の下方に配置されている第2の導電層と、
    前記第2の導電層の下方に配置されている第2の絶縁層と、
    前記第2の絶縁層の下方に配置されている第3の導電層と、
    前記複数の中空陰極キャビティの各々に接続されている第1のバイアス信号源と、
    前記複数の中空陰極キャビティの各々に対応する出口であって、前記処理室内のウェハ処理領域に対して開口している対応する出口と、前記複数の中空陰極キャビティの各々の前記出口とエッチング対象の表面との間に前記ウェハ処理領域が配置され、
    前記エッチャントガス源に接続されている複数の注入ポートであって、前記ウェハ処理領域に前記エッチャントガスを注入することができる、前記複数の注入ポートと、を含む、上部電極と、
    前記エッチング対象の表面を含む半導体ウェハを支持するための下部電極と、
    を含む、システム。
  18. 前記対応する出口の各々は、プラズマシース厚の2倍よりも大きい幅を有する、請求項17に記載のシステム。
  19. 前記対応する出口の各々は、プラズマシース厚の2倍以下の幅を有する、請求項17に記載のシステム。
  20. 半導体ウェハをエッチングする方法であって、
    原料ガス混合物を処理室内に注入することであって、
    前記原料ガス混合物を前記処理室の上部電極であって、第1の導電層と前記第1の導電層の下側に配置されている第1の絶縁層と、前記第1の絶縁層の下方に配置され複数の中空陰極キャビティを含む第2の導電層と、前記第2の導電層の下方に配置されている第2の絶縁層と、前記第2の絶縁層の下方に配置されている第3の導電層とを有する上部電極における前記複数の中空陰極キャビティ内に注入すること、
    前記複数の中空陰極キャビティに第1のバイアス信号を印加することおよび前記上部電極において前記第1の導電層の上側に配置されている温度制御層によって前記上部電極を冷却することを含む、前記複数の中空陰極キャビティの各々においてプラズマを発生させること、
    前記中空陰極キャビティにおいて活性種を発生させること、
    前記発生させた活性種を、前記複数の中空陰極キャビティの各々の対応する出口から、前記処理室内のウェハ処理領域に出力すること、前記ウェハ処理領域は前記複数の中空陰極キャビティの各々の前記出口とエッチング対象の表面との間に配置されていること
    を含む、前記処理室内に前記原料ガス混合物を注入することと、
    エッチャントガス混合物を前記ウェハ処理領域に注入することであって、エッチャントガス混合物は前記複数の中空陰極キャビティの前記出口から出力される前記活性種と混ざり合うように、前記上部電極における複数の注入ポートを介して注入され、前記ウェハ処理領域内でプラズマを発生させることを含むエッチャントガス混合物を前記ウェハ処理領域に注入すること、
    前記ウェハ処理領域内で所望の化学種を発生させることと、前記複数の中空陰極キャビティの各々の前記出口はプラズマシース厚の2倍未満の幅を有し、前記複数の中空陰極キャビティの各々の前記出口から流出する前記活性種によって、前記エッチャントガス混合物が前記複数の中空陰極キャビティの各々の前記出口内に流入することが、実質的に阻止され、
    前記エッチング対象の表面をエッチングすること
    とを含む、半導体ウェハをエッチングする方法。
JP2012555013A 2010-02-26 2011-01-28 イオン発生と処理ガスの解離の独立制御を有するプラズマエッチングのためのシステム、方法、および装置 Active JP5950831B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/713,523 US9190289B2 (en) 2010-02-26 2010-02-26 System, method and apparatus for plasma etch having independent control of ion generation and dissociation of process gas
US12/713,523 2010-02-26
PCT/US2011/023021 WO2011106129A1 (en) 2010-02-26 2011-01-28 System. method and apparatus for plasma etch having independent control of ion generation and dissociation of process gas

Publications (2)

Publication Number Publication Date
JP2013520836A JP2013520836A (ja) 2013-06-06
JP5950831B2 true JP5950831B2 (ja) 2016-07-13

Family

ID=44505522

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2012555013A Active JP5950831B2 (ja) 2010-02-26 2011-01-28 イオン発生と処理ガスの解離の独立制御を有するプラズマエッチングのためのシステム、方法、および装置

Country Status (7)

Country Link
US (2) US9190289B2 (ja)
JP (1) JP5950831B2 (ja)
KR (1) KR101765360B1 (ja)
CN (1) CN102771192B (ja)
SG (2) SG10201501320VA (ja)
TW (1) TWI527115B (ja)
WO (1) WO2011106129A1 (ja)

Families Citing this family (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI641292B (zh) 2008-08-04 2018-11-11 Agc北美平面玻璃公司 電漿源
US20130059448A1 (en) * 2011-09-07 2013-03-07 Lam Research Corporation Pulsed Plasma Chamber in Dual Chamber Configuration
US9111728B2 (en) 2011-04-11 2015-08-18 Lam Research Corporation E-beam enhanced decoupled source for semiconductor processing
US8980046B2 (en) * 2011-04-11 2015-03-17 Lam Research Corporation Semiconductor processing system with source for decoupled ion and radical control
US8900403B2 (en) 2011-05-10 2014-12-02 Lam Research Corporation Semiconductor processing system having multiple decoupled plasma sources
US20130098873A1 (en) * 2011-10-20 2013-04-25 Applied Materials, Inc. Overhead electron beam source for plasma ion generation in a workpiece processing region
US20140165911A1 (en) * 2012-12-14 2014-06-19 Applied Materials, Inc. Apparatus for providing plasma to a process chamber
US9443700B2 (en) 2013-03-12 2016-09-13 Applied Materials, Inc. Electron beam plasma source with segmented suppression electrode for uniform plasma generation
US9431218B2 (en) 2013-03-15 2016-08-30 Tokyo Electron Limited Scalable and uniformity controllable diffusion plasma source
CN107615888B (zh) 2014-12-05 2022-01-04 北美Agc平板玻璃公司 利用宏粒子减少涂层的等离子体源和将等离子体源用于沉积薄膜涂层和表面改性的方法
EP3228160B1 (en) * 2014-12-05 2021-07-21 AGC Glass Europe SA Hollow cathode plasma source
US10475626B2 (en) 2015-03-17 2019-11-12 Applied Materials, Inc. Ion-ion plasma atomic layer etch process and reactor
US10386829B2 (en) * 2015-09-18 2019-08-20 Kla-Tencor Corporation Systems and methods for controlling an etch process
US20170092470A1 (en) * 2015-09-28 2017-03-30 Applied Materials, Inc. Plasma reactor for processing a workpiece with an array of plasma point sources
US9721765B2 (en) 2015-11-16 2017-08-01 Agc Flat Glass North America, Inc. Plasma device driven by multiple-phase alternating or pulsed electrical current
US10573499B2 (en) 2015-12-18 2020-02-25 Agc Flat Glass North America, Inc. Method of extracting and accelerating ions
US10242846B2 (en) 2015-12-18 2019-03-26 Agc Flat Glass North America, Inc. Hollow cathode ion source
US10845375B2 (en) * 2016-02-19 2020-11-24 Agjunction Llc Thermal stabilization of inertial measurement units
JP7008474B2 (ja) * 2016-11-30 2022-01-25 東京エレクトロン株式会社 プラズマエッチング方法
KR102455239B1 (ko) * 2017-10-23 2022-10-18 삼성전자주식회사 플라즈마 처리 장치 및 그를 이용한 반도체 소자의 제조방법
KR102455231B1 (ko) 2017-10-23 2022-10-18 삼성전자주식회사 픽셀화된 플라즈마를 생성하는 할로우 캐소드, 반도체 소자의 제조장치 및 그의 제조방법
EP3785494A4 (en) * 2018-06-14 2022-01-26 MKS Instruments, Inc. REMOTE PLASMA SOURCE RADICAL OUTPUT MONITOR AND METHOD OF USE
US10342110B1 (en) * 2018-09-14 2019-07-02 Serendipity Technologies LLC. Plasma power generator (z-box and z-tower)

Family Cites Families (156)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4276557A (en) * 1978-12-29 1981-06-30 Bell Telephone Laboratories, Incorporated Integrated semiconductor circuit structure and method for making it
JPS644481Y2 (ja) 1980-09-13 1989-02-06
US4340462A (en) * 1981-02-13 1982-07-20 Lam Research Corporation Adjustable electrode plasma processing chamber
JPS61189642A (ja) 1985-02-18 1986-08-23 Mitsubishi Electric Corp プラズマ反応装置
CA1331163C (en) 1986-04-18 1994-08-02 Applied Materials, Inc. Multiple-processing and contamination-free plasma etching system
JPH0794483B2 (ja) 1987-02-04 1995-10-11 旭電化工業株式会社 サイクロデキストリン類脂肪酸エステルの製造法
KR960016218B1 (ko) * 1987-06-05 1996-12-07 가부시기가이샤 히다찌세이사꾸쇼 표면처리방법 및 그 장치
JPS644481A (en) * 1987-06-24 1989-01-09 Minoru Sugawara Parallel-plate discharge electrode
RU2094961C1 (ru) 1989-07-20 1997-10-27 Уланов Игорь Максимович Трансформаторный плазмотрон
RU2022917C1 (ru) 1989-09-27 1994-11-15 Уланов Игорь Максимович Способ получения окиси азота
RU2056702C1 (ru) 1990-07-09 1996-03-20 Уланов Игорь Максимович Трансформаторный плазмотрон
US6444137B1 (en) * 1990-07-31 2002-09-03 Applied Materials, Inc. Method for processing substrates using gaseous silicon scavenger
US5183990A (en) * 1991-04-12 1993-02-02 The Lincoln Electric Company Method and circuit for protecting plasma nozzle
JPH05144594A (ja) 1991-11-19 1993-06-11 Ebara Corp 放電プラズマ発生装置
JPH05166595A (ja) 1991-12-12 1993-07-02 Fuji Denpa Koki Kk 高気圧高密度プラズマ発生方法
EP0552491B1 (en) * 1992-01-24 1998-07-15 Applied Materials, Inc. Plasma etch process and plasma processing reactor
US5302237A (en) * 1992-02-13 1994-04-12 The United States Of America As Represented By The Secretary Of Commerce Localized plasma processing
US5505780A (en) * 1992-03-18 1996-04-09 International Business Machines Corporation High-density plasma-processing tool with toroidal magnetic field
US5349271A (en) * 1993-03-24 1994-09-20 Diablo Research Corporation Electrodeless discharge lamp with spiral induction coil
JP2950110B2 (ja) * 1993-09-24 1999-09-20 住友金属工業株式会社 プラズマエッチング方法
JPH0878192A (ja) 1994-09-06 1996-03-22 Fujitsu Ltd プラズマ処理装置及びプラズマ処理方法
US5620524A (en) * 1995-02-27 1997-04-15 Fan; Chiko Apparatus for fluid delivery in chemical vapor deposition systems
US5630880A (en) * 1996-03-07 1997-05-20 Eastlund; Bernard J. Method and apparatus for a large volume plasma processor that can utilize any feedstock material
DE69719108D1 (de) * 1996-05-02 2003-03-27 Tokyo Electron Ltd Plasmabehandlungsgerät
US5846883A (en) * 1996-07-10 1998-12-08 Cvc, Inc. Method for multi-zone high-density inductively-coupled plasma generation
AUPO281896A0 (en) * 1996-10-04 1996-10-31 Unisearch Limited Reactive ion etching of silica structures for integrated optics applications
US6190236B1 (en) * 1996-10-16 2001-02-20 Vlsi Technology, Inc. Method and system for vacuum removal of chemical mechanical polishing by-products
US6150628A (en) * 1997-06-26 2000-11-21 Applied Science And Technology, Inc. Toroidal low-field reactive gas source
US6924455B1 (en) * 1997-06-26 2005-08-02 Applied Science & Technology, Inc. Integrated plasma chamber and inductively-coupled toroidal plasma source
US6388226B1 (en) * 1997-06-26 2002-05-14 Applied Science And Technology, Inc. Toroidal low-field reactive gas source
US6273022B1 (en) * 1998-03-14 2001-08-14 Applied Materials, Inc. Distributed inductively-coupled plasma source
US6203657B1 (en) * 1998-03-31 2001-03-20 Lam Research Corporation Inductively coupled plasma downstream strip module
US5998933A (en) * 1998-04-06 1999-12-07 Shun'ko; Evgeny V. RF plasma inductor with closed ferrite core
US6335293B1 (en) * 1998-07-13 2002-01-01 Mattson Technology, Inc. Systems and methods for two-sided etch of a semiconductor substrate
US6300643B1 (en) * 1998-08-03 2001-10-09 Varian Semiconductor Equipment Associates, Inc. Dose monitor for plasma doping system
US6178919B1 (en) * 1998-12-28 2001-01-30 Lam Research Corporation Perforated plasma confinement ring in plasma reactors
US6579805B1 (en) 1999-01-05 2003-06-17 Ronal Systems Corp. In situ chemical generator and method
US6392351B1 (en) * 1999-05-03 2002-05-21 Evgeny V. Shun'ko Inductive RF plasma source with external discharge bridge
KR100416308B1 (ko) * 1999-05-26 2004-01-31 동경 엘렉트론 주식회사 플라즈마 처리 장치
US6206972B1 (en) * 1999-07-08 2001-03-27 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
JP2003506888A (ja) * 1999-08-06 2003-02-18 アドバンスト・エナジー・インダストリーズ・インコーポレイテッド ガスおよび材料を処理する誘導結合環状プラズマ源装置およびその方法
US6318384B1 (en) * 1999-09-24 2001-11-20 Applied Materials, Inc. Self cleaning method of forming deep trenches in silicon substrates
AU1776401A (en) 1999-11-19 2001-05-30 Nano Scale Surface Systems, Inc. System and method for depositing inorganic/organic dielectric films
JP4212210B2 (ja) 1999-12-07 2009-01-21 株式会社小松製作所 表面処理装置
KR20010062209A (ko) * 1999-12-10 2001-07-07 히가시 데쓰로 고내식성 막이 내부에 형성된 챔버를 구비하는 처리 장치
US6337460B2 (en) * 2000-02-08 2002-01-08 Thermal Dynamics Corporation Plasma arc torch and method for cutting a workpiece
JP2001237226A (ja) 2000-02-23 2001-08-31 Kobe Steel Ltd プラズマ処理装置
AU2001247685A1 (en) * 2000-03-30 2001-10-15 Tokyo Electron Limited Method of and apparatus for tunable gas injection in a plasma processing system
JP4371543B2 (ja) * 2000-06-29 2009-11-25 日本電気株式会社 リモートプラズマcvd装置及び膜形成方法
US7234477B2 (en) * 2000-06-30 2007-06-26 Lam Research Corporation Method and apparatus for drying semiconductor wafer surfaces using a plurality of inlets and outlets held in close proximity to the wafer surfaces
JP4559595B2 (ja) * 2000-07-17 2010-10-06 東京エレクトロン株式会社 被処理体の載置装置及びプラズマ処理装置
TW445540B (en) * 2000-08-07 2001-07-11 Nano Architect Res Corp Bundle concentrating type multi-chamber plasma reacting system
US20020101167A1 (en) * 2000-12-22 2002-08-01 Applied Materials, Inc. Capacitively coupled reactive ion etch plasma reactor with overhead high density plasma source for chamber dry cleaning
US6461972B1 (en) * 2000-12-22 2002-10-08 Lsi Logic Corporation Integrated circuit fabrication dual plasma process with separate introduction of different gases into gas flow
US6755150B2 (en) * 2001-04-20 2004-06-29 Applied Materials Inc. Multi-core transformer plasma source
US6527911B1 (en) * 2001-06-29 2003-03-04 Lam Research Corporation Configurable plasma volume etch chamber
TWI234417B (en) 2001-07-10 2005-06-11 Tokyo Electron Ltd Plasma procesor and plasma processing method
WO2003023835A1 (en) * 2001-08-06 2003-03-20 Genitech Co., Ltd. Plasma enhanced atomic layer deposition (peald) equipment and method of forming a conducting thin film using the same thereof
US20030045098A1 (en) * 2001-08-31 2003-03-06 Applied Materials, Inc. Method and apparatus for processing a wafer
US6855906B2 (en) * 2001-10-16 2005-02-15 Adam Alexander Brailove Induction plasma reactor
US6761804B2 (en) * 2002-02-11 2004-07-13 Applied Materials, Inc. Inverted magnetron
US6962644B2 (en) * 2002-03-18 2005-11-08 Applied Materials, Inc. Tandem etch chamber plasma processing system
FR2838020B1 (fr) * 2002-03-28 2004-07-02 Centre Nat Rech Scient Dispositif de confinement de plasma
US20030188685A1 (en) * 2002-04-08 2003-10-09 Applied Materials, Inc. Laser drilled surfaces for substrate processing chambers
US7013834B2 (en) 2002-04-19 2006-03-21 Nordson Corporation Plasma treatment system
US6936546B2 (en) * 2002-04-26 2005-08-30 Accretech Usa, Inc. Apparatus for shaping thin films in the near-edge regions of in-process semiconductor substrates
US20030213560A1 (en) * 2002-05-16 2003-11-20 Yaxin Wang Tandem wafer processing system and process
JP2004014904A (ja) * 2002-06-10 2004-01-15 Tokyo Ohka Kogyo Co Ltd 同時放電化装置
TWI283899B (en) * 2002-07-09 2007-07-11 Applied Materials Inc Capacitively coupled plasma reactor with magnetic plasma control
US6902774B2 (en) * 2002-07-25 2005-06-07 Inficon Gmbh Method of manufacturing a device
US7256132B2 (en) * 2002-07-31 2007-08-14 Applied Materials, Inc. Substrate centering apparatus and method
JP4775834B2 (ja) * 2002-08-05 2011-09-21 東京エレクトロン株式会社 エッチング方法
US20040027781A1 (en) * 2002-08-12 2004-02-12 Hiroji Hanawa Low loss RF bias electrode for a plasma reactor with enhanced wafer edge RF coupling and highly efficient wafer cooling
US20030015965A1 (en) * 2002-08-15 2003-01-23 Valery Godyak Inductively coupled plasma reactor
JP2004087738A (ja) * 2002-08-26 2004-03-18 Tokyo Electron Ltd Siエッチング方法
US6887317B2 (en) * 2002-09-10 2005-05-03 Applied Materials, Inc. Reduced friction lift pin
US7411352B2 (en) * 2002-09-19 2008-08-12 Applied Process Technologies, Inc. Dual plasma beam sources and method
US7252738B2 (en) * 2002-09-20 2007-08-07 Lam Research Corporation Apparatus for reducing polymer deposition on a substrate and substrate support
US7240679B2 (en) * 2002-09-30 2007-07-10 Lam Research Corporation System for substrate processing with meniscus, vacuum, IPA vapor, drying manifold
US6988327B2 (en) * 2002-09-30 2006-01-24 Lam Research Corporation Methods and systems for processing a substrate using a dynamic liquid meniscus
US7069937B2 (en) * 2002-09-30 2006-07-04 Lam Research Corporation Vertical proximity processor
US7513262B2 (en) 2002-09-30 2009-04-07 Lam Research Corporation Substrate meniscus interface and methods for operation
KR100488348B1 (ko) * 2002-11-14 2005-05-10 최대규 플라즈마 프로세스 챔버 및 시스템
JP4087234B2 (ja) 2002-12-05 2008-05-21 株式会社アルバック プラズマ処理装置及びプラズマ処理方法
NL1022155C2 (nl) 2002-12-12 2004-06-22 Otb Group Bv Werkwijze, alsmede inrichting voor het behandelen van een oppervlak van ten minste één substraat.
US7163602B2 (en) * 2003-03-07 2007-01-16 Ogle John S Apparatus for generating planar plasma using concentric coils and ferromagnetic cores
US7824520B2 (en) * 2003-03-26 2010-11-02 Semiconductor Energy Laboratory Co., Ltd. Plasma treatment apparatus
JP2004296729A (ja) 2003-03-26 2004-10-21 Semiconductor Energy Lab Co Ltd 半導体装置の作製方法
JP4460940B2 (ja) * 2003-05-07 2010-05-12 株式会社ニューパワープラズマ 多重放電管ブリッジを備えた誘導プラズマチャンバ
US8580076B2 (en) * 2003-05-22 2013-11-12 Lam Research Corporation Plasma apparatus, gas distribution assembly for a plasma apparatus and processes therewith
WO2004107825A1 (ja) * 2003-05-30 2004-12-09 Tokyo Electron Limited プラズマ源及びプラズマ処理装置
JP4607517B2 (ja) 2003-09-03 2011-01-05 東京エレクトロン株式会社 プラズマ処理装置
US9771648B2 (en) * 2004-08-13 2017-09-26 Zond, Inc. Method of ionized physical vapor deposition sputter coating high aspect-ratio structures
US20050103620A1 (en) * 2003-11-19 2005-05-19 Zond, Inc. Plasma source with segmented magnetron cathode
US7464662B2 (en) * 2004-01-28 2008-12-16 Tokyo Electron Limited Compact, distributed inductive element for large scale inductively-coupled plasma sources
US7785672B2 (en) * 2004-04-20 2010-08-31 Applied Materials, Inc. Method of controlling the film properties of PECVD-deposited thin films
JP2006114884A (ja) * 2004-09-17 2006-04-27 Ebara Corp 基板洗浄処理装置及び基板処理ユニット
US7323116B2 (en) * 2004-09-27 2008-01-29 Lam Research Corporation Methods and apparatus for monitoring a process in a plasma processing system by measuring self-bias voltage
US20060236931A1 (en) * 2005-04-25 2006-10-26 Varian Semiconductor Equipment Associates, Inc. Tilted Plasma Doping
EP1727186B1 (en) * 2005-05-23 2012-01-25 New Power Plasma Co., Ltd. Plasma chamber with discharge inducing bridge
US20070032081A1 (en) * 2005-08-08 2007-02-08 Jeremy Chang Edge ring assembly with dielectric spacer ring
US7641762B2 (en) * 2005-09-02 2010-01-05 Applied Materials, Inc. Gas sealing skirt for suspended showerhead in process chamber
RU2414766C2 (ru) 2005-09-09 2011-03-20 Улвак, Инк. Источник ионов и устройство для плазменной обработки
US7895970B2 (en) * 2005-09-29 2011-03-01 Tokyo Electron Limited Structure for plasma processing chamber, plasma processing chamber, plasma processing apparatus, and plasma processing chamber component
US8092638B2 (en) 2005-10-11 2012-01-10 Applied Materials Inc. Capacitively coupled plasma reactor having a cooled/heated wafer support with uniform temperature distribution
US7397232B2 (en) 2005-10-21 2008-07-08 The University Of Akron Coulter counter having a plurality of channels
KR100663668B1 (ko) 2005-12-07 2007-01-09 주식회사 뉴파워 프라즈마 복수의 기판을 병렬 배치 처리하기 위한 플라즈마 처리장치
JP2007191792A (ja) * 2006-01-19 2007-08-02 Atto Co Ltd ガス分離型シャワーヘッド
KR100785164B1 (ko) 2006-02-04 2007-12-11 위순임 다중 출력 원격 플라즈마 발생기 및 이를 구비한 기판 처리시스템
US7740705B2 (en) * 2006-03-08 2010-06-22 Tokyo Electron Limited Exhaust apparatus configured to reduce particle contamination in a deposition system
US8231799B2 (en) * 2006-04-28 2012-07-31 Applied Materials, Inc. Plasma reactor apparatus with multiple gas injection zones having time-changing separate configurable gas compositions for each zone
JP5069427B2 (ja) 2006-06-13 2012-11-07 北陸成型工業株式会社 シャワープレート、並びにそれを用いたプラズマ処理装置、プラズマ処理方法及び電子装置の製造方法
US7879184B2 (en) * 2006-06-20 2011-02-01 Lam Research Corporation Apparatuses, systems and methods for rapid cleaning of plasma confinement rings with minimal erosion of other chamber parts
US7837826B2 (en) * 2006-07-18 2010-11-23 Lam Research Corporation Hybrid RF capacitively and inductively coupled plasma source using multifrequency RF powers and methods of use thereof
DE102006048816A1 (de) 2006-10-16 2008-04-17 Iplas Innovative Plasma Systems Gmbh Vorrichtung und Verfahren zur lokalen Erzeugung von Mikrowellenplasmen
US7780866B2 (en) * 2006-11-15 2010-08-24 Applied Materials, Inc. Method of plasma confinement for enhancing magnetic control of plasma radial distribution
KR100978754B1 (ko) * 2008-04-03 2010-08-30 주식회사 테스 플라즈마 처리 장치
KR20090106617A (ko) * 2007-01-19 2009-10-09 어플라이드 머티어리얼스, 인코포레이티드 플라스마 함침 챔버
KR100868019B1 (ko) * 2007-01-30 2008-11-10 삼성전자주식회사 플라즈마 쉬쓰 제어기를 갖는 이온 빔 장치
US20080179008A1 (en) * 2007-01-30 2008-07-31 Collins Kenneth S Reactor for wafer backside polymer removal using an etch plasma feeding a lower process zone and a scavenger plasma feeding an upper process zone
US7897213B2 (en) 2007-02-08 2011-03-01 Lam Research Corporation Methods for contained chemical surface treatment
JP2008244292A (ja) * 2007-03-28 2008-10-09 Hitachi High-Technologies Corp プラズマ処理装置の処理性能安定化方法
US7824519B2 (en) * 2007-05-18 2010-11-02 Lam Research Corporation Variable volume plasma processing chamber and associated methods
WO2008154222A1 (en) * 2007-06-06 2008-12-18 Mks Instruments, Inc. Particle reduction through gas and plasma source control
KR101418438B1 (ko) * 2007-07-10 2014-07-14 삼성전자주식회사 플라즈마 발생장치
US20090025879A1 (en) 2007-07-26 2009-01-29 Shahid Rauf Plasma reactor with reduced electrical skew using a conductive baffle
US8343305B2 (en) * 2007-09-04 2013-01-01 Lam Research Corporation Method and apparatus for diagnosing status of parts in real time in plasma processing equipment
US8771483B2 (en) 2007-09-05 2014-07-08 Intermolecular, Inc. Combinatorial process system
US8039052B2 (en) 2007-09-06 2011-10-18 Intermolecular, Inc. Multi-region processing system and heads
US20090109595A1 (en) 2007-10-31 2009-04-30 Sokudo Co., Ltd. Method and system for performing electrostatic chuck clamping in track lithography tools
WO2009082763A2 (en) * 2007-12-25 2009-07-02 Applied Materials, Inc. Method and apparatus for controlling plasma uniformity
US8129288B2 (en) 2008-05-02 2012-03-06 Intermolecular, Inc. Combinatorial plasma enhanced deposition techniques
WO2009099660A2 (en) 2008-02-08 2009-08-13 Lam Research Corporation Adjustable gap capacitively coupled rf plasma reactor including lateral bellows and non-contact particle seal
SG188141A1 (en) 2008-02-08 2013-03-28 Lam Res Corp A protective coating for a plasma processing chamber part and a method of use
US8409459B2 (en) * 2008-02-28 2013-04-02 Tokyo Electron Limited Hollow cathode device and method for using the device to control the uniformity of a plasma process
US7713757B2 (en) 2008-03-14 2010-05-11 Applied Materials, Inc. Method for measuring dopant concentration during plasma ion implantation
US7558045B1 (en) 2008-03-20 2009-07-07 Novellus Systems, Inc. Electrostatic chuck assembly with capacitive sense feature, and related operating method
JP5294669B2 (ja) 2008-03-25 2013-09-18 東京エレクトロン株式会社 プラズマ処理装置
US8236133B2 (en) 2008-05-05 2012-08-07 Applied Materials, Inc. Plasma reactor with center-fed multiple zone gas distribution for improved uniformity of critical dimension bias
JP5524453B2 (ja) 2008-05-15 2014-06-18 Sumco Techxiv株式会社 シリコンウェーハのエッチング方法及びエッチング装置
US8679288B2 (en) 2008-06-09 2014-03-25 Lam Research Corporation Showerhead electrode assemblies for plasma processing apparatuses
US8206552B2 (en) 2008-06-25 2012-06-26 Applied Materials, Inc. RF power delivery system in a semiconductor apparatus
JP5144594B2 (ja) 2008-06-30 2013-02-13 ヤフー株式会社 サーバ装置、サーバ装置における予測方法及びプログラム
JP2010041028A (ja) * 2008-07-11 2010-02-18 Tokyo Electron Ltd 基板処理方法
KR101046335B1 (ko) 2008-07-29 2011-07-05 피에스케이 주식회사 할로우 캐소드 플라즈마 발생방법 및 할로우 캐소드플라즈마를 이용한 대면적 기판 처리방법
US20110135843A1 (en) 2008-07-30 2011-06-09 Kyocera Corporation Deposited Film Forming Device and Deposited Film Forming Method
US20100024729A1 (en) * 2008-08-04 2010-02-04 Xinmin Cao Methods and apparatuses for uniform plasma generation and uniform thin film deposition
KR20100031960A (ko) 2008-09-17 2010-03-25 삼성전자주식회사 플라즈마 발생장치
JP5295833B2 (ja) 2008-09-24 2013-09-18 株式会社東芝 基板処理装置および基板処理方法
US20100116788A1 (en) 2008-11-12 2010-05-13 Lam Research Corporation Substrate temperature control by using liquid controlled multizone substrate support
US8099995B2 (en) 2008-12-16 2012-01-24 Agilent Technologies, Inc. Choked flow isolator for noise reduction in analytical systems
US8503151B2 (en) 2009-09-30 2013-08-06 Lam Research Corporation Plasma arrestor insert
JP2013503494A (ja) 2009-08-31 2013-01-31 ラム リサーチ コーポレーション プラズマ閉じ込めを実施するためのマルチペリフェラルリング構成
US8999104B2 (en) 2010-08-06 2015-04-07 Lam Research Corporation Systems, methods and apparatus for separate plasma source control
US8999856B2 (en) * 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
JP5166595B2 (ja) 2011-12-16 2013-03-21 株式会社藤商事 遊技機

Also Published As

Publication number Publication date
TW201140691A (en) 2011-11-16
KR20130047682A (ko) 2013-05-08
JP2013520836A (ja) 2013-06-06
KR101765360B1 (ko) 2017-08-07
TWI527115B (zh) 2016-03-21
US20160049304A1 (en) 2016-02-18
US20110212624A1 (en) 2011-09-01
US9190289B2 (en) 2015-11-17
WO2011106129A1 (en) 2011-09-01
CN102771192B (zh) 2016-06-29
SG10201501320VA (en) 2015-04-29
CN102771192A (zh) 2012-11-07
SG183268A1 (en) 2012-09-27
US9735020B2 (en) 2017-08-15

Similar Documents

Publication Publication Date Title
JP5950831B2 (ja) イオン発生と処理ガスの解離の独立制御を有するプラズマエッチングのためのシステム、方法、および装置
KR102402866B1 (ko) 고 종횡비의 구조체들의 콘택 세정
JP6042498B2 (ja) 基板の高精度エッチング方法
US9520303B2 (en) Aluminum selective etch
TWI621186B (zh) 在增大的電漿處理系統中之電漿加強蝕刻
US10854426B2 (en) Metal recess for semiconductor structures
US9779961B2 (en) Etching method
US20160293440A1 (en) Etching method
KR20150048646A (ko) 감소된 트리밍 레이트에서 탄소 함유 막을 트리밍하는 방법
JPWO2012002232A1 (ja) プラズマ処理装置及び方法
CN112119485B (zh) 等离子处理方法
US10453699B2 (en) Etching method and etching apparatus
US20220181162A1 (en) Etching apparatus
JP2014096500A (ja) プラズマエッチング方法及びプラズマエッチング装置
KR102362446B1 (ko) 에칭 방법
JP2018522417A (ja) 調節可能な遠隔解離
KR101828082B1 (ko) 표면 평탄화 방법
US20190362983A1 (en) Systems and methods for etching oxide nitride stacks
US20230386830A1 (en) Highly conformal metal etch in high aspect ratio semiconductor features
US20220301867A1 (en) Methods and apparatus for processing a substrate

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20140124

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20141218

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20150106

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20150403

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20151110

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20160308

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20160315

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20160517

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20160607

R150 Certificate of patent or registration of utility model

Ref document number: 5950831

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250