JP5950831B2 - イオン発生と処理ガスの解離の独立制御を有するプラズマエッチングのためのシステム、方法、および装置 - Google Patents
イオン発生と処理ガスの解離の独立制御を有するプラズマエッチングのためのシステム、方法、および装置 Download PDFInfo
- Publication number
- JP5950831B2 JP5950831B2 JP2012555013A JP2012555013A JP5950831B2 JP 5950831 B2 JP5950831 B2 JP 5950831B2 JP 2012555013 A JP2012555013 A JP 2012555013A JP 2012555013 A JP2012555013 A JP 2012555013A JP 5950831 B2 JP5950831 B2 JP 5950831B2
- Authority
- JP
- Japan
- Prior art keywords
- hollow cathode
- plasma
- conductive layer
- upper electrode
- cathode cavities
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Active
Links
- 238000000034 method Methods 0.000 title claims description 59
- 238000010494 dissociation reaction Methods 0.000 title description 37
- 230000005593 dissociations Effects 0.000 title description 37
- 230000008569 process Effects 0.000 title description 27
- 238000001020 plasma etching Methods 0.000 title description 7
- 239000007789 gas Substances 0.000 claims description 174
- 238000012545 processing Methods 0.000 claims description 103
- 239000000203 mixture Substances 0.000 claims description 55
- 238000005530 etching Methods 0.000 claims description 36
- 238000002347 injection Methods 0.000 claims description 26
- 239000007924 injection Substances 0.000 claims description 26
- NBVXSUQYWXRMNV-UHFFFAOYSA-N fluoromethane Chemical compound FC NBVXSUQYWXRMNV-UHFFFAOYSA-N 0.000 claims description 20
- 239000013626 chemical specie Substances 0.000 claims description 8
- 239000004065 semiconductor Substances 0.000 claims description 7
- 238000001816 cooling Methods 0.000 claims description 6
- 239000006227 byproduct Substances 0.000 claims description 5
- 239000002994 raw material Substances 0.000 claims description 5
- 238000002513 implantation Methods 0.000 claims description 3
- 239000011261 inert gas Substances 0.000 claims description 2
- 150000002500 ions Chemical class 0.000 description 20
- 230000004907 flux Effects 0.000 description 11
- 150000003254 radicals Chemical class 0.000 description 10
- 125000004429 atom Chemical group 0.000 description 7
- 150000004820 halides Chemical class 0.000 description 7
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 6
- 238000010586 diagram Methods 0.000 description 6
- -1 fluorine atom radicals Chemical class 0.000 description 5
- 238000006116 polymerization reaction Methods 0.000 description 5
- 230000005284 excitation Effects 0.000 description 4
- 238000010849 ion bombardment Methods 0.000 description 4
- 239000000463 material Substances 0.000 description 4
- 229910004298 SiO 2 Inorganic materials 0.000 description 3
- 238000013459 approach Methods 0.000 description 3
- 229910052786 argon Inorganic materials 0.000 description 3
- 238000013500 data storage Methods 0.000 description 3
- 230000000694 effects Effects 0.000 description 3
- 229910052731 fluorine Inorganic materials 0.000 description 3
- 230000003287 optical effect Effects 0.000 description 3
- PXGOKWXKJXAPGV-UHFFFAOYSA-N Fluorine Chemical compound FF PXGOKWXKJXAPGV-UHFFFAOYSA-N 0.000 description 2
- 230000008901 benefit Effects 0.000 description 2
- 238000006243 chemical reaction Methods 0.000 description 2
- 238000004590 computer program Methods 0.000 description 2
- 230000008878 coupling Effects 0.000 description 2
- 238000010168 coupling process Methods 0.000 description 2
- 238000005859 coupling reaction Methods 0.000 description 2
- 239000011737 fluorine Substances 0.000 description 2
- 238000002156 mixing Methods 0.000 description 2
- 230000007935 neutral effect Effects 0.000 description 2
- 238000002161 passivation Methods 0.000 description 2
- 229920000642 polymer Polymers 0.000 description 2
- 239000000126 substance Substances 0.000 description 2
- 239000000758 substrate Substances 0.000 description 2
- 229910052724 xenon Inorganic materials 0.000 description 2
- FHNFHKCVQCLJFQ-UHFFFAOYSA-N xenon atom Chemical compound [Xe] FHNFHKCVQCLJFQ-UHFFFAOYSA-N 0.000 description 2
- 229910004205 SiNX Inorganic materials 0.000 description 1
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 1
- 229910052782 aluminium Inorganic materials 0.000 description 1
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 1
- 230000015572 biosynthetic process Effects 0.000 description 1
- 239000000919 ceramic Substances 0.000 description 1
- 239000012707 chemical precursor Substances 0.000 description 1
- 239000007795 chemical reaction product Substances 0.000 description 1
- 239000004020 conductor Substances 0.000 description 1
- 239000000470 constituent Substances 0.000 description 1
- 239000002826 coolant Substances 0.000 description 1
- 238000000151 deposition Methods 0.000 description 1
- 230000008021 deposition Effects 0.000 description 1
- 239000003989 dielectric material Substances 0.000 description 1
- 238000009792 diffusion process Methods 0.000 description 1
- 238000009826 distribution Methods 0.000 description 1
- 238000011067 equilibration Methods 0.000 description 1
- 238000007667 floating Methods 0.000 description 1
- 230000005283 ground state Effects 0.000 description 1
- 230000017525 heat dissipation Effects 0.000 description 1
- 238000010438 heat treatment Methods 0.000 description 1
- 238000009616 inductively coupled plasma Methods 0.000 description 1
- 238000004519 manufacturing process Methods 0.000 description 1
- 229910052751 metal Inorganic materials 0.000 description 1
- 239000002184 metal Substances 0.000 description 1
- 238000012986 modification Methods 0.000 description 1
- 230000004048 modification Effects 0.000 description 1
- 230000006855 networking Effects 0.000 description 1
- 238000004886 process control Methods 0.000 description 1
- 230000009257 reactivity Effects 0.000 description 1
- 239000003507 refrigerant Substances 0.000 description 1
- 229910052710 silicon Inorganic materials 0.000 description 1
- 239000010703 silicon Substances 0.000 description 1
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 description 1
- 229910010271 silicon carbide Inorganic materials 0.000 description 1
- 230000007480 spreading Effects 0.000 description 1
- 238000003892 spreading Methods 0.000 description 1
- 229910001220 stainless steel Inorganic materials 0.000 description 1
- 239000010935 stainless steel Substances 0.000 description 1
- 238000003860 storage Methods 0.000 description 1
- 239000013077 target material Substances 0.000 description 1
- TXEYQDLBPFQVAA-UHFFFAOYSA-N tetrafluoromethane Chemical compound FC(F)(F)F TXEYQDLBPFQVAA-UHFFFAOYSA-N 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/302—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
- H01L21/306—Chemical or electrical treatment, e.g. electrolytic etching
- H01L21/3065—Plasma etching; Reactive-ion etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32532—Electrodes
- H01J37/32596—Hollow cathodes
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32532—Electrodes
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02296—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
- H01L21/02299—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
- H01L21/02312—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
- H01L21/02315—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31105—Etching inorganic layers
- H01L21/31111—Etching inorganic layers by chemical means
- H01L21/31116—Etching inorganic layers by chemical means by dry-etching
-
- H—ELECTRICITY
- H05—ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
- H05H—PLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
- H05H1/00—Generating plasma; Handling plasma
- H05H1/24—Generating plasma
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J2237/00—Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
- H01J2237/18—Vacuum control means
- H01J2237/182—Obtaining or maintaining desired pressure
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32532—Electrodes
- H01J37/32541—Shape
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Chemical & Material Sciences (AREA)
- Plasma & Fusion (AREA)
- Computer Hardware Design (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Analytical Chemistry (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Chemical Kinetics & Catalysis (AREA)
- General Chemical & Material Sciences (AREA)
- Inorganic Chemistry (AREA)
- Spectroscopy & Molecular Physics (AREA)
- Drying Of Semiconductors (AREA)
- Plasma Technology (AREA)
Description
Claims (20)
- 半導体ウェハをエッチングする方法であって、
原料ガス混合物を処理室内に注入することであって、
原料ガス混合物を前記処理室の上部電極であって、第1の導電層と前記第1の導電層の下側に配置されている第1の絶縁層と、前記第1の絶縁層の下方に配置され複数の中空陰極キャビティを含む第2の導電層と、前記第2の導電層の下方に配置されている第2の絶縁層と、前記第2の絶縁層の下方に配置されている第3の導電層とを有する上部電極における前記複数の中空陰極キャビティ内に注入すること、
前記複数の中空陰極キャビティに第1のバイアス信号を印加することおよび前記上部電極において前記第1の導電層の上側に配置されている温度制御層によって前記上部電極を冷却することを含む、前記複数の中空陰極キャビティの各々においてプラズマを発生させること、
前記発生させたプラズマを、前記複数の中空陰極キャビティの各々の対応する出口から、前記処理室内のウェハ処理領域に出力すること、ウェハ処理領域は前記複数の中空陰極キャビティの各々の前記出口とエッチング対象の表面との間に配置されていることと
を含む、原料ガス混合物を処理室内に注入すること、
エッチャントガス混合物を前記ウェハ処理領域内に注入することと、前記エッチャントガス混合物は前記複数の中空陰極キャビティの前記出口から出力される前記プラズマと混ざり合うように、前記上部電極における複数の注入ポートを介して注入され、前記ウェハ処理領域において所望の化学種を発生させることを含むエッチャントガス混合物を前記ウェハ処理領域に注入すること、前記複数の中空陰極キャビティの各々の前記出口から流出する前記原料ガス混合物と前記プラズマによって、前記エッチャントガス混合物が前記複数の中空陰極キャビティの各々の前記出口内に流入することが実質的に阻止され、
前記エッチング対象の表面をエッチングすること、
とを備える、半導体ウェハをエッチングする方法。 - 前記複数の中空陰極キャビティにバイアスをかけることは、前記第2の導電層に前記第1のバイアス信号を印加することを含み、前記複数の中空陰極キャビティは前記第2の導電層に形成される、請求項1に記載の方法。
- 前記第1のバイアス信号は、RFバイアス信号を含む、請求項1に記載の方法。
- 前記第1のバイアス信号は、約1MHzから約15MHzの範囲内のRF信号を含む、請求項1に記載の方法。
- 前記複数の注入ポートは、前記上部電極の前記ウェハ処理領域表面にわたって実質的に分散されている、請求項1に記載の方法。
- 前記複数の中空陰極キャビティは、前記上部電極の前記ウェハ処理領域表面にわたって実質的に分散されている、請求項1に記載の方法。
- 前記複数の中空陰極キャビティおよび前記複数の注入ポートは、前記上部電極の前記ウェハ処理領域表面にわたって、ほぼ均等に散在している、請求項1に記載の方法。
- 前記発生したプラズマを前記複数の中空陰極キャビティの各々の対応する前記出口から出力することは、前記上部電極に第2のバイアス信号を印加することを含む、請求項1に記載の方法。
- 前記複数の中空陰極キャビティの各々においてプラズマを発生させることは、前記複数の中空陰極キャビティの前記出口に第3のバイアス信号を印加することを含む、請求項1に記載の方法。
- 前記複数の中空陰極キャビティの各々においてプラズマを発生させることは、前記複数の中空陰極キャビティの前記出口に第3のバイアス信号を印加することを含み、前記第3のバイアス信号は接地電位である、請求項1に記載の方法。
- 前記エッチング対象の表面をエッチングすることは、前記ウェハ処理領域からエッチング副生成物を除去することを含む、請求項1に記載の方法。
- 前記複数の中空陰極キャビティは、複数の中空陰極トレンチを含む、請求項1に記載の方法。
- 前記上部電極の中の複数の注入ポートは、複数の注入トレンチを含む、請求項1に記載の方法。
- 前記原料ガス混合物は不活性ガスである、請求項1に記載の方法。
- 前記エッチャントガス混合物は、フッ化炭素含有ガスを含む、請求項1に記載の方法。
- 前記複数の中空陰極キャビティの各々の前記出口は、プラズマシース厚の2倍よりも大きい幅を有する、請求項1に記載の方法。
- 原料ガス混合物源と、
エッチャントガス源と、
処理室と、
を備える、エッチング種を発生させるためのシステムであって、
前記処理室は、
上部電極であって、
前記上部電極を冷却するための温度制御層と、
前記温度制御層の下側に配置されている第1の導電層と、
前記第1の導電層の下側に配置されている第1の絶縁層と、
その各々の入口に前記原料ガス混合物源が接続されている複数の中空陰極キャビティを含み、前記第1の絶縁層の下方に配置されている第2の導電層と、
前記第2の導電層の下方に配置されている第2の絶縁層と、
前記第2の絶縁層の下方に配置されている第3の導電層と、
前記複数の中空陰極キャビティの各々に接続されている第1のバイアス信号源と、
前記複数の中空陰極キャビティの各々に対応する出口であって、前記処理室内のウェハ処理領域に対して開口している対応する出口と、前記複数の中空陰極キャビティの各々の前記出口とエッチング対象の表面との間に前記ウェハ処理領域が配置され、
前記エッチャントガス源に接続されている複数の注入ポートであって、前記ウェハ処理領域に前記エッチャントガスを注入することができる、前記複数の注入ポートと、を含む、上部電極と、
前記エッチング対象の表面を含む半導体ウェハを支持するための下部電極と、
を含む、システム。 - 前記対応する出口の各々は、プラズマシース厚の2倍よりも大きい幅を有する、請求項17に記載のシステム。
- 前記対応する出口の各々は、プラズマシース厚の2倍以下の幅を有する、請求項17に記載のシステム。
- 半導体ウェハをエッチングする方法であって、
原料ガス混合物を処理室内に注入することであって、
前記原料ガス混合物を前記処理室の上部電極であって、第1の導電層と前記第1の導電層の下側に配置されている第1の絶縁層と、前記第1の絶縁層の下方に配置され複数の中空陰極キャビティを含む第2の導電層と、前記第2の導電層の下方に配置されている第2の絶縁層と、前記第2の絶縁層の下方に配置されている第3の導電層とを有する上部電極における前記複数の中空陰極キャビティ内に注入すること、
前記複数の中空陰極キャビティに第1のバイアス信号を印加することおよび前記上部電極において前記第1の導電層の上側に配置されている温度制御層によって前記上部電極を冷却することを含む、前記複数の中空陰極キャビティの各々においてプラズマを発生させること、
前記中空陰極キャビティにおいて活性種を発生させること、
前記発生させた活性種を、前記複数の中空陰極キャビティの各々の対応する出口から、前記処理室内のウェハ処理領域に出力すること、前記ウェハ処理領域は前記複数の中空陰極キャビティの各々の前記出口とエッチング対象の表面との間に配置されていること
を含む、前記処理室内に前記原料ガス混合物を注入することと、
エッチャントガス混合物を前記ウェハ処理領域に注入することであって、エッチャントガス混合物は前記複数の中空陰極キャビティの前記出口から出力される前記活性種と混ざり合うように、前記上部電極における複数の注入ポートを介して注入され、前記ウェハ処理領域内でプラズマを発生させることを含むエッチャントガス混合物を前記ウェハ処理領域に注入すること、
前記ウェハ処理領域内で所望の化学種を発生させることと、前記複数の中空陰極キャビティの各々の前記出口はプラズマシース厚の2倍未満の幅を有し、前記複数の中空陰極キャビティの各々の前記出口から流出する前記活性種によって、前記エッチャントガス混合物が前記複数の中空陰極キャビティの各々の前記出口内に流入することが、実質的に阻止され、
前記エッチング対象の表面をエッチングすること
とを含む、半導体ウェハをエッチングする方法。
Applications Claiming Priority (3)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US12/713,523 US9190289B2 (en) | 2010-02-26 | 2010-02-26 | System, method and apparatus for plasma etch having independent control of ion generation and dissociation of process gas |
US12/713,523 | 2010-02-26 | ||
PCT/US2011/023021 WO2011106129A1 (en) | 2010-02-26 | 2011-01-28 | System. method and apparatus for plasma etch having independent control of ion generation and dissociation of process gas |
Publications (2)
Publication Number | Publication Date |
---|---|
JP2013520836A JP2013520836A (ja) | 2013-06-06 |
JP5950831B2 true JP5950831B2 (ja) | 2016-07-13 |
Family
ID=44505522
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2012555013A Active JP5950831B2 (ja) | 2010-02-26 | 2011-01-28 | イオン発生と処理ガスの解離の独立制御を有するプラズマエッチングのためのシステム、方法、および装置 |
Country Status (7)
Country | Link |
---|---|
US (2) | US9190289B2 (ja) |
JP (1) | JP5950831B2 (ja) |
KR (1) | KR101765360B1 (ja) |
CN (1) | CN102771192B (ja) |
SG (2) | SG10201501320VA (ja) |
TW (1) | TWI527115B (ja) |
WO (1) | WO2011106129A1 (ja) |
Families Citing this family (23)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
TWI641292B (zh) | 2008-08-04 | 2018-11-11 | Agc北美平面玻璃公司 | 電漿源 |
US20130059448A1 (en) * | 2011-09-07 | 2013-03-07 | Lam Research Corporation | Pulsed Plasma Chamber in Dual Chamber Configuration |
US9111728B2 (en) | 2011-04-11 | 2015-08-18 | Lam Research Corporation | E-beam enhanced decoupled source for semiconductor processing |
US8980046B2 (en) * | 2011-04-11 | 2015-03-17 | Lam Research Corporation | Semiconductor processing system with source for decoupled ion and radical control |
US8900403B2 (en) | 2011-05-10 | 2014-12-02 | Lam Research Corporation | Semiconductor processing system having multiple decoupled plasma sources |
US20130098873A1 (en) * | 2011-10-20 | 2013-04-25 | Applied Materials, Inc. | Overhead electron beam source for plasma ion generation in a workpiece processing region |
US20140165911A1 (en) * | 2012-12-14 | 2014-06-19 | Applied Materials, Inc. | Apparatus for providing plasma to a process chamber |
US9443700B2 (en) | 2013-03-12 | 2016-09-13 | Applied Materials, Inc. | Electron beam plasma source with segmented suppression electrode for uniform plasma generation |
US9431218B2 (en) | 2013-03-15 | 2016-08-30 | Tokyo Electron Limited | Scalable and uniformity controllable diffusion plasma source |
CN107615888B (zh) | 2014-12-05 | 2022-01-04 | 北美Agc平板玻璃公司 | 利用宏粒子减少涂层的等离子体源和将等离子体源用于沉积薄膜涂层和表面改性的方法 |
EP3228160B1 (en) * | 2014-12-05 | 2021-07-21 | AGC Glass Europe SA | Hollow cathode plasma source |
US10475626B2 (en) | 2015-03-17 | 2019-11-12 | Applied Materials, Inc. | Ion-ion plasma atomic layer etch process and reactor |
US10386829B2 (en) * | 2015-09-18 | 2019-08-20 | Kla-Tencor Corporation | Systems and methods for controlling an etch process |
US20170092470A1 (en) * | 2015-09-28 | 2017-03-30 | Applied Materials, Inc. | Plasma reactor for processing a workpiece with an array of plasma point sources |
US9721765B2 (en) | 2015-11-16 | 2017-08-01 | Agc Flat Glass North America, Inc. | Plasma device driven by multiple-phase alternating or pulsed electrical current |
US10573499B2 (en) | 2015-12-18 | 2020-02-25 | Agc Flat Glass North America, Inc. | Method of extracting and accelerating ions |
US10242846B2 (en) | 2015-12-18 | 2019-03-26 | Agc Flat Glass North America, Inc. | Hollow cathode ion source |
US10845375B2 (en) * | 2016-02-19 | 2020-11-24 | Agjunction Llc | Thermal stabilization of inertial measurement units |
JP7008474B2 (ja) * | 2016-11-30 | 2022-01-25 | 東京エレクトロン株式会社 | プラズマエッチング方法 |
KR102455239B1 (ko) * | 2017-10-23 | 2022-10-18 | 삼성전자주식회사 | 플라즈마 처리 장치 및 그를 이용한 반도체 소자의 제조방법 |
KR102455231B1 (ko) | 2017-10-23 | 2022-10-18 | 삼성전자주식회사 | 픽셀화된 플라즈마를 생성하는 할로우 캐소드, 반도체 소자의 제조장치 및 그의 제조방법 |
EP3785494A4 (en) * | 2018-06-14 | 2022-01-26 | MKS Instruments, Inc. | REMOTE PLASMA SOURCE RADICAL OUTPUT MONITOR AND METHOD OF USE |
US10342110B1 (en) * | 2018-09-14 | 2019-07-02 | Serendipity Technologies LLC. | Plasma power generator (z-box and z-tower) |
Family Cites Families (156)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US4276557A (en) * | 1978-12-29 | 1981-06-30 | Bell Telephone Laboratories, Incorporated | Integrated semiconductor circuit structure and method for making it |
JPS644481Y2 (ja) | 1980-09-13 | 1989-02-06 | ||
US4340462A (en) * | 1981-02-13 | 1982-07-20 | Lam Research Corporation | Adjustable electrode plasma processing chamber |
JPS61189642A (ja) | 1985-02-18 | 1986-08-23 | Mitsubishi Electric Corp | プラズマ反応装置 |
CA1331163C (en) | 1986-04-18 | 1994-08-02 | Applied Materials, Inc. | Multiple-processing and contamination-free plasma etching system |
JPH0794483B2 (ja) | 1987-02-04 | 1995-10-11 | 旭電化工業株式会社 | サイクロデキストリン類脂肪酸エステルの製造法 |
KR960016218B1 (ko) * | 1987-06-05 | 1996-12-07 | 가부시기가이샤 히다찌세이사꾸쇼 | 표면처리방법 및 그 장치 |
JPS644481A (en) * | 1987-06-24 | 1989-01-09 | Minoru Sugawara | Parallel-plate discharge electrode |
RU2094961C1 (ru) | 1989-07-20 | 1997-10-27 | Уланов Игорь Максимович | Трансформаторный плазмотрон |
RU2022917C1 (ru) | 1989-09-27 | 1994-11-15 | Уланов Игорь Максимович | Способ получения окиси азота |
RU2056702C1 (ru) | 1990-07-09 | 1996-03-20 | Уланов Игорь Максимович | Трансформаторный плазмотрон |
US6444137B1 (en) * | 1990-07-31 | 2002-09-03 | Applied Materials, Inc. | Method for processing substrates using gaseous silicon scavenger |
US5183990A (en) * | 1991-04-12 | 1993-02-02 | The Lincoln Electric Company | Method and circuit for protecting plasma nozzle |
JPH05144594A (ja) | 1991-11-19 | 1993-06-11 | Ebara Corp | 放電プラズマ発生装置 |
JPH05166595A (ja) | 1991-12-12 | 1993-07-02 | Fuji Denpa Koki Kk | 高気圧高密度プラズマ発生方法 |
EP0552491B1 (en) * | 1992-01-24 | 1998-07-15 | Applied Materials, Inc. | Plasma etch process and plasma processing reactor |
US5302237A (en) * | 1992-02-13 | 1994-04-12 | The United States Of America As Represented By The Secretary Of Commerce | Localized plasma processing |
US5505780A (en) * | 1992-03-18 | 1996-04-09 | International Business Machines Corporation | High-density plasma-processing tool with toroidal magnetic field |
US5349271A (en) * | 1993-03-24 | 1994-09-20 | Diablo Research Corporation | Electrodeless discharge lamp with spiral induction coil |
JP2950110B2 (ja) * | 1993-09-24 | 1999-09-20 | 住友金属工業株式会社 | プラズマエッチング方法 |
JPH0878192A (ja) | 1994-09-06 | 1996-03-22 | Fujitsu Ltd | プラズマ処理装置及びプラズマ処理方法 |
US5620524A (en) * | 1995-02-27 | 1997-04-15 | Fan; Chiko | Apparatus for fluid delivery in chemical vapor deposition systems |
US5630880A (en) * | 1996-03-07 | 1997-05-20 | Eastlund; Bernard J. | Method and apparatus for a large volume plasma processor that can utilize any feedstock material |
DE69719108D1 (de) * | 1996-05-02 | 2003-03-27 | Tokyo Electron Ltd | Plasmabehandlungsgerät |
US5846883A (en) * | 1996-07-10 | 1998-12-08 | Cvc, Inc. | Method for multi-zone high-density inductively-coupled plasma generation |
AUPO281896A0 (en) * | 1996-10-04 | 1996-10-31 | Unisearch Limited | Reactive ion etching of silica structures for integrated optics applications |
US6190236B1 (en) * | 1996-10-16 | 2001-02-20 | Vlsi Technology, Inc. | Method and system for vacuum removal of chemical mechanical polishing by-products |
US6150628A (en) * | 1997-06-26 | 2000-11-21 | Applied Science And Technology, Inc. | Toroidal low-field reactive gas source |
US6924455B1 (en) * | 1997-06-26 | 2005-08-02 | Applied Science & Technology, Inc. | Integrated plasma chamber and inductively-coupled toroidal plasma source |
US6388226B1 (en) * | 1997-06-26 | 2002-05-14 | Applied Science And Technology, Inc. | Toroidal low-field reactive gas source |
US6273022B1 (en) * | 1998-03-14 | 2001-08-14 | Applied Materials, Inc. | Distributed inductively-coupled plasma source |
US6203657B1 (en) * | 1998-03-31 | 2001-03-20 | Lam Research Corporation | Inductively coupled plasma downstream strip module |
US5998933A (en) * | 1998-04-06 | 1999-12-07 | Shun'ko; Evgeny V. | RF plasma inductor with closed ferrite core |
US6335293B1 (en) * | 1998-07-13 | 2002-01-01 | Mattson Technology, Inc. | Systems and methods for two-sided etch of a semiconductor substrate |
US6300643B1 (en) * | 1998-08-03 | 2001-10-09 | Varian Semiconductor Equipment Associates, Inc. | Dose monitor for plasma doping system |
US6178919B1 (en) * | 1998-12-28 | 2001-01-30 | Lam Research Corporation | Perforated plasma confinement ring in plasma reactors |
US6579805B1 (en) | 1999-01-05 | 2003-06-17 | Ronal Systems Corp. | In situ chemical generator and method |
US6392351B1 (en) * | 1999-05-03 | 2002-05-21 | Evgeny V. Shun'ko | Inductive RF plasma source with external discharge bridge |
KR100416308B1 (ko) * | 1999-05-26 | 2004-01-31 | 동경 엘렉트론 주식회사 | 플라즈마 처리 장치 |
US6206972B1 (en) * | 1999-07-08 | 2001-03-27 | Genus, Inc. | Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes |
JP2003506888A (ja) * | 1999-08-06 | 2003-02-18 | アドバンスト・エナジー・インダストリーズ・インコーポレイテッド | ガスおよび材料を処理する誘導結合環状プラズマ源装置およびその方法 |
US6318384B1 (en) * | 1999-09-24 | 2001-11-20 | Applied Materials, Inc. | Self cleaning method of forming deep trenches in silicon substrates |
AU1776401A (en) | 1999-11-19 | 2001-05-30 | Nano Scale Surface Systems, Inc. | System and method for depositing inorganic/organic dielectric films |
JP4212210B2 (ja) | 1999-12-07 | 2009-01-21 | 株式会社小松製作所 | 表面処理装置 |
KR20010062209A (ko) * | 1999-12-10 | 2001-07-07 | 히가시 데쓰로 | 고내식성 막이 내부에 형성된 챔버를 구비하는 처리 장치 |
US6337460B2 (en) * | 2000-02-08 | 2002-01-08 | Thermal Dynamics Corporation | Plasma arc torch and method for cutting a workpiece |
JP2001237226A (ja) | 2000-02-23 | 2001-08-31 | Kobe Steel Ltd | プラズマ処理装置 |
AU2001247685A1 (en) * | 2000-03-30 | 2001-10-15 | Tokyo Electron Limited | Method of and apparatus for tunable gas injection in a plasma processing system |
JP4371543B2 (ja) * | 2000-06-29 | 2009-11-25 | 日本電気株式会社 | リモートプラズマcvd装置及び膜形成方法 |
US7234477B2 (en) * | 2000-06-30 | 2007-06-26 | Lam Research Corporation | Method and apparatus for drying semiconductor wafer surfaces using a plurality of inlets and outlets held in close proximity to the wafer surfaces |
JP4559595B2 (ja) * | 2000-07-17 | 2010-10-06 | 東京エレクトロン株式会社 | 被処理体の載置装置及びプラズマ処理装置 |
TW445540B (en) * | 2000-08-07 | 2001-07-11 | Nano Architect Res Corp | Bundle concentrating type multi-chamber plasma reacting system |
US20020101167A1 (en) * | 2000-12-22 | 2002-08-01 | Applied Materials, Inc. | Capacitively coupled reactive ion etch plasma reactor with overhead high density plasma source for chamber dry cleaning |
US6461972B1 (en) * | 2000-12-22 | 2002-10-08 | Lsi Logic Corporation | Integrated circuit fabrication dual plasma process with separate introduction of different gases into gas flow |
US6755150B2 (en) * | 2001-04-20 | 2004-06-29 | Applied Materials Inc. | Multi-core transformer plasma source |
US6527911B1 (en) * | 2001-06-29 | 2003-03-04 | Lam Research Corporation | Configurable plasma volume etch chamber |
TWI234417B (en) | 2001-07-10 | 2005-06-11 | Tokyo Electron Ltd | Plasma procesor and plasma processing method |
WO2003023835A1 (en) * | 2001-08-06 | 2003-03-20 | Genitech Co., Ltd. | Plasma enhanced atomic layer deposition (peald) equipment and method of forming a conducting thin film using the same thereof |
US20030045098A1 (en) * | 2001-08-31 | 2003-03-06 | Applied Materials, Inc. | Method and apparatus for processing a wafer |
US6855906B2 (en) * | 2001-10-16 | 2005-02-15 | Adam Alexander Brailove | Induction plasma reactor |
US6761804B2 (en) * | 2002-02-11 | 2004-07-13 | Applied Materials, Inc. | Inverted magnetron |
US6962644B2 (en) * | 2002-03-18 | 2005-11-08 | Applied Materials, Inc. | Tandem etch chamber plasma processing system |
FR2838020B1 (fr) * | 2002-03-28 | 2004-07-02 | Centre Nat Rech Scient | Dispositif de confinement de plasma |
US20030188685A1 (en) * | 2002-04-08 | 2003-10-09 | Applied Materials, Inc. | Laser drilled surfaces for substrate processing chambers |
US7013834B2 (en) | 2002-04-19 | 2006-03-21 | Nordson Corporation | Plasma treatment system |
US6936546B2 (en) * | 2002-04-26 | 2005-08-30 | Accretech Usa, Inc. | Apparatus for shaping thin films in the near-edge regions of in-process semiconductor substrates |
US20030213560A1 (en) * | 2002-05-16 | 2003-11-20 | Yaxin Wang | Tandem wafer processing system and process |
JP2004014904A (ja) * | 2002-06-10 | 2004-01-15 | Tokyo Ohka Kogyo Co Ltd | 同時放電化装置 |
TWI283899B (en) * | 2002-07-09 | 2007-07-11 | Applied Materials Inc | Capacitively coupled plasma reactor with magnetic plasma control |
US6902774B2 (en) * | 2002-07-25 | 2005-06-07 | Inficon Gmbh | Method of manufacturing a device |
US7256132B2 (en) * | 2002-07-31 | 2007-08-14 | Applied Materials, Inc. | Substrate centering apparatus and method |
JP4775834B2 (ja) * | 2002-08-05 | 2011-09-21 | 東京エレクトロン株式会社 | エッチング方法 |
US20040027781A1 (en) * | 2002-08-12 | 2004-02-12 | Hiroji Hanawa | Low loss RF bias electrode for a plasma reactor with enhanced wafer edge RF coupling and highly efficient wafer cooling |
US20030015965A1 (en) * | 2002-08-15 | 2003-01-23 | Valery Godyak | Inductively coupled plasma reactor |
JP2004087738A (ja) * | 2002-08-26 | 2004-03-18 | Tokyo Electron Ltd | Siエッチング方法 |
US6887317B2 (en) * | 2002-09-10 | 2005-05-03 | Applied Materials, Inc. | Reduced friction lift pin |
US7411352B2 (en) * | 2002-09-19 | 2008-08-12 | Applied Process Technologies, Inc. | Dual plasma beam sources and method |
US7252738B2 (en) * | 2002-09-20 | 2007-08-07 | Lam Research Corporation | Apparatus for reducing polymer deposition on a substrate and substrate support |
US7240679B2 (en) * | 2002-09-30 | 2007-07-10 | Lam Research Corporation | System for substrate processing with meniscus, vacuum, IPA vapor, drying manifold |
US6988327B2 (en) * | 2002-09-30 | 2006-01-24 | Lam Research Corporation | Methods and systems for processing a substrate using a dynamic liquid meniscus |
US7069937B2 (en) * | 2002-09-30 | 2006-07-04 | Lam Research Corporation | Vertical proximity processor |
US7513262B2 (en) | 2002-09-30 | 2009-04-07 | Lam Research Corporation | Substrate meniscus interface and methods for operation |
KR100488348B1 (ko) * | 2002-11-14 | 2005-05-10 | 최대규 | 플라즈마 프로세스 챔버 및 시스템 |
JP4087234B2 (ja) | 2002-12-05 | 2008-05-21 | 株式会社アルバック | プラズマ処理装置及びプラズマ処理方法 |
NL1022155C2 (nl) | 2002-12-12 | 2004-06-22 | Otb Group Bv | Werkwijze, alsmede inrichting voor het behandelen van een oppervlak van ten minste één substraat. |
US7163602B2 (en) * | 2003-03-07 | 2007-01-16 | Ogle John S | Apparatus for generating planar plasma using concentric coils and ferromagnetic cores |
US7824520B2 (en) * | 2003-03-26 | 2010-11-02 | Semiconductor Energy Laboratory Co., Ltd. | Plasma treatment apparatus |
JP2004296729A (ja) | 2003-03-26 | 2004-10-21 | Semiconductor Energy Lab Co Ltd | 半導体装置の作製方法 |
JP4460940B2 (ja) * | 2003-05-07 | 2010-05-12 | 株式会社ニューパワープラズマ | 多重放電管ブリッジを備えた誘導プラズマチャンバ |
US8580076B2 (en) * | 2003-05-22 | 2013-11-12 | Lam Research Corporation | Plasma apparatus, gas distribution assembly for a plasma apparatus and processes therewith |
WO2004107825A1 (ja) * | 2003-05-30 | 2004-12-09 | Tokyo Electron Limited | プラズマ源及びプラズマ処理装置 |
JP4607517B2 (ja) | 2003-09-03 | 2011-01-05 | 東京エレクトロン株式会社 | プラズマ処理装置 |
US9771648B2 (en) * | 2004-08-13 | 2017-09-26 | Zond, Inc. | Method of ionized physical vapor deposition sputter coating high aspect-ratio structures |
US20050103620A1 (en) * | 2003-11-19 | 2005-05-19 | Zond, Inc. | Plasma source with segmented magnetron cathode |
US7464662B2 (en) * | 2004-01-28 | 2008-12-16 | Tokyo Electron Limited | Compact, distributed inductive element for large scale inductively-coupled plasma sources |
US7785672B2 (en) * | 2004-04-20 | 2010-08-31 | Applied Materials, Inc. | Method of controlling the film properties of PECVD-deposited thin films |
JP2006114884A (ja) * | 2004-09-17 | 2006-04-27 | Ebara Corp | 基板洗浄処理装置及び基板処理ユニット |
US7323116B2 (en) * | 2004-09-27 | 2008-01-29 | Lam Research Corporation | Methods and apparatus for monitoring a process in a plasma processing system by measuring self-bias voltage |
US20060236931A1 (en) * | 2005-04-25 | 2006-10-26 | Varian Semiconductor Equipment Associates, Inc. | Tilted Plasma Doping |
EP1727186B1 (en) * | 2005-05-23 | 2012-01-25 | New Power Plasma Co., Ltd. | Plasma chamber with discharge inducing bridge |
US20070032081A1 (en) * | 2005-08-08 | 2007-02-08 | Jeremy Chang | Edge ring assembly with dielectric spacer ring |
US7641762B2 (en) * | 2005-09-02 | 2010-01-05 | Applied Materials, Inc. | Gas sealing skirt for suspended showerhead in process chamber |
RU2414766C2 (ru) | 2005-09-09 | 2011-03-20 | Улвак, Инк. | Источник ионов и устройство для плазменной обработки |
US7895970B2 (en) * | 2005-09-29 | 2011-03-01 | Tokyo Electron Limited | Structure for plasma processing chamber, plasma processing chamber, plasma processing apparatus, and plasma processing chamber component |
US8092638B2 (en) | 2005-10-11 | 2012-01-10 | Applied Materials Inc. | Capacitively coupled plasma reactor having a cooled/heated wafer support with uniform temperature distribution |
US7397232B2 (en) | 2005-10-21 | 2008-07-08 | The University Of Akron | Coulter counter having a plurality of channels |
KR100663668B1 (ko) | 2005-12-07 | 2007-01-09 | 주식회사 뉴파워 프라즈마 | 복수의 기판을 병렬 배치 처리하기 위한 플라즈마 처리장치 |
JP2007191792A (ja) * | 2006-01-19 | 2007-08-02 | Atto Co Ltd | ガス分離型シャワーヘッド |
KR100785164B1 (ko) | 2006-02-04 | 2007-12-11 | 위순임 | 다중 출력 원격 플라즈마 발생기 및 이를 구비한 기판 처리시스템 |
US7740705B2 (en) * | 2006-03-08 | 2010-06-22 | Tokyo Electron Limited | Exhaust apparatus configured to reduce particle contamination in a deposition system |
US8231799B2 (en) * | 2006-04-28 | 2012-07-31 | Applied Materials, Inc. | Plasma reactor apparatus with multiple gas injection zones having time-changing separate configurable gas compositions for each zone |
JP5069427B2 (ja) | 2006-06-13 | 2012-11-07 | 北陸成型工業株式会社 | シャワープレート、並びにそれを用いたプラズマ処理装置、プラズマ処理方法及び電子装置の製造方法 |
US7879184B2 (en) * | 2006-06-20 | 2011-02-01 | Lam Research Corporation | Apparatuses, systems and methods for rapid cleaning of plasma confinement rings with minimal erosion of other chamber parts |
US7837826B2 (en) * | 2006-07-18 | 2010-11-23 | Lam Research Corporation | Hybrid RF capacitively and inductively coupled plasma source using multifrequency RF powers and methods of use thereof |
DE102006048816A1 (de) | 2006-10-16 | 2008-04-17 | Iplas Innovative Plasma Systems Gmbh | Vorrichtung und Verfahren zur lokalen Erzeugung von Mikrowellenplasmen |
US7780866B2 (en) * | 2006-11-15 | 2010-08-24 | Applied Materials, Inc. | Method of plasma confinement for enhancing magnetic control of plasma radial distribution |
KR100978754B1 (ko) * | 2008-04-03 | 2010-08-30 | 주식회사 테스 | 플라즈마 처리 장치 |
KR20090106617A (ko) * | 2007-01-19 | 2009-10-09 | 어플라이드 머티어리얼스, 인코포레이티드 | 플라스마 함침 챔버 |
KR100868019B1 (ko) * | 2007-01-30 | 2008-11-10 | 삼성전자주식회사 | 플라즈마 쉬쓰 제어기를 갖는 이온 빔 장치 |
US20080179008A1 (en) * | 2007-01-30 | 2008-07-31 | Collins Kenneth S | Reactor for wafer backside polymer removal using an etch plasma feeding a lower process zone and a scavenger plasma feeding an upper process zone |
US7897213B2 (en) | 2007-02-08 | 2011-03-01 | Lam Research Corporation | Methods for contained chemical surface treatment |
JP2008244292A (ja) * | 2007-03-28 | 2008-10-09 | Hitachi High-Technologies Corp | プラズマ処理装置の処理性能安定化方法 |
US7824519B2 (en) * | 2007-05-18 | 2010-11-02 | Lam Research Corporation | Variable volume plasma processing chamber and associated methods |
WO2008154222A1 (en) * | 2007-06-06 | 2008-12-18 | Mks Instruments, Inc. | Particle reduction through gas and plasma source control |
KR101418438B1 (ko) * | 2007-07-10 | 2014-07-14 | 삼성전자주식회사 | 플라즈마 발생장치 |
US20090025879A1 (en) | 2007-07-26 | 2009-01-29 | Shahid Rauf | Plasma reactor with reduced electrical skew using a conductive baffle |
US8343305B2 (en) * | 2007-09-04 | 2013-01-01 | Lam Research Corporation | Method and apparatus for diagnosing status of parts in real time in plasma processing equipment |
US8771483B2 (en) | 2007-09-05 | 2014-07-08 | Intermolecular, Inc. | Combinatorial process system |
US8039052B2 (en) | 2007-09-06 | 2011-10-18 | Intermolecular, Inc. | Multi-region processing system and heads |
US20090109595A1 (en) | 2007-10-31 | 2009-04-30 | Sokudo Co., Ltd. | Method and system for performing electrostatic chuck clamping in track lithography tools |
WO2009082763A2 (en) * | 2007-12-25 | 2009-07-02 | Applied Materials, Inc. | Method and apparatus for controlling plasma uniformity |
US8129288B2 (en) | 2008-05-02 | 2012-03-06 | Intermolecular, Inc. | Combinatorial plasma enhanced deposition techniques |
WO2009099660A2 (en) | 2008-02-08 | 2009-08-13 | Lam Research Corporation | Adjustable gap capacitively coupled rf plasma reactor including lateral bellows and non-contact particle seal |
SG188141A1 (en) | 2008-02-08 | 2013-03-28 | Lam Res Corp | A protective coating for a plasma processing chamber part and a method of use |
US8409459B2 (en) * | 2008-02-28 | 2013-04-02 | Tokyo Electron Limited | Hollow cathode device and method for using the device to control the uniformity of a plasma process |
US7713757B2 (en) | 2008-03-14 | 2010-05-11 | Applied Materials, Inc. | Method for measuring dopant concentration during plasma ion implantation |
US7558045B1 (en) | 2008-03-20 | 2009-07-07 | Novellus Systems, Inc. | Electrostatic chuck assembly with capacitive sense feature, and related operating method |
JP5294669B2 (ja) | 2008-03-25 | 2013-09-18 | 東京エレクトロン株式会社 | プラズマ処理装置 |
US8236133B2 (en) | 2008-05-05 | 2012-08-07 | Applied Materials, Inc. | Plasma reactor with center-fed multiple zone gas distribution for improved uniformity of critical dimension bias |
JP5524453B2 (ja) | 2008-05-15 | 2014-06-18 | Sumco Techxiv株式会社 | シリコンウェーハのエッチング方法及びエッチング装置 |
US8679288B2 (en) | 2008-06-09 | 2014-03-25 | Lam Research Corporation | Showerhead electrode assemblies for plasma processing apparatuses |
US8206552B2 (en) | 2008-06-25 | 2012-06-26 | Applied Materials, Inc. | RF power delivery system in a semiconductor apparatus |
JP5144594B2 (ja) | 2008-06-30 | 2013-02-13 | ヤフー株式会社 | サーバ装置、サーバ装置における予測方法及びプログラム |
JP2010041028A (ja) * | 2008-07-11 | 2010-02-18 | Tokyo Electron Ltd | 基板処理方法 |
KR101046335B1 (ko) | 2008-07-29 | 2011-07-05 | 피에스케이 주식회사 | 할로우 캐소드 플라즈마 발생방법 및 할로우 캐소드플라즈마를 이용한 대면적 기판 처리방법 |
US20110135843A1 (en) | 2008-07-30 | 2011-06-09 | Kyocera Corporation | Deposited Film Forming Device and Deposited Film Forming Method |
US20100024729A1 (en) * | 2008-08-04 | 2010-02-04 | Xinmin Cao | Methods and apparatuses for uniform plasma generation and uniform thin film deposition |
KR20100031960A (ko) | 2008-09-17 | 2010-03-25 | 삼성전자주식회사 | 플라즈마 발생장치 |
JP5295833B2 (ja) | 2008-09-24 | 2013-09-18 | 株式会社東芝 | 基板処理装置および基板処理方法 |
US20100116788A1 (en) | 2008-11-12 | 2010-05-13 | Lam Research Corporation | Substrate temperature control by using liquid controlled multizone substrate support |
US8099995B2 (en) | 2008-12-16 | 2012-01-24 | Agilent Technologies, Inc. | Choked flow isolator for noise reduction in analytical systems |
US8503151B2 (en) | 2009-09-30 | 2013-08-06 | Lam Research Corporation | Plasma arrestor insert |
JP2013503494A (ja) | 2009-08-31 | 2013-01-31 | ラム リサーチ コーポレーション | プラズマ閉じ込めを実施するためのマルチペリフェラルリング構成 |
US8999104B2 (en) | 2010-08-06 | 2015-04-07 | Lam Research Corporation | Systems, methods and apparatus for separate plasma source control |
US8999856B2 (en) * | 2011-03-14 | 2015-04-07 | Applied Materials, Inc. | Methods for etch of sin films |
JP5166595B2 (ja) | 2011-12-16 | 2013-03-21 | 株式会社藤商事 | 遊技機 |
-
2010
- 2010-02-26 US US12/713,523 patent/US9190289B2/en active Active
-
2011
- 2011-01-28 KR KR1020127022221A patent/KR101765360B1/ko active IP Right Grant
- 2011-01-28 SG SG10201501320VA patent/SG10201501320VA/en unknown
- 2011-01-28 SG SG2012059390A patent/SG183268A1/en unknown
- 2011-01-28 JP JP2012555013A patent/JP5950831B2/ja active Active
- 2011-01-28 CN CN201180010750.1A patent/CN102771192B/zh active Active
- 2011-01-28 WO PCT/US2011/023021 patent/WO2011106129A1/en active Application Filing
- 2011-02-25 TW TW100106415A patent/TWI527115B/zh not_active IP Right Cessation
-
2015
- 2015-10-27 US US14/924,572 patent/US9735020B2/en active Active
Also Published As
Publication number | Publication date |
---|---|
TW201140691A (en) | 2011-11-16 |
KR20130047682A (ko) | 2013-05-08 |
JP2013520836A (ja) | 2013-06-06 |
KR101765360B1 (ko) | 2017-08-07 |
TWI527115B (zh) | 2016-03-21 |
US20160049304A1 (en) | 2016-02-18 |
US20110212624A1 (en) | 2011-09-01 |
US9190289B2 (en) | 2015-11-17 |
WO2011106129A1 (en) | 2011-09-01 |
CN102771192B (zh) | 2016-06-29 |
SG10201501320VA (en) | 2015-04-29 |
CN102771192A (zh) | 2012-11-07 |
SG183268A1 (en) | 2012-09-27 |
US9735020B2 (en) | 2017-08-15 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JP5950831B2 (ja) | イオン発生と処理ガスの解離の独立制御を有するプラズマエッチングのためのシステム、方法、および装置 | |
KR102402866B1 (ko) | 고 종횡비의 구조체들의 콘택 세정 | |
JP6042498B2 (ja) | 基板の高精度エッチング方法 | |
US9520303B2 (en) | Aluminum selective etch | |
TWI621186B (zh) | 在增大的電漿處理系統中之電漿加強蝕刻 | |
US10854426B2 (en) | Metal recess for semiconductor structures | |
US9779961B2 (en) | Etching method | |
US20160293440A1 (en) | Etching method | |
KR20150048646A (ko) | 감소된 트리밍 레이트에서 탄소 함유 막을 트리밍하는 방법 | |
JPWO2012002232A1 (ja) | プラズマ処理装置及び方法 | |
CN112119485B (zh) | 等离子处理方法 | |
US10453699B2 (en) | Etching method and etching apparatus | |
US20220181162A1 (en) | Etching apparatus | |
JP2014096500A (ja) | プラズマエッチング方法及びプラズマエッチング装置 | |
KR102362446B1 (ko) | 에칭 방법 | |
JP2018522417A (ja) | 調節可能な遠隔解離 | |
KR101828082B1 (ko) | 표면 평탄화 방법 | |
US20190362983A1 (en) | Systems and methods for etching oxide nitride stacks | |
US20230386830A1 (en) | Highly conformal metal etch in high aspect ratio semiconductor features | |
US20220301867A1 (en) | Methods and apparatus for processing a substrate |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A621 | Written request for application examination |
Free format text: JAPANESE INTERMEDIATE CODE: A621 Effective date: 20140124 |
|
A977 | Report on retrieval |
Free format text: JAPANESE INTERMEDIATE CODE: A971007 Effective date: 20141218 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20150106 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20150403 |
|
A02 | Decision of refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A02 Effective date: 20151110 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20160308 |
|
A911 | Transfer to examiner for re-examination before appeal (zenchi) |
Free format text: JAPANESE INTERMEDIATE CODE: A911 Effective date: 20160315 |
|
TRDD | Decision of grant or rejection written | ||
A01 | Written decision to grant a patent or to grant a registration (utility model) |
Free format text: JAPANESE INTERMEDIATE CODE: A01 Effective date: 20160517 |
|
A61 | First payment of annual fees (during grant procedure) |
Free format text: JAPANESE INTERMEDIATE CODE: A61 Effective date: 20160607 |
|
R150 | Certificate of patent or registration of utility model |
Ref document number: 5950831 Country of ref document: JP Free format text: JAPANESE INTERMEDIATE CODE: R150 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |