SG10201501320VA - System, method and apparatus for plasma etch having independent control of ion generation and dissociation of process gas - Google Patents

System, method and apparatus for plasma etch having independent control of ion generation and dissociation of process gas

Info

Publication number
SG10201501320VA
SG10201501320VA SG10201501320VA SG10201501320VA SG10201501320VA SG 10201501320V A SG10201501320V A SG 10201501320VA SG 10201501320V A SG10201501320V A SG 10201501320VA SG 10201501320V A SG10201501320V A SG 10201501320VA SG 10201501320V A SG10201501320V A SG 10201501320VA
Authority
SG
Singapore
Prior art keywords
dissociation
process gas
independent control
plasma etch
ion generation
Prior art date
Application number
SG10201501320VA
Inventor
Eric A Hudson
Original Assignee
Lam Res Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Res Corp filed Critical Lam Res Corp
Publication of SG10201501320VA publication Critical patent/SG10201501320VA/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32596Hollow cathodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/18Vacuum control means
    • H01J2237/182Obtaining or maintaining desired pressure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32541Shape
SG10201501320VA 2010-02-26 2011-01-28 System, method and apparatus for plasma etch having independent control of ion generation and dissociation of process gas SG10201501320VA (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US12/713,523 US9190289B2 (en) 2010-02-26 2010-02-26 System, method and apparatus for plasma etch having independent control of ion generation and dissociation of process gas

Publications (1)

Publication Number Publication Date
SG10201501320VA true SG10201501320VA (en) 2015-04-29

Family

ID=44505522

Family Applications (2)

Application Number Title Priority Date Filing Date
SG2012059390A SG183268A1 (en) 2010-02-26 2011-01-28 System, method and apparatus for plasma etch having independent control of ion generation and dissociation of process gas
SG10201501320VA SG10201501320VA (en) 2010-02-26 2011-01-28 System, method and apparatus for plasma etch having independent control of ion generation and dissociation of process gas

Family Applications Before (1)

Application Number Title Priority Date Filing Date
SG2012059390A SG183268A1 (en) 2010-02-26 2011-01-28 System, method and apparatus for plasma etch having independent control of ion generation and dissociation of process gas

Country Status (7)

Country Link
US (2) US9190289B2 (en)
JP (1) JP5950831B2 (en)
KR (1) KR101765360B1 (en)
CN (1) CN102771192B (en)
SG (2) SG183268A1 (en)
TW (1) TWI527115B (en)
WO (1) WO2011106129A1 (en)

Families Citing this family (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI641292B (en) 2008-08-04 2018-11-11 Agc北美平面玻璃公司 Plasma source
US20130059448A1 (en) * 2011-09-07 2013-03-07 Lam Research Corporation Pulsed Plasma Chamber in Dual Chamber Configuration
US9111728B2 (en) 2011-04-11 2015-08-18 Lam Research Corporation E-beam enhanced decoupled source for semiconductor processing
US8980046B2 (en) * 2011-04-11 2015-03-17 Lam Research Corporation Semiconductor processing system with source for decoupled ion and radical control
US8900403B2 (en) 2011-05-10 2014-12-02 Lam Research Corporation Semiconductor processing system having multiple decoupled plasma sources
US20130098873A1 (en) * 2011-10-20 2013-04-25 Applied Materials, Inc. Overhead electron beam source for plasma ion generation in a workpiece processing region
US20140165911A1 (en) * 2012-12-14 2014-06-19 Applied Materials, Inc. Apparatus for providing plasma to a process chamber
US9443700B2 (en) 2013-03-12 2016-09-13 Applied Materials, Inc. Electron beam plasma source with segmented suppression electrode for uniform plasma generation
US9431218B2 (en) 2013-03-15 2016-08-30 Tokyo Electron Limited Scalable and uniformity controllable diffusion plasma source
JP6508746B2 (en) 2014-12-05 2019-05-08 エージーシー フラット グラス ノース アメリカ,インコーポレイテッドAgc Flat Glass North America,Inc. Plasma source using macro particle reduction coating and method of using plasma source with macro particle reduction coating for thin film coating and surface modification
MX2017007356A (en) * 2014-12-05 2018-04-11 Agc Flat Glass Europe S A Hollow cathode plasma source.
US10475626B2 (en) 2015-03-17 2019-11-12 Applied Materials, Inc. Ion-ion plasma atomic layer etch process and reactor
US10386829B2 (en) * 2015-09-18 2019-08-20 Kla-Tencor Corporation Systems and methods for controlling an etch process
US20170092470A1 (en) * 2015-09-28 2017-03-30 Applied Materials, Inc. Plasma reactor for processing a workpiece with an array of plasma point sources
US9721765B2 (en) 2015-11-16 2017-08-01 Agc Flat Glass North America, Inc. Plasma device driven by multiple-phase alternating or pulsed electrical current
US10573499B2 (en) 2015-12-18 2020-02-25 Agc Flat Glass North America, Inc. Method of extracting and accelerating ions
US10242846B2 (en) 2015-12-18 2019-03-26 Agc Flat Glass North America, Inc. Hollow cathode ion source
US10845375B2 (en) * 2016-02-19 2020-11-24 Agjunction Llc Thermal stabilization of inertial measurement units
JP7008474B2 (en) * 2016-11-30 2022-01-25 東京エレクトロン株式会社 Plasma etching method
KR102455239B1 (en) * 2017-10-23 2022-10-18 삼성전자주식회사 apparatus for processing plasma, manufacturing system of semiconductor device and manufacturing method of the same
KR102455231B1 (en) 2017-10-23 2022-10-18 삼성전자주식회사 hallow cathode for generating pixelated plasma, manufacturing apparatus of semiconductor device and manufacturing method of the same
CN112335342B (en) * 2018-06-14 2023-07-14 Mks仪器公司 Radical output monitor for remote plasma source and method of use
US10342110B1 (en) * 2018-09-14 2019-07-02 Serendipity Technologies LLC. Plasma power generator (z-box and z-tower)

Family Cites Families (156)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4276557A (en) * 1978-12-29 1981-06-30 Bell Telephone Laboratories, Incorporated Integrated semiconductor circuit structure and method for making it
JPS644481Y2 (en) 1980-09-13 1989-02-06
US4340462A (en) * 1981-02-13 1982-07-20 Lam Research Corporation Adjustable electrode plasma processing chamber
JPS61189642A (en) 1985-02-18 1986-08-23 Mitsubishi Electric Corp Plasma reactor
CA1331163C (en) 1986-04-18 1994-08-02 Applied Materials, Inc. Multiple-processing and contamination-free plasma etching system
JPH0794483B2 (en) 1987-02-04 1995-10-11 旭電化工業株式会社 Process for producing cyclodextrin fatty acid ester
KR960016218B1 (en) * 1987-06-05 1996-12-07 가부시기가이샤 히다찌세이사꾸쇼 Surface treatment method and apparatus thereof
JPS644481A (en) * 1987-06-24 1989-01-09 Minoru Sugawara Parallel-plate discharge electrode
RU2094961C1 (en) 1989-07-20 1997-10-27 Уланов Игорь Максимович Transformer-type plasmatron
RU2022917C1 (en) 1989-09-27 1994-11-15 Уланов Игорь Максимович Process of preparing nitrogen oxide
RU2056702C1 (en) 1990-07-09 1996-03-20 Уланов Игорь Максимович Transformer-type plasmatron
US6444137B1 (en) * 1990-07-31 2002-09-03 Applied Materials, Inc. Method for processing substrates using gaseous silicon scavenger
US5183990A (en) * 1991-04-12 1993-02-02 The Lincoln Electric Company Method and circuit for protecting plasma nozzle
JPH05144594A (en) 1991-11-19 1993-06-11 Ebara Corp Discharge plasma generator
JPH05166595A (en) 1991-12-12 1993-07-02 Fuji Denpa Koki Kk Method for generating plasma of high atmospheric pressure and high density
EP0849766A3 (en) * 1992-01-24 1998-10-14 Applied Materials, Inc. Etch process
US5302237A (en) * 1992-02-13 1994-04-12 The United States Of America As Represented By The Secretary Of Commerce Localized plasma processing
US5505780A (en) * 1992-03-18 1996-04-09 International Business Machines Corporation High-density plasma-processing tool with toroidal magnetic field
US5349271A (en) * 1993-03-24 1994-09-20 Diablo Research Corporation Electrodeless discharge lamp with spiral induction coil
JP2950110B2 (en) * 1993-09-24 1999-09-20 住友金属工業株式会社 Plasma etching method
JPH0878192A (en) 1994-09-06 1996-03-22 Fujitsu Ltd Plasma treatment device and plasma treatment method
US5620524A (en) * 1995-02-27 1997-04-15 Fan; Chiko Apparatus for fluid delivery in chemical vapor deposition systems
US5630880A (en) * 1996-03-07 1997-05-20 Eastlund; Bernard J. Method and apparatus for a large volume plasma processor that can utilize any feedstock material
EP0805475B1 (en) * 1996-05-02 2003-02-19 Tokyo Electron Limited Plasma processing apparatus
US5846883A (en) * 1996-07-10 1998-12-08 Cvc, Inc. Method for multi-zone high-density inductively-coupled plasma generation
AUPO281896A0 (en) * 1996-10-04 1996-10-31 Unisearch Limited Reactive ion etching of silica structures for integrated optics applications
US6190236B1 (en) * 1996-10-16 2001-02-20 Vlsi Technology, Inc. Method and system for vacuum removal of chemical mechanical polishing by-products
US6388226B1 (en) * 1997-06-26 2002-05-14 Applied Science And Technology, Inc. Toroidal low-field reactive gas source
US6150628A (en) * 1997-06-26 2000-11-21 Applied Science And Technology, Inc. Toroidal low-field reactive gas source
US6924455B1 (en) * 1997-06-26 2005-08-02 Applied Science & Technology, Inc. Integrated plasma chamber and inductively-coupled toroidal plasma source
US6273022B1 (en) * 1998-03-14 2001-08-14 Applied Materials, Inc. Distributed inductively-coupled plasma source
US6203657B1 (en) * 1998-03-31 2001-03-20 Lam Research Corporation Inductively coupled plasma downstream strip module
US5998933A (en) * 1998-04-06 1999-12-07 Shun'ko; Evgeny V. RF plasma inductor with closed ferrite core
US6335293B1 (en) * 1998-07-13 2002-01-01 Mattson Technology, Inc. Systems and methods for two-sided etch of a semiconductor substrate
US6300643B1 (en) * 1998-08-03 2001-10-09 Varian Semiconductor Equipment Associates, Inc. Dose monitor for plasma doping system
US6178919B1 (en) * 1998-12-28 2001-01-30 Lam Research Corporation Perforated plasma confinement ring in plasma reactors
US6579805B1 (en) 1999-01-05 2003-06-17 Ronal Systems Corp. In situ chemical generator and method
US6392351B1 (en) * 1999-05-03 2002-05-21 Evgeny V. Shun'ko Inductive RF plasma source with external discharge bridge
JP3384795B2 (en) * 1999-05-26 2003-03-10 忠弘 大見 Plasma process equipment
US6206972B1 (en) * 1999-07-08 2001-03-27 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
JP2003506888A (en) * 1999-08-06 2003-02-18 アドバンスト・エナジー・インダストリーズ・インコーポレイテッド Inductively coupled annular plasma source apparatus and method for processing gases and materials
US6318384B1 (en) * 1999-09-24 2001-11-20 Applied Materials, Inc. Self cleaning method of forming deep trenches in silicon substrates
EP1252358A4 (en) 1999-11-19 2008-02-13 Nano Scale Surface Systems Inc System and method for depositing inorganic/organic dielectric films
JP4212210B2 (en) 1999-12-07 2009-01-21 株式会社小松製作所 Surface treatment equipment
KR20010062209A (en) * 1999-12-10 2001-07-07 히가시 데쓰로 Processing apparatus with a chamber having therein a high-etching resistant sprayed film
US6337460B2 (en) * 2000-02-08 2002-01-08 Thermal Dynamics Corporation Plasma arc torch and method for cutting a workpiece
JP2001237226A (en) 2000-02-23 2001-08-31 Kobe Steel Ltd Plasma treatment equipment
JP2003529926A (en) * 2000-03-30 2003-10-07 東京エレクトロン株式会社 Method and apparatus for adjustable gas injection into a plasma processing system
JP4371543B2 (en) * 2000-06-29 2009-11-25 日本電気株式会社 Remote plasma CVD apparatus and film forming method
US7234477B2 (en) * 2000-06-30 2007-06-26 Lam Research Corporation Method and apparatus for drying semiconductor wafer surfaces using a plurality of inlets and outlets held in close proximity to the wafer surfaces
JP4559595B2 (en) * 2000-07-17 2010-10-06 東京エレクトロン株式会社 Apparatus for placing object to be processed and plasma processing apparatus
TW445540B (en) * 2000-08-07 2001-07-11 Nano Architect Res Corp Bundle concentrating type multi-chamber plasma reacting system
US6461972B1 (en) * 2000-12-22 2002-10-08 Lsi Logic Corporation Integrated circuit fabrication dual plasma process with separate introduction of different gases into gas flow
US20020101167A1 (en) * 2000-12-22 2002-08-01 Applied Materials, Inc. Capacitively coupled reactive ion etch plasma reactor with overhead high density plasma source for chamber dry cleaning
US6755150B2 (en) * 2001-04-20 2004-06-29 Applied Materials Inc. Multi-core transformer plasma source
US6527911B1 (en) * 2001-06-29 2003-03-04 Lam Research Corporation Configurable plasma volume etch chamber
TWI234417B (en) 2001-07-10 2005-06-11 Tokyo Electron Ltd Plasma procesor and plasma processing method
WO2003023835A1 (en) * 2001-08-06 2003-03-20 Genitech Co., Ltd. Plasma enhanced atomic layer deposition (peald) equipment and method of forming a conducting thin film using the same thereof
US20030045098A1 (en) * 2001-08-31 2003-03-06 Applied Materials, Inc. Method and apparatus for processing a wafer
US6855906B2 (en) * 2001-10-16 2005-02-15 Adam Alexander Brailove Induction plasma reactor
US6761804B2 (en) * 2002-02-11 2004-07-13 Applied Materials, Inc. Inverted magnetron
US6962644B2 (en) * 2002-03-18 2005-11-08 Applied Materials, Inc. Tandem etch chamber plasma processing system
FR2838020B1 (en) * 2002-03-28 2004-07-02 Centre Nat Rech Scient PLASMA CONTAINMENT DEVICE
US20030188685A1 (en) * 2002-04-08 2003-10-09 Applied Materials, Inc. Laser drilled surfaces for substrate processing chambers
US7013834B2 (en) 2002-04-19 2006-03-21 Nordson Corporation Plasma treatment system
US6936546B2 (en) * 2002-04-26 2005-08-30 Accretech Usa, Inc. Apparatus for shaping thin films in the near-edge regions of in-process semiconductor substrates
US20030213560A1 (en) * 2002-05-16 2003-11-20 Yaxin Wang Tandem wafer processing system and process
JP2004014904A (en) * 2002-06-10 2004-01-15 Tokyo Ohka Kogyo Co Ltd Simultaneous discharging apparatus
TWI283899B (en) * 2002-07-09 2007-07-11 Applied Materials Inc Capacitively coupled plasma reactor with magnetic plasma control
US6902774B2 (en) * 2002-07-25 2005-06-07 Inficon Gmbh Method of manufacturing a device
US7256132B2 (en) * 2002-07-31 2007-08-14 Applied Materials, Inc. Substrate centering apparatus and method
JP4775834B2 (en) * 2002-08-05 2011-09-21 東京エレクトロン株式会社 Etching method
US20040027781A1 (en) * 2002-08-12 2004-02-12 Hiroji Hanawa Low loss RF bias electrode for a plasma reactor with enhanced wafer edge RF coupling and highly efficient wafer cooling
US20030015965A1 (en) * 2002-08-15 2003-01-23 Valery Godyak Inductively coupled plasma reactor
JP2004087738A (en) * 2002-08-26 2004-03-18 Tokyo Electron Ltd Si etching method
US6887317B2 (en) * 2002-09-10 2005-05-03 Applied Materials, Inc. Reduced friction lift pin
US7411352B2 (en) * 2002-09-19 2008-08-12 Applied Process Technologies, Inc. Dual plasma beam sources and method
US7252738B2 (en) * 2002-09-20 2007-08-07 Lam Research Corporation Apparatus for reducing polymer deposition on a substrate and substrate support
US7513262B2 (en) 2002-09-30 2009-04-07 Lam Research Corporation Substrate meniscus interface and methods for operation
US6988327B2 (en) * 2002-09-30 2006-01-24 Lam Research Corporation Methods and systems for processing a substrate using a dynamic liquid meniscus
US7069937B2 (en) * 2002-09-30 2006-07-04 Lam Research Corporation Vertical proximity processor
US7240679B2 (en) * 2002-09-30 2007-07-10 Lam Research Corporation System for substrate processing with meniscus, vacuum, IPA vapor, drying manifold
KR100488348B1 (en) * 2002-11-14 2005-05-10 최대규 Plasma process chamber and system
JP4087234B2 (en) 2002-12-05 2008-05-21 株式会社アルバック Plasma processing apparatus and plasma processing method
NL1022155C2 (en) 2002-12-12 2004-06-22 Otb Group Bv Method and device for treating a surface of at least one substrate.
US7163602B2 (en) * 2003-03-07 2007-01-16 Ogle John S Apparatus for generating planar plasma using concentric coils and ferromagnetic cores
US7824520B2 (en) * 2003-03-26 2010-11-02 Semiconductor Energy Laboratory Co., Ltd. Plasma treatment apparatus
JP2004296729A (en) 2003-03-26 2004-10-21 Semiconductor Energy Lab Co Ltd Method for manufacturing semiconductor device
US8409400B2 (en) * 2003-05-07 2013-04-02 Gen Co., Ltd. Inductive plasma chamber having multi discharge tube bridge
US8580076B2 (en) * 2003-05-22 2013-11-12 Lam Research Corporation Plasma apparatus, gas distribution assembly for a plasma apparatus and processes therewith
US7632379B2 (en) * 2003-05-30 2009-12-15 Toshio Goto Plasma source and plasma processing apparatus
JP4607517B2 (en) 2003-09-03 2011-01-05 東京エレクトロン株式会社 Plasma processing equipment
US9771648B2 (en) * 2004-08-13 2017-09-26 Zond, Inc. Method of ionized physical vapor deposition sputter coating high aspect-ratio structures
US20050103620A1 (en) * 2003-11-19 2005-05-19 Zond, Inc. Plasma source with segmented magnetron cathode
US7464662B2 (en) * 2004-01-28 2008-12-16 Tokyo Electron Limited Compact, distributed inductive element for large scale inductively-coupled plasma sources
US7785672B2 (en) * 2004-04-20 2010-08-31 Applied Materials, Inc. Method of controlling the film properties of PECVD-deposited thin films
JP2006114884A (en) * 2004-09-17 2006-04-27 Ebara Corp Substrate cleaning processing apparatus and substrate processing unit
US7323116B2 (en) * 2004-09-27 2008-01-29 Lam Research Corporation Methods and apparatus for monitoring a process in a plasma processing system by measuring self-bias voltage
US20060236931A1 (en) * 2005-04-25 2006-10-26 Varian Semiconductor Equipment Associates, Inc. Tilted Plasma Doping
ATE543199T1 (en) * 2005-05-23 2012-02-15 New Power Plasma Co Ltd PLASMA CHAMBER WITH DISCHARGE INDUCING BRIDGE
US20070032081A1 (en) * 2005-08-08 2007-02-08 Jeremy Chang Edge ring assembly with dielectric spacer ring
US7641762B2 (en) * 2005-09-02 2010-01-05 Applied Materials, Inc. Gas sealing skirt for suspended showerhead in process chamber
CN101223624B (en) 2005-09-09 2011-06-15 株式会社爱发科 Ion source and plasma processing device
US7895970B2 (en) * 2005-09-29 2011-03-01 Tokyo Electron Limited Structure for plasma processing chamber, plasma processing chamber, plasma processing apparatus, and plasma processing chamber component
US8092638B2 (en) 2005-10-11 2012-01-10 Applied Materials Inc. Capacitively coupled plasma reactor having a cooled/heated wafer support with uniform temperature distribution
US7397232B2 (en) 2005-10-21 2008-07-08 The University Of Akron Coulter counter having a plurality of channels
KR100663668B1 (en) 2005-12-07 2007-01-09 주식회사 뉴파워 프라즈마 Plasma processing apparatus for a parallel bach processing of a plurality of substrates
JP2007191792A (en) * 2006-01-19 2007-08-02 Atto Co Ltd Gas separation type showerhead
KR100785164B1 (en) 2006-02-04 2007-12-11 위순임 Multi output remote plasma generator and substrate processing system having the same
US7740705B2 (en) * 2006-03-08 2010-06-22 Tokyo Electron Limited Exhaust apparatus configured to reduce particle contamination in a deposition system
US8231799B2 (en) * 2006-04-28 2012-07-31 Applied Materials, Inc. Plasma reactor apparatus with multiple gas injection zones having time-changing separate configurable gas compositions for each zone
JP5069427B2 (en) 2006-06-13 2012-11-07 北陸成型工業株式会社 Shower plate, and plasma processing apparatus, plasma processing method and electronic device manufacturing method using the same
US7879184B2 (en) * 2006-06-20 2011-02-01 Lam Research Corporation Apparatuses, systems and methods for rapid cleaning of plasma confinement rings with minimal erosion of other chamber parts
US7837826B2 (en) * 2006-07-18 2010-11-23 Lam Research Corporation Hybrid RF capacitively and inductively coupled plasma source using multifrequency RF powers and methods of use thereof
DE102006048816A1 (en) 2006-10-16 2008-04-17 Iplas Innovative Plasma Systems Gmbh Apparatus and method for local generation of microwave plasmas
US7780866B2 (en) * 2006-11-15 2010-08-24 Applied Materials, Inc. Method of plasma confinement for enhancing magnetic control of plasma radial distribution
KR100978754B1 (en) * 2008-04-03 2010-08-30 주식회사 테스 Plasma processing apparatus
WO2008089168A2 (en) * 2007-01-19 2008-07-24 Applied Materials, Inc. Plasma immersion chamber
US20080179007A1 (en) * 2007-01-30 2008-07-31 Collins Kenneth S Reactor for wafer backside polymer removal using plasma products in a lower process zone and purge gases in an upper process zone
KR100868019B1 (en) * 2007-01-30 2008-11-10 삼성전자주식회사 Ion beam apparatus having plasma sheath controller
US7897213B2 (en) 2007-02-08 2011-03-01 Lam Research Corporation Methods for contained chemical surface treatment
JP2008244292A (en) * 2007-03-28 2008-10-09 Hitachi High-Technologies Corp Processing performance stabilizing method of plasma treatment apparatus
US7824519B2 (en) * 2007-05-18 2010-11-02 Lam Research Corporation Variable volume plasma processing chamber and associated methods
WO2008154222A1 (en) * 2007-06-06 2008-12-18 Mks Instruments, Inc. Particle reduction through gas and plasma source control
KR101418438B1 (en) * 2007-07-10 2014-07-14 삼성전자주식회사 Plasma generating apparatus
US20090025879A1 (en) 2007-07-26 2009-01-29 Shahid Rauf Plasma reactor with reduced electrical skew using a conductive baffle
US8343305B2 (en) 2007-09-04 2013-01-01 Lam Research Corporation Method and apparatus for diagnosing status of parts in real time in plasma processing equipment
US8771483B2 (en) 2007-09-05 2014-07-08 Intermolecular, Inc. Combinatorial process system
US8039052B2 (en) * 2007-09-06 2011-10-18 Intermolecular, Inc. Multi-region processing system and heads
US20090109595A1 (en) 2007-10-31 2009-04-30 Sokudo Co., Ltd. Method and system for performing electrostatic chuck clamping in track lithography tools
WO2009082763A2 (en) * 2007-12-25 2009-07-02 Applied Materials, Inc. Method and apparatus for controlling plasma uniformity
US8129288B2 (en) 2008-05-02 2012-03-06 Intermolecular, Inc. Combinatorial plasma enhanced deposition techniques
KR101659095B1 (en) 2008-02-08 2016-09-22 램 리써치 코포레이션 Adjustable gap capacitively coupled rf plasma reactor including lateral bellows and non-contact particle seal
WO2009099661A2 (en) 2008-02-08 2009-08-13 Lam Research Corporation A protective coating for a plasma processing chamber part and a method of use
US8409459B2 (en) * 2008-02-28 2013-04-02 Tokyo Electron Limited Hollow cathode device and method for using the device to control the uniformity of a plasma process
US7713757B2 (en) 2008-03-14 2010-05-11 Applied Materials, Inc. Method for measuring dopant concentration during plasma ion implantation
US7558045B1 (en) 2008-03-20 2009-07-07 Novellus Systems, Inc. Electrostatic chuck assembly with capacitive sense feature, and related operating method
JP5294669B2 (en) 2008-03-25 2013-09-18 東京エレクトロン株式会社 Plasma processing equipment
US20090275206A1 (en) 2008-05-05 2009-11-05 Applied Materials, Inc. Plasma process employing multiple zone gas distribution for improved uniformity of critical dimension bias
JP5524453B2 (en) 2008-05-15 2014-06-18 Sumco Techxiv株式会社 Silicon wafer etching method and etching apparatus
US8679288B2 (en) 2008-06-09 2014-03-25 Lam Research Corporation Showerhead electrode assemblies for plasma processing apparatuses
US8206552B2 (en) 2008-06-25 2012-06-26 Applied Materials, Inc. RF power delivery system in a semiconductor apparatus
JP5144594B2 (en) 2008-06-30 2013-02-13 ヤフー株式会社 Server apparatus, prediction method and program in server apparatus
JP2010041028A (en) * 2008-07-11 2010-02-18 Tokyo Electron Ltd Substrate processing method
KR101046335B1 (en) 2008-07-29 2011-07-05 피에스케이 주식회사 Hollow cathode plasma generation method and large area substrate processing method using hollow cathode plasma
CN102099505A (en) 2008-07-30 2011-06-15 京瓷株式会社 Deposition film forming apparatus and deposition film forming method
US20100024729A1 (en) * 2008-08-04 2010-02-04 Xinmin Cao Methods and apparatuses for uniform plasma generation and uniform thin film deposition
KR20100031960A (en) 2008-09-17 2010-03-25 삼성전자주식회사 Plasma generating apparatus
JP5295833B2 (en) 2008-09-24 2013-09-18 株式会社東芝 Substrate processing apparatus and substrate processing method
US20100116788A1 (en) 2008-11-12 2010-05-13 Lam Research Corporation Substrate temperature control by using liquid controlled multizone substrate support
US8099995B2 (en) 2008-12-16 2012-01-24 Agilent Technologies, Inc. Choked flow isolator for noise reduction in analytical systems
US8503151B2 (en) 2009-09-30 2013-08-06 Lam Research Corporation Plasma arrestor insert
KR101723253B1 (en) 2009-08-31 2017-04-04 램 리써치 코포레이션 A local plasma confinement and pressure control arrangement and methods thereof
US8999104B2 (en) 2010-08-06 2015-04-07 Lam Research Corporation Systems, methods and apparatus for separate plasma source control
US8999856B2 (en) * 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
JP5166595B2 (en) 2011-12-16 2013-03-21 株式会社藤商事 Game machine

Also Published As

Publication number Publication date
SG183268A1 (en) 2012-09-27
WO2011106129A1 (en) 2011-09-01
TW201140691A (en) 2011-11-16
KR101765360B1 (en) 2017-08-07
CN102771192A (en) 2012-11-07
JP2013520836A (en) 2013-06-06
US9190289B2 (en) 2015-11-17
JP5950831B2 (en) 2016-07-13
US20110212624A1 (en) 2011-09-01
TWI527115B (en) 2016-03-21
US20160049304A1 (en) 2016-02-18
CN102771192B (en) 2016-06-29
KR20130047682A (en) 2013-05-08
US9735020B2 (en) 2017-08-15

Similar Documents

Publication Publication Date Title
SG10201501320VA (en) System, method and apparatus for plasma etch having independent control of ion generation and dissociation of process gas
EP2608872A4 (en) Method and apparatus for neutral beam processing based on gas cluster ion beam technology
EP2619425B8 (en) Exhaust gas control apparatus and control method for exhaust gas control apparatus
EP2671244A4 (en) Apparatus and method for thermal assisted desorption ionization systems
EP2711342A4 (en) Plasma generating apparatus and plasma generating method
EP2580368A4 (en) Apparatus and method for chemical vapor deposition control
SG10201406954SA (en) Methods and apparatus for controlling a plasma processing system
EP2676286A4 (en) Methods, apparatus, and system for mass spectrometry
EP2712372A4 (en) Apparatus and method for multiple symmetrical divisional gas distribution
SI2606003T1 (en) An apparatus, a system and a method for producing hydrogen
IL229386B (en) Plasma directed electron beam wound care system apparatus and method
EP2457420A4 (en) System and method for plasma arc detection, isolation and prevention
EP2928585A4 (en) Method and system for recovery of carbon dioxide from gas
EP2725677A4 (en) Control method and control system for parallel operation of different types of power generation apparatuses
GB201304701D0 (en) Method and apparatus for control of a plasma for spectrometry
EP2638960A4 (en) Plasma generating device, plasma generating method, and method for suppressing ozone generation
EP2503018B8 (en) Plasma spray method for producing an ion conducting membrane
SG10201501157RA (en) System, method and apparatus for real time control of rapid alternating processes (rap)
EP2698342A4 (en) Ozone generation system and method for operating ozone generation system
GB2468146B (en) Method of generating recipe for process
EP2769958A4 (en) Hydrogen generation apparatus, method for operating hydrogen generation apparatus, and fuel cell system
EP2697735A4 (en) Method and apparatus for reducing chemical reaction mechanisms
PL2564412T3 (en) Electrode for dbd plasma process
PL2594660T3 (en) An apparatus for performing a plasma chemical vapour deposition process
HK1212282A1 (en) Methods for generating hydrogen gas using plasma sources