KR101765360B1 - 프로세스 가스의 이온 생성 및 해리의 독립적인 제어를 갖는 플라즈마 에칭을 위한 시스템, 방법 및 장치 - Google Patents

프로세스 가스의 이온 생성 및 해리의 독립적인 제어를 갖는 플라즈마 에칭을 위한 시스템, 방법 및 장치 Download PDF

Info

Publication number
KR101765360B1
KR101765360B1 KR1020127022221A KR20127022221A KR101765360B1 KR 101765360 B1 KR101765360 B1 KR 101765360B1 KR 1020127022221 A KR1020127022221 A KR 1020127022221A KR 20127022221 A KR20127022221 A KR 20127022221A KR 101765360 B1 KR101765360 B1 KR 101765360B1
Authority
KR
South Korea
Prior art keywords
hollow cathode
plasma
gas mixture
cavities
cathode cavities
Prior art date
Application number
KR1020127022221A
Other languages
English (en)
Other versions
KR20130047682A (ko
Inventor
에릭 에이 허드슨
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20130047682A publication Critical patent/KR20130047682A/ko
Application granted granted Critical
Publication of KR101765360B1 publication Critical patent/KR101765360B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32596Hollow cathodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/18Vacuum control means
    • H01J2237/182Obtaining or maintaining desired pressure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32541Shape

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Analytical Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)

Abstract

반도체 웨이퍼를 에칭하는 방법은, 프로세스 챔버의 상부 전극 내의 다수의 중공 음극 공동들 안으로 소스 가스 혼합물을 주입하는 단계 및 중공 음극 공동들의 각 공동에서 플라즈마를 생성하는 단계를 포함하는, 소스 가스 혼합물을 프로세스 챔버 안으로 주입하는 단계를 포함한다. 중공 음극 공동들에서 플라즈마를 생성하는 단계는 중공 음극 공동들에 제 1 바이어싱 신호를 인가하는 단계를 포함한다. 생성된 플라즈마 또는 활성화된 종들은 중공 음극 공동들 각각의 대응하는 유출구들로부터 프로세스 챔버의 웨이퍼 프로세싱 영역 안으로 출력된다. 웨이퍼 프로세싱 영역은 중공 음극 공동들의 유출구들과 에칭될 표면 사이에 위치한다. 에천트 가스 혼합물이 웨이퍼 프로세싱 영역 안으로 주입된다. 플라즈마는 또한, 웨이퍼 프로세싱 영역에서 생성 및/또는 지원될 수 있다.

Description

프로세스 가스의 이온 생성 및 해리의 독립적인 제어를 갖는 플라즈마 에칭을 위한 시스템, 방법 및 장치{SYSTEM, METHOD AND APPARATUS FOR PLASMA ETCH HAVING INDEPENDENT CONTROL OF ION GENERATION AND DISSOCIATION OF PROCESS GAS}
본 발명은 일반적으로 플라즈마 에칭 시스템 및 방법에 관한 것이고, 보다 구체적으로는 프로세스 가스의 이온 생성 및 해리의 독립적인 제어를 갖는 플라즈마 에칭을 위한 시스템 및 방법에 관한 것이다.
통상적인 플라즈마 에칭 프로세스의 간략화된 설명은 그 안에 프로세스 가스를 갖는 플라즈마 챔버이다. 이 프로세스는 프로세스 가스에 전기적으로 커플링된 RF 또는 마이크로파 신호에 의해 활성화된다. 프로세스 가스를 활성화시켜 프로세스 가스 내에 이온들 및 라디컬들이 형성되게 한다. 이온들 및 라디컬들은 그 후, 에칭될 표면을 향하게 된다. 이온들 및 라디컬들은 플라즈마 챔버 내의 각종 표면들의 전기적 바이어싱 및 가스 흐름들을 사용하여 에칭될 표면을 향할 수 있다. 이온들 및 라디컬들은 에칭될 표면에서의 재료와 반응한다.
에칭 플라즈마의 밀도를 증가시키는 것은, 에칭면이 얼마나 빠르게 에칭되는지 (예를 들어, 일반적으로 분당 옴스트롱 단위로 표현된 에칭 속도) 를 증가시키는 일 방법이다. 에칭 플라즈마의 밀도를 증가시켜 이온들의 농도를 증가시키고, 이에 따라 프로세스 가스, 이온들과 에칭 표면 간의 반응성을 증가시킨다.
그러나, 플라즈마 밀도를 증가시키는 것은 또한, 최적인 해리 레벨 이상으로 구성 원소들 또는 분자들 안으로의 프로세스 가스 혼합물의 과도한 해리 (dissociation) 를 야기할 수 있다.
예를 들어, 증가된 플라즈마 밀도는 통상적으로 원자 플루오르 라디컬로 하여금 플루오르카본 프로세스 가스 혼합물로부터 해리되게 한다. 해리된 플루오르는 에칭될 층 아래에 있는 에칭 스톱 층 또는 피처 측벽의 에칭 마스크의 바람직하지 않은 에칭을 가속화할 수도 있다. 또한, 플루오르카본 소스 가스의 과도한 해리는, 과도한 플루오르와 유사한 효과를 갖고, 플라즈마에서의 플루오르카본 라디컬 종들의 비 최적의 조성을 유발할 수도 있다.
프로세스 가스가 너무 많이 해리되는 경우 발생할 수 있는 감소되거나 바람직한 것보다 더 적은 제어 및 균일도의 다른 문제들이 존재한다. 상기의 관점에서, 프로세스 가스의 이온 생성 및 해리의 독립적인 제어를 갖는 플라즈마 에칭을 위한 시스템 및 방법이 필요하다.
대체로, 본 발명은 프로세스 가스의 이온 생성 및 해리의 독립적인 제어를 갖는 플라즈마 에칭을 위한 시스템 및 방법을 제공함으로써 이들 필요성들을 충족시킨다. 본 발명은, 프로세스, 장치, 시스템, 컴퓨터 판독가능 매체, 또는 디바이스를 포함하는 다수의 방식으로 구현될 수 있다. 본 발명의 여러 발명의 실시형태들이 후술된다.
일 실시형태는, 프로세스 챔버의 상부 전극 내의 다수의 중공 음극 공동들 안으로 소스 가스 혼합물을 주입하는 단계 및 중공 음극 공동 (hollow cathod cavity) 들의 각 공동에서 플라즈마를 생성하는 단계를 포함하는, 소스 가스 혼합물을 프로세스 챔버 안으로 주입하는 단계를 포함하는 반도체 웨이퍼의 에칭 방법을 제공한다. 중공 음극 공동들에서 플라즈마를 생성하는 단계는 중공 음극 공동들에 제 1 바이어싱 신호를 인가하는 단계를 포함한다. 생성된 플라즈마는 중공 음극 공동들 각각의 대응하는 유출구들로부터 프로세스 챔버 내의 웨이퍼 프로세싱 영역 안으로 출력된다. 웨이퍼 프로세싱 영역은 중공 음극 공동들의 유출구들과 에칭될 표면 사이에 위치한다. 웨이퍼 프로세싱 영역 안으로 에천트 가스 혼합물이 주입된다. 에천트 가스 혼합물은, 에천트 가스 혼합물이 중공 음극 공동들의 유출구들로부터 출력된 플라즈마와 혼합하도록 상부 전극의 다수의 주입 포트들을 통해 주입된다. 중공 음극 공동들의 유출구들로부터 흐르는 플라즈마 및 소스 가스 혼합물에 의해 에천트 가스 혼합물이 중공 음극 공동들의 유출구들 안으로 흐르는 것이 실질적으로 방지된다. 에천트 가스 혼합물 및 플라즈마를 혼합하여 웨이퍼 프로세싱 영역에서 원하는 화학적 종들의 세트를 생성하여, 에칭될 표면에서 최적의 에칭 결과들을 초래한다.
중공 음극 공동들의 각 공동에서 플라즈마를 생성하는 단계는 상부 전극을 냉각시키는 단계를 포함할 수 있다. 중공 음극 공동들을 바이어싱하는 단계는 상부 전극의 제 2 도전층에 제 1 바이어싱 신호를 인가하는 단계를 포함할 수 있고, 중공 음극 공동들은 제 2 도전층에 형성된다. 제 1 바이어싱 신호는 RF 바이어싱 신호를 포함할 수 있다. 제 1 바이어싱 신호는 약 1㎒ 내지 약 15 ㎒의 범위 내의 RF 신호를 포함할 수 있다.
다수의 주입 포트들은 실질적으로 상부 전극의 웨이퍼 프로세싱 영역 표면 전체에 걸쳐 분포된다. 중공 음극 공동들은 실질적으로 상부 전극의 웨이퍼 프로세싱 영역 표면 전체에 걸쳐 분포된다. 다수의 중공 음극 공동들 및 다수의 주입 포트들은 상부 전극의 웨이퍼 프로세싱 표면 전체에 걸쳐 실질적으로 균등하게 배치된다.
중공 음극 공동들 각각의 대응하는 유출구들로부터, 생성된 플라즈마를 출력하는 단계는 하부 전극에 제 2 바이어싱 신호를 인가하는 단계를 포함할 수 있다. 중공 음극 공동들의 각 공동에서 플라즈마를 생성하는 단계는 중공 음극 공동들의 유출구들에 제 3 바이어스 신호를 인가하는 단계를 포함할 수 있다. 제 3 바이어스 신호는 그라운드 전위일 수 있다.
에칭될 표면을 에칭하는 단계는 웨이퍼 프로세싱 영역에 커플링된 웨이퍼 지지 전극에 또는 다른 전극에 제 4 바이어스 신호를 인가하는 단계를 포함하여, 중공 음극 공동들로부터 흐르는 플라즈마에 의해 제공된 웨이퍼 이온 충격 및 플라즈마 생성을 증가시킬 수 있다.
에칭될 표면을 에칭하는 단계는 웨이퍼 프로세싱 영역으로부터 에칭 부산물들을 제거하는 단계를 포함할 수 있다. 그라운드 전위가 또한, 상부 전극의 제 1 도전층에 인가될 수 있다. 중공 음극 공동들은 다수의 중공 음극 트렌치들을 포함할 수 있다. 상부 전극 내의 다수의 주입 포트들은 다수의 주입 트렌치들을 포함할 수 있다. 소스 가스 혼합물은 불활성 가스일 수 있다. 에천트 가스 혼합물은 플루오르카본 함유 가스를 포함할 수 있다. 중공 음극 공동들의 각 공동에 대한 유출구는 플라즈마 시스 (sheath) 두께의 2 배보다 더 큰 폭을 가질 수 있다.
다른 실시형태는 소스 가스 혼합물 소스, 에천트 가스 소스 및 프로세스 챔버를 포함하는 에칭 종들을 생성하기 위한 시스템을 제공한다. 이 프로세스 챔버는 상부 전극 및 하부 전극을 포함한다. 상부 전극은 다수의 중공 음극 공동들을 포함하고, 소스 가스 혼합물 소스가 중공 음극 공동들의 각 공동의 유입구에 커플링된다. 상부 전극은 또한, 중공 음극 공동들의 각 공동에 대한 대응하는 유출구 및 중공 음극 공동들의 각 공동에 커플링된 제 1 바이어싱 신호 소스를 포함한다. 대응하는 유출구들은 프로세스 챔버의 웨이퍼 프로세싱 영역에 개방되어 있다. 웨이퍼 프로세싱 영역은 중공 음극 공동들 각각의 유출구들과 에칭될 표면 사이에 위치한다. 상부 전극은 또한, 에천트 가스 소스에 커플링된 다수의 주입 포트들을 포함한다. 주입 포트들은 에천트 가스를 웨이퍼 프로세싱 영역 안으로 주입할 수 있다. 하부 전극은 반도체 웨이퍼를 지지할 수 있고, 이 반도체 웨이퍼는 에칭될 표면을 포함한다.
대응하는 유출구들의 각 유출구는 플라즈마 시스 두께의 2 배보다 더 큰 폭을 가질 수 있다. 다르게는, 대응하는 유출구들의 각 유출구는 플라즈마 시스 두께의 2 배 이하의 폭을 가질 수 있다.
다른 실시형태는, 프로세스 챔버의 상부 전극 내의 다수의 중공 음극 공동들 안으로 소스 가스 혼합물을 주입하는 단계는, 복수의 중공 음극 공동들에 제 1 바이어싱 신호를 인가하는 단계를 포함하는 중공 음극 공동들의 각 공동에서 플라즈마를 생성하는 단계, 중공 음극 공동들에서 활성화된 종들을 생성하는 단계 및 중공 음극 공동들 각각의 대응하는 유출구들로부터, 프로세스 챔버의 웨이퍼 프로세싱 영역 안으로 생성된 활성화된 종들을 출력하는 단계를 포함하는, 소스 가스 혼합물을 프로세스 챔버 안으로 주입하는 단계를 포함하는 반도체 웨이퍼의 에칭 방법을 제공한다. 웨이퍼 프로세싱 영역은 중공 음극 공동들 각각의 유출구들과 에칭될 표면 사이에 위치한다. 에천트 가스 혼합물은 웨이퍼 프로세싱 영역 안으로 주입된다. 웨이퍼 프로세싱 영역에 전기적으로 커플링되는 웨이퍼 지지 전극 또는 다른 전극에 제 2 바이어싱 신호를 커플링함으로써 웨이퍼 프로세싱 영역에서 플라즈마가 생성된다. 에천트 가스 혼합물은, 에천트 가스 혼합물이 중공 음극 공동들의 유출구들로부터 출력된 활성화된 종들과 혼합하도록 상부 전극 내의 하나 이상의 주입 포트들을 통해 주입되고, 웨이퍼 프로세싱 영역에서 원하는 화학적 종들을 생성하는 단계를 포함한다. 중공 음극 공동들 각각의 유출구들로부터 흐르는 활성화된 종들에 의해 에천트 가스 혼합물이 중공 음극 공동들 각각의 유출구들 안으로 흐르는 것이 실질적으로 방지된다. 중공 음극 공동들의 각 공동에 대한 유출구는 플라즈마 시스 두께의 2 배보다 적은 폭을 갖는다. 그 후, 에칭될 표면이 에칭된다.
본 발명의 다른 양태들 및 이점들은 본 발명의 원리를 예로써 나타내는, 첨부된 도면들과 함께 취해진 다음의 상세한 설명으로부터 명백해질 것이다.
본 발명은 첨부된 도면들과 함께 다음의 상세한 설명에 의해 용이하게 이해될 것이다.
도 1 은 본 발명의 실시형태에 따른, 상부 전극 내의 다수의 중공 음극 플라즈마 공동들을 갖는 플라즈마 프로세싱 챔버 시스템의 개략도이다.
도 2 는 본 발명의 실시형태들에 따른, 다수의 중공 음극 플라즈마 공동들 중 단일 공동의 보다 상세한 도면이다.
도 3 은 본 발명의 실시형태들에 따른, 상부 전극 내의 DC 또는 RF-전력 공급된 중공 음극 (HC) 공동들의 2 차원 어레이의 개략도이다.
도 4 는 본 발명의 실시형태들에 따른, 상부 전극 내의 DC 또는 RF-전력 공급된 중공 음극 트렌치들의 2 차원 어레이의 개략도이다.
도 5 는 본 발명의 일 실시형태에 따른, 증가된 해리 없이 증가된 플라즈마 밀도를 생성하는데 있어서 수행된 방법 동작들을 나타내는 흐름도이다.
프로세스 가스의 이온 생성 및 해리의 독립적인 제어를 갖는 플라즈마 에칭을 위한 시스템 및 방법에 대한 여러 예시적인 실시형태들을 설명한다. 본원에 설명된 구체적인 상세들의 일부 또는 전부 없이 본 발명이 실시될 수도 있다는 것이 당업자에게 자명하다.
유전체 웨이퍼 필름들을 에칭하기 위한 통상적인 플라즈마 챔버들은 공통적인 문제를 갖는다. 목표가 되는 재료의 에칭 속도는 표면에 도달하는 이온 플럭스에 의해 종종 제한된다. 보다 높은 에칭 속도 및 이에 따라 보다 효율적인 에칭 프로세스를 획득하기 위해, 이온 플럭스가 증가될 수 있다. 프로세스 제어 파라미터들이 조정되어 에칭될 웨이퍼 표면에 대해 비교적 높은 이온 플럭스를 생성하기 때문에, 플라즈마 밀도에서의 대응하는 증가는 프로세스 가스(들)의 해리에서의 증가를 초래한다. 이는, 플라즈마에 존재하는 화학적 종들의 조합을 변화시킨다. 보다 구체적으로, 웨이퍼에 대한 이온 플럭스를 증가시키는 동일한 파라미터 변화들은 또한, 일반적으로 플라즈마의 전자 밀도를 증가시킨다. 전자 밀도는, 플라즈마의 프로세스 가스(들) 화학물질의 분자 해리 속도에 직접 영향을 주고, 이에 의해 플라즈마의 화학적 조성 (예를 들어, 상이한 라디컬들, 프로세스 가스 페어런트 분자들, 및 에칭 부산물들 간의 비율) 을 변화시키는 플라즈마 컨디션이다. 플라즈마 밀도가 증가함에 따라 더 많은 해리가 존재하고, 전체 플라즈마 화학물질에서 대응하는 변화들을 야기한다.
플루오르카본 (또는 수소화불화탄소) 소스 가스들 (FC 가스들) 은 통상적으로, Si02, SiNx, SiOCHx, 및 다른 실리콘계 유전체들을 에칭하는데 사용된다. 과도한 해리는 적합하지 않은 플라즈마 컨디션들 및 최적보다 덜한 프로세스 결과들을 초래한다. 구체적으로, 매우 많은 해리는, 표면들을 에칭하는 경향이 너무 강하고 플루오르카본 (FC) 박막들을 형성함으로써 표면들을 패시베이팅하는 경향이 너무 약함을 의미하는, 너무 "기울어지는 (lean)" 플라즈마 화학물질을 생성한다. 너무 많은 해리는, CxFy (X=2, 3, 4) 과 같은 중합 중성 종들을 표면 상의 소스 가스들의 감소된 중합을 초래하는 CFx (X=1, 2, 3) 및 F 와 같은 에칭 중성 종들로 변환한다. 과도한 해리 및 결과적인 소스 가스들의 낮은 중합은 마스크 및 기판 필름들에 대한 낮은 에칭 선택도, 뿐만 아니라 바람직하지 않은 측벽 에칭을 야기한다.
때때로, 플라즈마 화학물질의 가스 혼합물을 조정하는 것은 과도한 해리를 적어도 부분적으로 보상할 수 있다. 그러나, 가스 혼합물을 조정하는 것은 고 밀도의 플라즈마의 낮은 중합 한계들을 완전히 극복할 수 없다. 이 문제의 극단적인 예는, 고 밀도의 유도 결합 플라즈마 (ICP) 소스들이 FC 가스들과 함께 사용될 때 보여진다. 이 결과는 유기 마스크 재료들에 대한 낮은 선택도이다.
이온 플럭스와 전자 밀도 간의 밀접한 커플링 (close coupling) 은 높은 이온 플럭스 및 최적의 플루오르카본 플라즈마 화학물질을 조합하는 플라즈마 컨디션을 획득하는 것을 어렵게 만든다. 이 밀접한 커플링은 높은 에칭 속도와 높은 선택도 간의 트레이드오프를 강요한다. 이 트레이드오프는 보통, 높은 애스펙트비 (high-aspect-ratio; HAR) 콘택 또는 셀 에칭에 맞닥뜨리는데, 여기서 비교적 두꺼운 필름들로 인해 높은 에칭 속도들이 바람직하고, 두꺼운 마스크 필름들을 공급하는 복잡성 및 비용으로 인해 높은 선택도가 요망된다.
증가된 해리 없이 증가된 플라즈마 밀도를 제공하기 위한 일 접근법은 HAR 유전체 에칭을 위한 통상의 컨디션들과 비교하여 보다 낮은 전자 온도를 갖지만 고 밀도의 플라즈마를 생성하는 것이다. 이는 높은 이온 플럭스들이 웨이퍼 표면에 도달하는 것을 가능하게 하지만, 프로세스 가스의 해리를 유도하기 위한 충분한 에너지를 갖는 전자들의 일부 (fraction) 를 감소시킨다. 이는, 웨이퍼 프로세싱 영역 안으로의 플라즈마 및 프로세스 가스 양자의 균일한 주입을 허용하는 방식으로, 프로세스 가스 해리로부터 플라즈마 활성화 또는 생성을 공간적으로 분리시킴으로써 달성될 수 있다. 이 방식에서, 프로세스 가스들로부터 분리되는 영역에서 플라즈마가 생성되거나 또는 다르게는 가스들이 미리 활성화되기 때문에, 프로세싱될 기판과 상호작용하는 플라즈마는 낮은 해리이지만 높은 플라즈마 밀도의 이점을 가질 것이다.
해리를 증가시키지 않고 플라즈마 밀도를 증가시키는 일 접근법은 플라즈마 소스로부터 웨이퍼 프로세싱 영역으로 플라즈마를 직접 주입하는 것을 포함한다. 웨이퍼 프로세싱 영역에서의 플라즈마 밀도는 주입된 플라즈마에 의해 제공 및/또는 증가되므로, 전자 온도 및 이에 따른 프로세스 가스 해리 속도가 증가되지 않는다.
해리를 증가시키지 않고 플라즈마 밀도를 증가시키기 위한 다른 접근법은 플라즈마 소스로부터 웨이퍼 영역으로 활성화된 종들을 주입하는 것을 포함한다. 이들 종들은 준안정의 전자적으로 여기된 원자들 및 분자들, 진동으로 여기된 분자들, 또는 분자 해리에 의해 생성된 라디컬들을 포함할 수도 있어서, 이들 종들은 소스 가스 혼합물에 존재하는 페어런트 원자들 및 분자들 보다 실질적으로 더 낮은 이온화 에너지를 갖는다. 플라즈마 소스의 여기와 구별되는 전기적 여기에 의해 웨이퍼 프로세싱 영역에서 플라즈마가 생성된다. 예로써, 하부 전극 (104) 은 인가된 RF 바이어싱 신호 (S2)(예를 들어, 27 ㎒) 를 가질 수 있고, 상부 전극의 제 3 도전층 (112) 은 인가된 그라운드 전위 (S3) 를 가질 수 있다. 활성화된 종들의 일부는 웨이퍼 프로세싱 영역에서 플라즈마에 의해 이온화될 것이다. 활성화되지 않은 소스 가스 혼합물에 대하여 활성화된 종들을 특징짓는 감소된 이온화 전위들로 인해, 낮아진 전자 에너지들로 플라즈마 평형이 달성될 것이고, 이에 따라 웨이퍼 프로세싱 영역에서의 플라즈마가 조정되어 전자 온도를 낮출 것이다. 이 낮아진 전자 온도는 동일한 플라즈마 밀도에서 에천트 가스 혼합물의 보다 적은 해리를, 또는 보다 높은 플라즈마 밀도에서 동일한 해리를 생성하는 경향이 있다. 두 경우에서, 웨이퍼 프로세싱 영역에서의 플라즈마 밀도의 증가는 웨이퍼 프로세싱 영역에서의 프로세스 가스의 해리 속도로부터 크게 디커플링된 플라즈마 소스(들) 에 인가된 전력에 의해 제어될 수 있다.
도 1 은 본 발명의 실시형태들에 따른, 상부 전극 (103) 내의 다수의 중공 음극 플라즈마 공동들 (108) 을 갖는 플라즈마 프로세싱 챔버 시스템 (100) 의 개략도이다. 도 2 는 본 발명의 실시형태들에 따른, 다수의 중공 음극 플라즈마 공동들 (108) 중 단일의 공동의 보다 상세한 도면이다. 시스템 (100) 은 플라즈마 프로세싱 챔버 (101) 및 제어기 (125) 를 포함한다. 플라즈마 프로세싱 챔버 (101) 는 상부 전극 (103) 및 하부 전극 (104) 을 포함한다. 하부 전극 (104) 은 웨이퍼 (102) 를 지지하고 웨이퍼에 전기적으로 커플링되는 척을 포함한다. 플라즈마 프로세싱 챔버 (101) 는 또한, 상부 전극 (103) 과 하부 전극 (104) 사이의 웨이퍼 프로세싱 영역 (106) 을 포함한다. 웨이퍼 프로세싱 영역은 약 16 mm 와 약 36 mm 사이의 갭 (D11) 을 가질 수 있다.
다수의 가스 소스들 (129, 141) 이 플라즈마 프로세싱 챔버 (101) 에 커플링된다. 2 개의 가스 소스들 (129, 141) 이 도시되었으나, 2 개보다 많은 가스 소스들이 또한 시스템 (100) 에 포함되거나 시스템에 커플링될 수 있다. 가스 소스들 (129, 141) 은 또한, 가스 흐름 속도 및 혼합을 제어하기 위한 서브시스템들 (예를 들어, 밸브, 매니폴드, 흐름 모니터 및 흐름 제어기) 을 포함한다. 가스 소스들 (129, 141) 은 또한, 그 안에 포함된 가스들의 가스 압력을 제어하는 서브시스템들을 포함한다.
다수의 바이어싱 (RF 및/또는 DC) 신호 소스들 (S1 내지 S5) 이 또한, 시스템 (100) 에 포함된다. 이하에서 더 상세히 설명되는 바와 같이, 바이어싱 신호 소스들 (S1 내지 S5) 은 상부 전극 (103) 의 일부분 및 하부 전극 (104) 의 일부분에 커플링된다.
상부 전극 (103) 은 상부 전극의 온도를 제어하는 온도 제어 시스템 (122A) 을 포함한다. 상부 전극 (103) 은 또한, 다수의 중공 음극 플라즈마 공동들 (108) 을 포함한다. 이하에서 더 상세히 설명되는 바와 같이, 상부 전극 (103) 은 또한, 각각의 가스 소스들 (129, 141) 로부터 각각의 사용 포인트들로 각각의 가스들 (128, 140) 을 분배하는 전달 플래넘들 (124 및 126) 을 포함한다.
상부 전극 (103) 은 다수의 층들로 형성될 수 있다. 예로써, 온도 제어 층 (122) 은 우수한 열 전도성 재료, 예컨대 금속 (알루미늄, 스테인리스 스틸, 다른 적합한 열적으로 전도성 재료 또는 재료들의 조합들), 탄화 규소일 수 있다. 온도 제어 층 (122) 은 임의의 적합한 치수의 두께 (D10) 를 갖는다. 예로써, 두께 (D10) 는 약 3mm 미만과 약 100mm 초과 사이일 수 있다.
HC 공동들 (108) 위에는 제 1 도전층 (120) 이 형성될 수 있다. 이하에서 더 상세히 설명되는 바와 같이, 제 1 도전층 (120) 은 바이어싱되어 HC 공동들 (108) 에 형성된 플라즈마 (140A) 를 다이렉팅하는 것을 도울 수 있다. 제 1 도전층 (120) 은 약 3mm 와 약 10mm 사이의 두께 (D9) 를 갖는다. 온도 제어 층 (122) 과 제 1 도전층 (120) 사이에는 전기적으로 절연층 (미도시) 이 선택적으로 포함될 수 있다.
제 2 도전층 (116) 은 HC 공동들 (108) 을 포함한다. 이하에서 더 상세히 설명되는 바와 같이, 도전층에 HC 공동들 (108) 을 형성하는 것은 HC 공동들에 바이어싱 신호 (S1) 를 인가하기 위한 비교적 단순한 구조를 가능하게 한다. 제 1 절연층 (118) 은 제 1 도전층 (120) 으로부터 제 2 도전층 (116) 을 전기적으로 절연시킨다. 제 1 절연층 (118) 은 약 1mm 와 약 6mm 사이의 두께 (D8) 를 갖는다.
제 2 절연층 (114) 은 제 3 도전층 (112) 으로부터 제 2 도전층 (116) 을 전기적으로 절연시킨다. 제 2 절연층 (114) 은 약 1mm 와 약 6mm 사이의 두께 (D7) 를 갖는다. 이하에서 더 상세히 설명되는 바와 같이, 제 3 도전층 (112) 은 바이어싱되어 HC 공동들 (108) 에 형성된 플라즈마 (140A) 를 다이렉팅하는 것을 도울 수 있다. 제 3 도전층 (112) 은 약 3mm 와 약 10mm 사이의 두께 (D6) 를 갖는다.
제어기 (125) 는 로직, 소프트웨어, 프로세서(들), 하드웨어, 입/출력 서브 시스템, 디스플레이 서브시스템, 데이터 저장 시스템, 메모리 시스템, 통신 및 네트워킹 서브시스템을 포함하는 제어기 서브시스템들을 포함한다. 제어기 (125) 는 플라즈마 챔버 시스템 (110) 의 원하는 동작을 정의하는 레시피를 포함한다. 제어기 (125) 는 플라즈마 프로세싱 시스템 (100) 의 각종 센서 시스템들 (예를 들어, 전기, 광학, 압력, 온도 등) 에 커플링되어, 플라즈마 프로세싱 시스템 내의 프로세싱을 모니터링한다. 제어기 (125) 는 또한, 바이어싱 신호 소스들 (S1 내지 S5) 의 제어 입력들 및/또는 피드백 출력들, 가스 소스들 (129, 141), 온도 제어 시스템 (122A) 에, 그리고 각종 컴포넌트들 및 일부 실시형태에서는 플라즈마 프로세싱 시스템 (100) 의 웨이퍼 (102) 를 이동시키는 (예를 들어, 상승 하강, 측방 이동, 개폐 등) 각종 액츄에이터에 커플링된다.
도 3 은 본 발명의 실시형태들에 따른 상부 전극 (103) 내의 DC 또는 RF 전력공급된 중공 음극 (HC) 공동들의 2 차원 어레이의 개략도이다. 도 4 는 본 발명의 실시형태들에 따른 상부 전극 (103) 내의 DC 또는 RF 전력공급된 중공 음극 (HC) 트렌치들의 2 차원 어레이의 개략도이다. 도 1, 2 및 3 은 상부 전극 (103) 의 표면에서의 다수의 별개의 HC 들 (108) 및 별개의 주입 포트들 (127) 을 나타낸다. 도 4 에 도시된 실시형태는 상부 전극 (103) 의 표면에서의 별개의 HC 들 (108) 및 별개의 주입 포트들 (127) 의 적어도 일부를 트렌치들 (108' 및 127') 로 각각 대체한다. 트렌치들 (108' 및 127') 은 제조 및 조립을 단순화할 수 있다. 실시형태들은 단순화된 개략적 형태로 도시되었고, 반드시 일정한 비율로 그려지지 않은 것으로 이해되어야 한다.
HC 공동들 (108) 은, 고 에너지 전자들이 캐소드 벽들 사이에 트랩되는 중공 음극 효과를 통해 플라즈마를 생성한다. HC 공동 (108) 는 약 3mm 와 약 25mm 사이의 폭 (D4) 을 갖는다. HC 공동 (108) 은 약 3mm 와 약 25mm 사이의 높이 (D5) 를 갖는다.
별개의 HC 들 (108), 별개의 주입 포트들 (127), 및 트렌치들 (108' 및 127') 은 상부 전극 (103) 의 표면 전체에 걸쳐 실질적으로 균등하게 분포되는 것으로 도시된다. 그러나, 별개의 HC 들 (108), 별개의 주입 포트들 (127), 및 트렌치들 (108' 및 127') 의 분포가 도시된 바와 같이 균등하게 분포되지 않을 수도 있는 것으로 이해되어야 한다. 별개의 HC 들 (108), 별개의 주입 포트들 (127), 및 트렌치들 (108' 및 127') 의 상대적인 크기들은 도 1 내지 도 4 에 도시된 바와 같지 않을 수도 있는 것으로 이해되어야 한다.
도 5 는 본 발명의 일 실시형태에 따른 증가된 해리 없이 증가된 플라즈마 밀도를 생성하는데 있어서 수행된 방법 동작들 (500) 을 나타내는 흐름도이다. 본원에 도시된 동작들은 예시의 방식이고, 일부 동작들은 서브 동작들을 가질 수도 있고 다른 경우에서, 본원에 설명된 소정 동작들이 도시된 동작들에 포함되지 않을 수도 있는 것으로 이해되어야 한다. 이를 염두에 두고, 방법 및 동작들 (500) 이 이제 설명된다.
동작 505 에서, HC 공동들 (108) 및/또는 트렌치들에는 분배 플래넘들 (126) 을 통해 소스 가스 (140) 가 공급된다. 동작 510 에서, 제 1 신호 (S1) 는 HC 공동들 (108) 에 인가되어 그 안에 플라즈마 (140A) 및/또는 활성화된 종들을 생성한다. 약 20 mT 내지 약 5000 mT 사이의 보통의 압력에서 그리고 더 구체적으로는 약 50 mT 내지 약 1000 mT 사이의 압력에서 고 밀도 플라즈마 (140A) 가 생성될 수 있다. 동작 515 에서, 플라즈마 (140A) 및/또는 활성화된 종들은 에칭될 표면 (102A) 과 HC 공동들 (108) 의 유출구들 (108A) 사이에 위치한 웨이퍼 프로세싱 영역 (106) 안으로 전달된다.
소스 가스 (140) 가 HC 공동들 (108) 의 상부로부터 공급되어 HC 공동들 내에 최적의 압력을 제공한다. 소스 가스 (140) 는 2 이상의 가스들의 가스 혼합물 또는 순 (pure) 가스일 수 있다. 소스 가스 (140) 는 실질적으로 불활성이고 에칭될 표면과 반응하지 않는다. 예로써, 소스 가스(들)(140) 은 아르곤, 제논 또는 심지어 N2 나 02 와 같은 분자 가스 중 하나 또는 혼합물을 포함할 수 있다. N2 및 02 는 보통 불활성으로 간주되지 않지만, 소스 가스, N2 및 02 및 그 임의의 해리가 HC 공동 (108) 또는 트렌치의 내측 표면들에 주목할 만한 변형을 야기하지 않고, 낮은 레벨의 해리를 갖도록 의도되는 플루오르카본 가스들과 같은 가스들을 포함하지 않는다면, 이 경우에서 소스 가스는 불활성인 것으로 간주될 수도 있다.
활성화된 종들이 웨이퍼 프로세싱 영역 (106) 안으로 주입되는 경우, 소스 가스가 선택되어 그러한 원하는 종들을 생성한다. 예를 들어, 통상적인 플라즈마 컨디션 하에서 준안정의 전자적으로 여기된 원자들을 생성하기 위해 아르곤 및 제논과 같은 희가스 원자들이 알려져 있다. 이들 준안정의 원자들은 그라운드-상태 원자보다 매우 낮은 이온화 전위를 가질 수도 있다. 유사하게, N2 분자는 또한, 통상적인 플라즈마 컨디션 하의 조밀한 (populating) 준안정의 전자 상태들에서 상대적으로 효율적이다. 다른 예로서, CO 분자는 또한, CO 분자보다 더 낮은 이온화 전위를 갖는 원자 C 라디컬들을 생성하기 위해 소스 영역에서 해리할 수 있기 때문에, 유리할 수도 있다.
플루오르카본 또는 다른 할로겐화물 함유 에천트 가스 (128) 는, HC 공동 (108) 의 내측 표면들 및 에칭될 표면 (102A) 상에 디파짓 (deposit) 들을 형성하거나 에칭할 수도 있기 때문에, 불활성으로 간주되지 않는다. 플루오르카본 또는 다른 할로겐화물 함유 에천트 가스 (128) 의 해리는 또한, 전술된 바와 같은 에칭 결과들에 강하게 영향을 줄 수 있다. 따라서, 플루오르카본 또는 다른 할로겐화물 함유 에천트 가스 (128) 는 HC 공동 (108) 을 통해 주입되지 않는다. 그러나, 플루오르카본 또는 다른 할로겐화물 함유 에천트 가스 (128) 는 에칭될 표면 (102A) 과의 에칭/화학적 반응을 위해 여전히 필요하다.
플루오르카본 또는 다른 할로겐화물 함유 에천트 가스 (128) 는 또한, 가스들의 혼합물을 포함할 수 있다. 에천트 가스 혼합물에서의 일부 가스들은 불활성일 수도 있고, 혼합물에서의 일부 가스들은 반응성일 수도 있다. 에천트 가스 혼합물에서의 가스들의 비율이 조정되어 원하는 비율을 달성할 수도 있다. 에천트 가스 (128) 는, 에칭될 표면 (102A) 에서 화학적 에천트로서 작용하는 화학적 종들 또는 그 전구체들을 함유하는 가스 혼합물 또는 단일 가스일 수 있다. 예로써, 에천트 가스 (128) 는 Si02 에칭용 플루오르카본들 또는 Si 에칭용 Cl2 를 포함할 수 있다. 02, CH4, 또는 HBr 과 같은 다른 가스들이 플루오르카본 함유 가스(들)과 혼합되어, 측벽 패시베이션 또는 다른 이로운 효과들을 제공할 수도 있다.
동작 520 에서, 플루오르카본 또는 다른 할로겐화물 함유 에천트 가스 (128) 를 포함하는 제 2 가스가 웨이퍼 프로세싱 영역 (106) 안으로 주입된다. 제 2 가스는 HC 공동들 (108) 을 바이패스하고, 주입 포트들 (127) 을 통해 웨이퍼 프로세싱 영역 (106) 안으로 직접 주입된다. 주입 포트들 (127) 은 HC 공동들 (108) 의 유출구들 (108A) 사이에 위치된다. 에천트 가스 (128) 를 주입하는 것은 에천트 가스 소스 (129) 로부터 하나 이상의 전달 플래넘들 (124) 로 에천트 가스를 전달하는 것을 포함할 수 있다. 전달 플래넘들 (124) 은 에천트 가스 (128) 를 주입 포트들 (127) 로 분배한다. 에천트 가스 (108) 는 주입 포트들 (127) 로부터 웨이퍼 프로세싱 영역 (106) 안으로 주입된다. 따라서, 플루오르카본 또는 할로겐화물 함유 에천트 가스 (128) 는 HC 공동들 (108) 을 바이패스하고, 웨이퍼 프로세싱 영역 (106) 안으로 직접 주입된다.
동작 530 에서, 에천트 가스 (128) 는 웨이퍼 프로세싱 영역 (106) 에서 HC 유출구들 (108A) 로부터 출력된 활성화된 종들 및/또는 플라즈마 (140A) 와 혼합한다. HC 유출구들 (108A) 로부터 활성화된 종들이 출력되는 경우, 하부 전극 (104) 에 바이어싱 신호 (S2) 를 인가하고 상부 전극의 제 3 도전성 층 (112) 에 그라운드 전위 신호 (S3) 를 인가함으로써 웨이퍼 프로세싱 영역 (106) 에서 플라즈마가 생성될 수 있다. 플라즈마 (140A) 및/또는 활성화된 종들이 HC 들 (108) 의 유출구 (108A) 로부터 흐르기 때문에, 소스 가스 (140) 의 선택된 흐름 속도 및 유출구들 (108A) 의 폭 (D2) 및 유출구들 (108A) 의 수직 길이 (D6+D7) 의 조합이 압력 드롭을 결정한다. 유출구들 (108A) 의 폭 (D2) 은 약 1.0 mm 와 약 15 mm 사이일 수 있고, 유출구들 (108A) 의 길이 (D6+D7) 는 약 1.0 mm 와 약 12 mm 사이일 수 있다. 어퍼처를 통과하는 가스 흐름에 대해, 면적에 대한 순유속의 비는 플럭스를 나타내고, 이 파라미터는 반대 방향에서의 바람직하지 않은 가스 이송을 방지하기 위한 순 가스 흐름의 능력을 결정하도록 조정될 수 있다. 유출구들 (108A) 을 통과하는 플라즈마 (140A) 및 가스 (140) 의 플럭스는, 웨이퍼 프로세싱 영역 (106) 에 존재하는 가스 종들이 이 개구들 (108A) 을 통과하여 HC 들 (108) 로 진입하는 것을 실질적으로 방지한다. 따라서, 에천트 가스 (128) 및 그 해리 및 반응 산물들이 HC 들 (108) 내의 표면들과 상호작용하는 것이 실질적으로 방지된다.
가스 (140) 는 HC 들 (108) 안으로 흐르기 때문에, 소스 가스 (140) 의 선택된 흐름 속도 및 포트(들) (140B) 의 폭 (D3) 및 포트(들)(140B) 의 수직 길이 (D12) 의 조합이 압력 드롭을 결정한다. 포트(들)(140B) 및 폭 (D3) 을 통과한 가스 (140) 의 플럭스는 플라즈마가 소스 가스 공급 플래넘 (126) 안으로 확장되는 것을 실질적으로 방지한다. 포트(들)(140B) 은 명목상 약 2x 플라즈마 시스 두께 보다 작은 폭 (D3) 을 갖는다. 예로써, 폭 (D3) 은 약 0.1 mm 와 약 0.7 mm 사이일 수 있다. 어퍼처(들)(140B) 의 길이 (D12) 는 약 1 mm 와 약 12 mm 사이일 수 있다.
에천트 가스 (128) 의 선택된 흐름 속도 및 어퍼처들 (127B) 의 폭 (D1) 및 길이 (D13) 의 조합은 웨이퍼 프로세싱 영역 (106) 안으로의 에천트 가스 (128) 의 압력 드롭을 결정한다. 어퍼처 (127B) 의 폭 (D1) 은 약 0.3 mm 와 약 0.8 mm 사이일 수 있고, 어퍼처의 길이 (D13) 는 약 2.0 mm 와 약 20.0 mm 사이일 수 있다. 에천트 가스 (128) 의 플럭스 및 어퍼처들 (127B) 의 폭 (D1) 은 웨이퍼 프로세싱 영역 (106) 에 존재하는 가스 종들이 주입 포트들 (127) 로 진입하는 것을 실질적으로 방지한다. 소스 가스 (140) 및 에천트 가스 (128) 의 흐름 속도들의 비는, 예컨대 각각의 가스 소스들 (129, 141) 의 압력들을 변경함으로써 선택적으로 제어될 수 있다.
예로써, 소스 가스 (140) 및 에천트 가스 (128) 의 흐름 속도들의 비는, 웨이퍼 프로세싱 영역 (106) 안으로의 총 가스 흐름 중 더 큰 부분이 HC 들 (108) 로부터 유출된 플라즈마 이온들 (140A) 및 소스 가스 (140) 이도록 선택적으로 제어될 수 있다. 유사하게, 소스 가스 (140) 및 에천트 가스 (128) 의 흐름 속도들의 비는, 웨이퍼 프로세싱 영역 (106) 안으로의 총 가스 흐름의 더 큰 부분이 주입 포트들 (127) 로부터 유출되도록 선택적으로 제어될 수 있다. 또한 유사하게, 소스 가스 (140) 및 에천트 가스 (128) 의 흐름 속도들의 비는, 웨이퍼 프로세싱 영역 (106) 안으로의 총 가스 흐름이 주입 포트들 (127) 로부터 유출된 에천트 가스 (128) 및 HC 들 (108) 로부터 유출된 소스 가스 (140) 와 플라즈마 이온들 (140A) 사이에서 실질적으로 균등하게 분배되도록 선택적으로 제어될 수 있다.
HC 공동들 (108) 의 개구들 (108A) 의 폭 (D2) 은 에천트 가스 (128) 가 웨이퍼 프로세싱 영역 (106) 으로부터 그리고 HC 공동들 안으로 흐르는 것을 실질적으로 방지한다. 폭 (D2) 은 플라즈마의 선택에 의해 결정되고/되거나 활성화된 종들은 HC 들 (108) 로부터 그리고 특정 플라즈마 (140A) 의 다이내믹에 의해 출력되도록 요망된다. 예로써, 폭 (D2) 은, 플라즈마가 개구들 (108A) 을 통해 웨이퍼 프로세싱 영역 (106) 안으로 이송되는 것을 보장하도록, 플라즈마 시스 두께의 2 배보다 넓다. 반대로, 플라즈마 (140A) 가 아니고 단지 활성화된 종들만 웨이퍼 프로세싱 영역 (106) 안으로 주입되도록 의도되면, 폭 (D2) 은, 플라즈마가 개구들 (108A) 에서 반드시 없어지게 하도록 플라즈마 시스 두께의 2 배보다 더 작아질 것이다.
HC 들 (108) 및/또는 트렌치들 (108') 은, 에칭될 표면 (102A) 및 웨이퍼 프로세싱 영역 (106) 전체에 걸쳐 플라즈마 이온들 (140A) 및 에천트 가스 (127) 의 혼합물 (208) 및 실질적으로 균일한 흐름을 전달하도록 상부 전극 (103) 의 면 전체에 걸쳐 분포된다. 동작 540 에서, 플라즈마 (140A) 의 전자들은 웨이퍼 프로세싱 영역 (106) 에서 에천트 가스들 (127) 과 상호작용하여, 제어된 해리를 유도하고 표면 (102A) 과의 연관된 패시베이션 및 에칭 반응에 필요한 원하는 화학적 종들 (208) 을 생성한다. 플라즈마 소스(들)(108) 의 원격의 (remote) 성질 및 HC 들 (108) 로부터 웨이퍼 프로세싱 영역 (106) 안으로의 플라즈마 (140A) 의 확산으로 인해, 또는 HC 들 (108) 로부터 웨이퍼 프로세싱 영역 (106) 안으로 낮아진 이온화 전위를 갖는 활성화된 종들의 이송으로 인해, 웨이퍼 프로세싱 영역에서의 전자 온도는 HAR 유전체 에칭을 위해 현재 통상적인 전자 온도보다 상당히 낮아진다.
동작 550 에서, 플라즈마 (140A) 의 일부 및 원하는 화학적 종들 (208) 이 에칭될 표면 (102A) 으로 전달되어, 에칭될 이 표면을 에칭한다. 표면 (102A) 을 에칭하는 것은 에칭 부산물들을 생성한다. 동작 560 에서, 에칭 부산물들이 웨이퍼 프로세싱 영역 (106) 으로부터 제거된다. 플라즈마 (140A) 및 원하는 화학적 종들 (208) 을 에칭될 표면 (102A) 으로 전달하는 것은 또한, 신호 소스 (S2) 로부터 하부 전극 (104) 으로 신호를 인가하는 것을 포함한다. 신호 (S2) 는 이온 충격 에너지를 제어하기 위한 RF 바이어스일 수 있다. RF 바이어스는 DC 바이어스에 비해 잘 알려진 이점을 갖고, RF 바이어스는 최소의 전위 드롭을 갖는 유전체 필름들 (예를 들어, 웨이퍼 표면 상의 산화물 필름들 또는 ESC 내의 세라믹 층들) 을 통해 인가될 수 있다. 신호 (S2) 는 하부 전극 (104) 을 바이어싱하기 위한 RF 신호 및 DC 신호 양자를 포함할 수 있다. 하부 전극 (104) 에 인가된 바이어스 신호 (S2) 는 또한, 웨이퍼 프로세싱 영역 (106) 에서 플라즈마 밀도를 생성 및/또는 증가시킬 수도 있다.
바이어스 신호들 (S3 및 S4) 은 HC 공동들 (108) 의 각각의 상단 (120) 및 하단 (112) 에 제공되어 HC 공동들로부터 플라즈마 (140A) 의 전달을 향상시킬 수 있다. 바이어스 신호들 (S3 및 S4) 은 그라운드 전위일 수 있다. 온도 제어 층 (122) 은 제 1 도전층 (120) 과 동일한 바이어싱 신호 (S4) 로 바이어싱될 수 있다. 다르게는 그리고 전술된 바와 같이, 선택적 절연층이 온도 제어 층 (122) 을 제 1 도전층 (120) 으로부터 전기적으로 절연시킬 수 있고, 따라서 온도 제어 층이 제 1 도전층에 인가된 바이어스 신호 (S4) 와 상이한 신호 (S5) 로 바이어싱되는 것을 가능하게 한다.
소스 가스 (140) 는 폭 (D3) 을 갖는 하나 이상의 포트들 (140B) 을 통해 HC 공동들 (108) 의 상부 안으로 주입된다. 다수의 작은 포트들 (140B) 은 실질적으로, 플라즈마 (140A) 가 HC 공동들 (108) 로부터 상방으로 그리고 전달 플래넘 (126) 안으로 누설되는 것을 방지한다. 반대로, HC 들 (108) 의 유출구 (108A) 에서의 플라즈마 주입은 최소의 가능한 가스 전도도를 갖고 플라즈마 이송 및/또는 활성 종들 이송을 가능하게 하도록 단일의 개구이다.
예시적인 실시형태에서, 신호 (S1) 는 전극 표면 상의 DC-플로팅 표면 필름들로 가능한 문제들을 회피하기 위해 1 내지 15 ㎒ 범위에서의 RF 일 것이다. 소스 가스 (140) 는 아르곤일 것이고, 에천트 가스 (128) 는 플루오르카본들, 수소화불화탄소들, 및/또는 O2 의 혼합물일 것이다.
많은 대안의 가능한 실시형태들이 존재한다. 예로써, HC 공동들 (108) 의 캐소드 및 그라운드 신호들 (S1, S3, S4) 은, 신호 (S1) 가 HC 공동들의 상단 위로 연장되는 선택적 도전층 (116A) 에 인가될 수 있도록 배열될 수 있다. 실질적으로 어레이의 대안의 포인트들에서 2 개의 별개의 가스들 (128, 140) 이 웨이퍼 프로세싱 영역 (106) 에 공급되기 때문에, 가스 공급 지오메트리에서 다른 변형들이 또한 가능하다.
온도 제어 층 (122) 은 온도 제어 시스템 (122A) 에 의해 능동적으로 냉각될 수 있다. 온도 제어 층 (122) 을 냉각하는 것은 HC 공동들 (108) 로부터 열을 빼낼 것이다. 예로써, 온도 제어 층 (122) 은 온도 제어 시스템 (122A) 을 통과하는 냉각수를 포함하여, 상부 전극 (103) 으로부터 외부 열 소산 시스템 (미도시) 으로 열을 운반할 수 있다. 온도 제어 시스템 (122A) 은 당해 분야에 잘 알려진 바와 같은 상부 전극 (103) 의 온도를 제어하는 다른 시스템들 및 방법들을 포함할 수 있다. 예로써, 온도 제어 시스템 (122A) 은 열 싱크, 열전 냉각, 가열, 임의의 적합한 냉각 매체를 포함할 수 있다.
에천트 가스가 C4F8 및 02 로 구성되는 일 예에서, C4F8 분자 해리들이 다양한 작은 원자들 및 분자들을 형성하고, 이 중 일부는 화학적으로 반응성 라디컬들이다. 특히, C2F4, C3F5, 및 다른 멀티 카본 종들은 부분적으로, 마스크, 측벽 및 정지 층을 폴리머 퇴적을 통해 패시베이팅하는 중합 프로세스들에 책임이 있다. 그에 반해, CF3 및 F 라디컬 종들은 이온 충격과 결합하여 작용하여 에칭될 Si02-계 필름을 에칭한다. O 라디컬들은 O2 의 해리에 의해 생성된다. O 라디컬들은 폴리머, 특히 이온 충격과 결합하여 에칭하고, 따라서 제어 가능한 정도의 순 중합을 가능하게 한다. 통상의 프로세스들보다 낮은 정도의 해리를 가능하게 함으로써, 본 프로세스들은 에천트에 더 많은 비율의 패시베이션을 제공하고, 이는 선택적 에칭을 위해 더욱 유리하다.
상기 실시형태들을 염두에 두고, 본 발명은 컴퓨터 시스템들에 저장된 데이터를 포함하는 각종 컴퓨터 구현된 동작들을 활용할 수도 있는 것으로 이해되어야 한다. 이들 동작들은 물리적 양들의 물리적 조작을 필요로 하는 것들이다. 대개, 반드시는 아니지만, 이들 양들은 저장, 전송, 조합, 비교, 및 다르게는 조작될 수 있는 전기 또는 자기 신호들의 형태를 취한다. 또한, 수행된 조작들은 종종 생성, 식별, 결정 또는 비교와 같은 용어들로 지칭된다.
본 발명은 또한, 컴퓨터 판독가능 매체 상의 컴퓨터 판독가능 코드로서 구현될 수 있다. 컴퓨터 판독가능 매체는 컴퓨터 시스템에 의해 그 후에 판독될 수 있는 데이터를 저장할 수 있는 임의의 데이터 저장 디바이스이다. 컴퓨터 판독가능 매체의 예들로는, 하드 드라이브, NAS (network attached storage), 판독 전용 메모리, 랜덤 액세스 메모리, CD-ROMs, CD-Rs, CD-RWs, DVDs, 플래시, 자기 테이프들, 및 다른 광학 및 비-광학 데이터 저장 디바이스들이 있다. 컴퓨터 판독가능 매체는 또한, 컴퓨터 판독가능 코드가 분배된 방식으로 저장 및 실행되도록 네트워크 커플링된 컴퓨터 시스템들에 분배될 수 있다.
본 발명의 일부를 형성하는 본원에 설명된 동작들 중 임의의 것은 유용한 머신 동작들이다. 본 발명은 또한, 이들 동작들을 수행하기 위한 디바이스 또는 장치에 관한 것이다. 이 장치는 필요한 목적을 위해 특별하게 구성될 수도 있고, 또는 컴퓨터에 저장된 컴퓨터 프로그램에 의해 선택적으로 활성화 또는 구성된 범용 컴퓨터일 수도 있다. 특히, 각종 범용 머신들은 본원의 교시들에 따라 기입된 컴퓨터 프로그램들을 갖고 사용될 수도 있고, 또는 필요한 동작들을 수행하기 위해 더 특별화된 장치를 구성하기에 더욱 편리할 수도 있다.
상기 발명은 이해의 명확성을 위해 일부 상세에서 설명되었으나, 첨부된 청구항들의 범위 내에서 소정의 변화 및 변형들이 실시될 수도 있다. 따라서, 본 실시형태들은 제한이 아닌 예시적인 것으로서 간주되어야 하고, 본 발명은 본원에 제공된 상세들에 제한되는 것이 아니고, 첨부된 청구항들의 범위 및 등가물들 내에서 변형될 수도 있다.

Claims (22)

  1. 반도체 웨이퍼를 에칭하는 방법으로서,
    소스 가스 혼합물을 프로세스 챔버 안으로 주입하는 단계로서,
    상기 소스 가스 혼합물을 상기 프로세스 챔버의 상부 전극 내의 복수의 중공 음극 공동 (hollow cathod cavity) 들 안으로 주입하는 단계로서, 상기 상부 전극은 제 1 도전층, 상기 제 1 도전층 아래의 제 1 절연층, 상기 제 1 절연층아래의 상기 복수의 중공 음극 공동들을 포함하는 제 2 도전층, 상기 제 2 도전층 아래의 제 2 절연층 및 상기 제 2 절연층 아래의 제 3 도전층을 포함하는, 상기 소스 가스 혼합물을 상기 프로세스 챔버의 상부 전극 내의 복수의 중공 음극 공동들 안으로 주입하는 단계;
    상기 복수의 중공 음극 공동들에 제 1 바이어싱 신호를 인가하는 단계및 상기 제 1 도전층 위의 상기 상부 전극의 온도 제어 층에 의해 상기 상부 전극을 냉각하는 단계를 포함하는, 상기 복수의 중공 음극 공동들의 각 공동에서 플라즈마를 생성하는 단계; 및
    상기 복수의 중공 음극 공동들 각각의 대응하는 유출구들로부터 상기 프로세스 챔버의 웨이퍼 프로세싱 영역 안으로 상기 생성된 플라즈마를 출력하는 단계로서, 상기 웨이퍼 프로세싱 영역은 상기 복수의 중공 음극 공동들 각각의 상기 유출구들과 에칭될 표면 사이에 위치하는, 상기 생성된 플라즈마를 출력하는 단계를 포함하는, 상기 소스 가스 혼합물을 프로세스 챔버 안으로 주입하는 단계;
    상기 웨이퍼 프로세싱 영역에서 원하는 화학적 종들을 생성하는 단계를 포함하는, 상기 웨이퍼 프로세싱 영역 안으로 에천트 가스 혼합물을 주입하는 단계로서, 상기 에천트 가스 혼합물이 상기 복수의 중공 음극 공동들의 상기 유출구들로부터 출력된 상기 플라즈마와 혼합하도록 상기 에천트 가스 혼합물은 상기 상부 전극 내의 복수의 주입 포트들을 통해 주입되고, 상기 복수의 중공 음극 공동들 각각의 상기 유출구들로부터 흐르는 상기 플라즈마 및 상기 가스에 의해 상기 복수의 중공 음극 공동들 각각의 상기 유출구들로 상기 에천트 가스 혼합물이 흐르는 것이 실질적으로 방지되는, 상기 웨이퍼 프로세싱 영역 안으로 에천트 가스 혼합물을 주입하는 단계; 및
    상기 에칭될 표면을 에칭하는 단계를 포함하는, 반도체 웨이퍼 에칭 방법.
  2. 삭제
  3. 제 1 항에 있어서,
    상기 복수의 중공 음극 공동들을 바이어싱하는 단계는 상기 상부 전극의 제 2 도전층에 상기 제 1 바이어싱 신호를 인가하는 단계를 포함하고,
    상기 복수의 중공 음극 공동들은 상기 제 2 도전층에 형성되는, 반도체 웨이퍼 에칭 방법.
  4. 제 1 항에 있어서,
    상기 제 1 바이어싱 신호는 RF 바이어싱 신호를 포함하는, 반도체 웨이퍼 에칭 방법.
  5. 제 1 항에 있어서,
    상기 제 1 바이어싱 신호는 l ㎒ 내지 15 ㎒의 범위 내의 RF 신호를 포함하는, 반도체 웨이퍼 에칭 방법.
  6. 제 1 항에 있어서,
    상기 복수의 주입 포트들은 실질적으로, 상기 상부 전극의 상기 웨이퍼 프로세싱 영역 표면 전체에 걸쳐 분포되는, 반도체 웨이퍼 에칭 방법.
  7. 제 1 항에 있어서,
    상기 복수의 중공 음극 공동들은 실질적으로, 상기 상부 전극의 상기 웨이퍼 프로세싱 영역 표면 전체에 걸쳐 분포되는, 반도체 웨이퍼 에칭 방법.
  8. 제 1 항에 있어서,
    상기 복수의 중공 음극 공동들 및 상기 복수의 주입 포트들은 실질적으로, 상기 상부 전극의 상기 웨이퍼 프로세싱 영역 표면 전체에 걸쳐 균등하게 배치되는, 반도체 웨이퍼 에칭 방법.
  9. 제 1 항에 있어서,
    상기 복수의 중공 음극 공동들 각각의 대응하는 유출구들로부터 상기 생성된 플라즈마를 출력하는 단계는 하부 전극에 제 2 바이어싱 신호를 인가하는 단계를 포함하는, 반도체 웨이퍼 에칭 방법.
  10. 제 1 항에 있어서,
    상기 복수의 중공 음극 공동들의 각 공동에서 플라즈마를 생성하는 단계는 상기 복수의 중공 음극 공동들의 상기 유출구들에 제 3 바이어스 신호를 인가하는 단계를 포함하는, 반도체 웨이퍼 에칭 방법.
  11. 제 1 항에 있어서,
    상기 복수의 중공 음극 공동들의 각 공동에서 플라즈마를 생성하는 단계는 상기 복수의 중공 음극 공동들의 상기 유출구들에 제 3 바이어스 신호를 인가하는 단계를 포함하고,
    상기 제 3 바이어스 신호는 그라운드 전위인, 반도체 웨이퍼 에칭 방법.
  12. 제 1 항에 있어서,
    상기 에칭될 표면을 에칭하는 단계는 상기 웨이퍼 프로세싱 영역으로부터 에칭 부산물들을 제거하는 단계를 포함하는, 반도체 웨이퍼 에칭 방법.
  13. 제 1 항에 있어서,
    상기 상부 전극의 제 1 도전층에 그라운드 전위를 인가하는 단계를 더 포함하는, 반도체 웨이퍼 에칭 방법.
  14. 제 1 항에 있어서,
    상기 복수의 중공 음극 공동들은 복수의 중공 음극 트렌치들을 포함하는, 반도체 웨이퍼 에칭 방법.
  15. 제 1 항에 있어서,
    상기 상부 전극 내의 복수의 주입 포트들은 복수의 주입 트렌치들을 포함하는, 반도체 웨이퍼 에칭 방법.
  16. 제 1 항에 있어서,
    상기 소스 가스 혼합물은 불활성 가스인, 반도체 웨이퍼 에칭 방법.
  17. 제 1 항에 있어서,
    상기 에천트 가스 혼합물은 플루오르카본 함유 가스를 포함하는, 반도체 웨이퍼 에칭 방법.
  18. 제 1 항에 있어서,
    상기 복수의 중공 음극 공동들의 각 공동에 대한 상기 유출구는 플라즈마 시스 두께의 2 배보다 큰 폭을 갖는, 반도체 웨이퍼 에칭 방법.
  19. 에칭 종들을 생성하기 위한 시스템으로서,
    소스 가스 혼합물 소스;
    에천트 가스 소스;
    프로세스 챔버를 포함하고,
    상기 프로세스 챔버는,
    상부 전극으로서,
    상기 상부 전극을 냉각시키기 위한 온도 제어 층;
    상기 온도 제어 층 아래의 제 1 도전층;
    상기 제 1 도전층 아래의 제 1 절연층;
    복수의 중공 음극 공동 (hollow cathod cavity) 들의 각 공동의 유입구에 상기 소스 가스 혼합물 소스가 커플링된, 상기 복수의 중공 음극 공동들을 포함하는 상기 제 1 절연층 아래의 제 2 도전층;
    상기 제 2 도전층 아래의 제 2 절연층;
    상기 제 2 절연층 아래의 제 3 도전층;
    상기 복수의 중공 음극 공동들의 각 공동에 커플링된 제 1 바이어싱 신호 소스;
    상기 복수의 중공 음극 공동들의 각 공동에 대한 대응하는 유출구로서, 상기 대응하는 유출구들은 상기 프로세스 챔버 내의 웨이퍼 프로세싱 영역에 개방되어 있고, 상기 웨이퍼 프로세싱 영역은 상기 복수의 중공 음극 공동들 각각의 상기 유출구들과 에칭될 표면 사이에 위치하는, 상기 대응하는 유출구; 및
    상기 에천트 가스 소스에 커플링되고, 상기 웨이퍼 프로세싱 영역 안으로 상기 에천트 가스를 주입시킬 수 있는 복수의 주입 포트들을 포함하는, 상기 상부 전극; 및
    상기 에칭될 표면을 포함하는 반도체 웨이퍼를 지지하기 위한 하부 전극을 포함하는, 에칭 종들을 생성하기 위한 시스템.
  20. 제 19 항에 있어서,
    상기 대응하는 유출구들의 각 유출구는 플라즈마 시스 두께의 2 배보다 큰 폭을 갖는, 에칭 종들을 생성하기 위한 시스템.
  21. 제 19 항에 있어서,
    상기 대응하는 유출구들의 각 유출구는 플라즈마 시스 두께의 2 배 이하의 폭을 갖는, 에칭 종들을 생성하기 위한 시스템.
  22. 반도체 웨이퍼를 에칭하는 방법으로서,
    소스 가스 혼합물을 프로세스 챔버 안으로 주입하는 단계로서,
    상기 소스 가스 혼합물을 상기 프로세스 챔버의 상부 전극 내의 복수의 중공 음극 공동 (hollow cathod cavity) 들 안으로 주입하는 단계로서, 상기 상부 전극은 제 1 도전층, 상기 제 1 도전층 아래의 제 1 절연층, 상기 제 1 절연층아래의 상기 복수의 중공 음극 공동들을 포함하는 제 2 도전층, 상기 제 2 도전층 아래의 제 2 절연층 및 상기 제 2 절연층 아래의 제 3 도전층을 포함하는, 상기 소스 가스 혼합물을 상기 프로세스 챔버의 상부 전극 내의 복수의 중공 음극 공동들 안으로 주입하는 단계;
    상기 복수의 중공 음극 공동들에 제 1 바이어싱 신호를 인가하는 단계및 상기 제 1 도전층 위의 상기 상부 전극의 온도 제어 층에 의해 상기 상부 전극을 냉각하는 단계를 포함하는, 상기 복수의 중공 음극 공동들의 각 공동에서 플라즈마를 생성하는 단계;
    상기 중공 음극 공동들에서 활성화된 종들을 생성하는 단계; 및
    상기 복수의 중공 음극 공동들 각각의 대응하는 유출구들로부터 상기 프로세스 챔버의 웨이퍼 프로세싱 영역 안으로 상기 생성된 활성화된 종들을 출력하는 단계로서, 상기 웨이퍼 프로세싱 영역은 상기 복수의 중공 음극 공동들 각각의 상기 유출구들과 에칭될 표면 사이에 위치하는, 상기 생성된 활성화된 종들을 출력하는 단계를 포함하는, 상기 소스 가스 혼합물을 프로세스 챔버 안으로 주입하는 단계;
    상기 웨이퍼 프로세싱 영역 안으로 에천트 가스 혼합물을 주입하는 단계로서,
    상기 에천트 가스 혼합물이 상기 복수의 중공 음극 공동들의 상기 유출구들로부터 출력된 상기 활성화된 종들과 혼합하도록 상기 에천트 가스 혼합물은 상기 상부 전극 내의 복수의 주입 포트들을 통해 주입되고,
    상기 웨이퍼 프로세싱 영역에서 플라즈마를 생성하는 단계; 및
    상기 웨이퍼 프로세싱 영역에서 원하는 화학적 종들을 생성하는 단계를 포함하고,
    상기 복수의 중공 음극 공동들 각각의 상기 유출구들로부터 흐르는 상기 활성화된 종들에 의해 상기 복수의 중공 음극 공동들 각각의 상기 유출구들 안으로 상기 에천트 가스 혼합물이 흐르는 것이 실질적으로 방지되고,
    상기 복수의 중공 음극 공동들의 각 공동에 대한 상기 유출구는 플라즈마 시스 두께의 2 배보다 작은 폭을 갖는, 상기 웨이퍼 프로세싱 영역 안으로 에천트 가스 혼합물을 주입하는 단계; 및
    상기 에칭될 표면을 에칭하는 단계를 포함하는, 반도체 웨이퍼 에칭 방법.
KR1020127022221A 2010-02-26 2011-01-28 프로세스 가스의 이온 생성 및 해리의 독립적인 제어를 갖는 플라즈마 에칭을 위한 시스템, 방법 및 장치 KR101765360B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/713,523 2010-02-26
US12/713,523 US9190289B2 (en) 2010-02-26 2010-02-26 System, method and apparatus for plasma etch having independent control of ion generation and dissociation of process gas
PCT/US2011/023021 WO2011106129A1 (en) 2010-02-26 2011-01-28 System. method and apparatus for plasma etch having independent control of ion generation and dissociation of process gas

Publications (2)

Publication Number Publication Date
KR20130047682A KR20130047682A (ko) 2013-05-08
KR101765360B1 true KR101765360B1 (ko) 2017-08-07

Family

ID=44505522

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020127022221A KR101765360B1 (ko) 2010-02-26 2011-01-28 프로세스 가스의 이온 생성 및 해리의 독립적인 제어를 갖는 플라즈마 에칭을 위한 시스템, 방법 및 장치

Country Status (7)

Country Link
US (2) US9190289B2 (ko)
JP (1) JP5950831B2 (ko)
KR (1) KR101765360B1 (ko)
CN (1) CN102771192B (ko)
SG (2) SG10201501320VA (ko)
TW (1) TWI527115B (ko)
WO (1) WO2011106129A1 (ko)

Families Citing this family (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EA030379B1 (ru) 2008-08-04 2018-07-31 Эй-Джи-Си Флет Гласс Норт Эмерике, Инк. Способ нанесения тонкопленочных покрытий с использованием плазменно-химического осаждения из газовой фазы (варианты)
US20130059448A1 (en) * 2011-09-07 2013-03-07 Lam Research Corporation Pulsed Plasma Chamber in Dual Chamber Configuration
US8980046B2 (en) * 2011-04-11 2015-03-17 Lam Research Corporation Semiconductor processing system with source for decoupled ion and radical control
US8900403B2 (en) 2011-05-10 2014-12-02 Lam Research Corporation Semiconductor processing system having multiple decoupled plasma sources
US9111728B2 (en) 2011-04-11 2015-08-18 Lam Research Corporation E-beam enhanced decoupled source for semiconductor processing
US20130098873A1 (en) * 2011-10-20 2013-04-25 Applied Materials, Inc. Overhead electron beam source for plasma ion generation in a workpiece processing region
US20140165911A1 (en) * 2012-12-14 2014-06-19 Applied Materials, Inc. Apparatus for providing plasma to a process chamber
US9443700B2 (en) 2013-03-12 2016-09-13 Applied Materials, Inc. Electron beam plasma source with segmented suppression electrode for uniform plasma generation
US9431218B2 (en) 2013-03-15 2016-08-30 Tokyo Electron Limited Scalable and uniformity controllable diffusion plasma source
EP3228160B1 (en) * 2014-12-05 2021-07-21 AGC Glass Europe SA Hollow cathode plasma source
JP6508746B2 (ja) 2014-12-05 2019-05-08 エージーシー フラット グラス ノース アメリカ,インコーポレイテッドAgc Flat Glass North America,Inc. マクロ粒子低減コーティングを利用したプラズマ源ならびにマクロ粒子低減コーティングを用いたプラズマ源を薄膜コーティングおよび表面改質に使用する方法
US10475626B2 (en) 2015-03-17 2019-11-12 Applied Materials, Inc. Ion-ion plasma atomic layer etch process and reactor
US10386829B2 (en) * 2015-09-18 2019-08-20 Kla-Tencor Corporation Systems and methods for controlling an etch process
US20170092470A1 (en) * 2015-09-28 2017-03-30 Applied Materials, Inc. Plasma reactor for processing a workpiece with an array of plasma point sources
US9721765B2 (en) 2015-11-16 2017-08-01 Agc Flat Glass North America, Inc. Plasma device driven by multiple-phase alternating or pulsed electrical current
US10573499B2 (en) 2015-12-18 2020-02-25 Agc Flat Glass North America, Inc. Method of extracting and accelerating ions
US10242846B2 (en) 2015-12-18 2019-03-26 Agc Flat Glass North America, Inc. Hollow cathode ion source
US10845375B2 (en) * 2016-02-19 2020-11-24 Agjunction Llc Thermal stabilization of inertial measurement units
JP7008474B2 (ja) * 2016-11-30 2022-01-25 東京エレクトロン株式会社 プラズマエッチング方法
KR102455231B1 (ko) 2017-10-23 2022-10-18 삼성전자주식회사 픽셀화된 플라즈마를 생성하는 할로우 캐소드, 반도체 소자의 제조장치 및 그의 제조방법
KR102455239B1 (ko) * 2017-10-23 2022-10-18 삼성전자주식회사 플라즈마 처리 장치 및 그를 이용한 반도체 소자의 제조방법
WO2019241405A1 (en) * 2018-06-14 2019-12-19 Mks Instruments, Inc. Radical output monitor for a remote plasma source and method of use
US10342110B1 (en) * 2018-09-14 2019-07-02 Serendipity Technologies LLC. Plasma power generator (z-box and z-tower)
US20220297223A1 (en) * 2021-03-18 2022-09-22 National University Corporation Nagaoka University Of Technology Work processing apparatus

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001226775A (ja) * 1999-12-07 2001-08-21 Komatsu Ltd 表面処理装置
JP2004186532A (ja) * 2002-12-05 2004-07-02 Ulvac Japan Ltd プラズマ処理装置
WO2010013746A1 (ja) * 2008-07-30 2010-02-04 京セラ株式会社 堆積膜形成装置および堆積膜形成方法

Family Cites Families (153)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4276557A (en) * 1978-12-29 1981-06-30 Bell Telephone Laboratories, Incorporated Integrated semiconductor circuit structure and method for making it
JPS644481Y2 (ko) 1980-09-13 1989-02-06
US4340462A (en) * 1981-02-13 1982-07-20 Lam Research Corporation Adjustable electrode plasma processing chamber
JPS61189642A (ja) 1985-02-18 1986-08-23 Mitsubishi Electric Corp プラズマ反応装置
EP0246453A3 (en) 1986-04-18 1989-09-06 General Signal Corporation Novel multiple-processing and contamination-free plasma etching system
JPH0794483B2 (ja) 1987-02-04 1995-10-11 旭電化工業株式会社 サイクロデキストリン類脂肪酸エステルの製造法
KR960016218B1 (ko) * 1987-06-05 1996-12-07 가부시기가이샤 히다찌세이사꾸쇼 표면처리방법 및 그 장치
JPS644481A (en) * 1987-06-24 1989-01-09 Minoru Sugawara Parallel-plate discharge electrode
RU2094961C1 (ru) 1989-07-20 1997-10-27 Уланов Игорь Максимович Трансформаторный плазмотрон
RU2022917C1 (ru) 1989-09-27 1994-11-15 Уланов Игорь Максимович Способ получения окиси азота
RU2056702C1 (ru) 1990-07-09 1996-03-20 Уланов Игорь Максимович Трансформаторный плазмотрон
US6444137B1 (en) * 1990-07-31 2002-09-03 Applied Materials, Inc. Method for processing substrates using gaseous silicon scavenger
US5183990A (en) * 1991-04-12 1993-02-02 The Lincoln Electric Company Method and circuit for protecting plasma nozzle
JPH05144594A (ja) 1991-11-19 1993-06-11 Ebara Corp 放電プラズマ発生装置
JPH05166595A (ja) 1991-12-12 1993-07-02 Fuji Denpa Koki Kk 高気圧高密度プラズマ発生方法
EP0552491B1 (en) * 1992-01-24 1998-07-15 Applied Materials, Inc. Plasma etch process and plasma processing reactor
US5302237A (en) * 1992-02-13 1994-04-12 The United States Of America As Represented By The Secretary Of Commerce Localized plasma processing
US5505780A (en) * 1992-03-18 1996-04-09 International Business Machines Corporation High-density plasma-processing tool with toroidal magnetic field
US5349271A (en) * 1993-03-24 1994-09-20 Diablo Research Corporation Electrodeless discharge lamp with spiral induction coil
JP2950110B2 (ja) * 1993-09-24 1999-09-20 住友金属工業株式会社 プラズマエッチング方法
JPH0878192A (ja) 1994-09-06 1996-03-22 Fujitsu Ltd プラズマ処理装置及びプラズマ処理方法
US5620524A (en) * 1995-02-27 1997-04-15 Fan; Chiko Apparatus for fluid delivery in chemical vapor deposition systems
US5630880A (en) * 1996-03-07 1997-05-20 Eastlund; Bernard J. Method and apparatus for a large volume plasma processor that can utilize any feedstock material
DE69719108D1 (de) * 1996-05-02 2003-03-27 Tokyo Electron Ltd Plasmabehandlungsgerät
US5846883A (en) * 1996-07-10 1998-12-08 Cvc, Inc. Method for multi-zone high-density inductively-coupled plasma generation
AUPO281896A0 (en) * 1996-10-04 1996-10-31 Unisearch Limited Reactive ion etching of silica structures for integrated optics applications
US6190236B1 (en) * 1996-10-16 2001-02-20 Vlsi Technology, Inc. Method and system for vacuum removal of chemical mechanical polishing by-products
US6150628A (en) * 1997-06-26 2000-11-21 Applied Science And Technology, Inc. Toroidal low-field reactive gas source
US6924455B1 (en) * 1997-06-26 2005-08-02 Applied Science & Technology, Inc. Integrated plasma chamber and inductively-coupled toroidal plasma source
US6388226B1 (en) * 1997-06-26 2002-05-14 Applied Science And Technology, Inc. Toroidal low-field reactive gas source
US6273022B1 (en) * 1998-03-14 2001-08-14 Applied Materials, Inc. Distributed inductively-coupled plasma source
US6203657B1 (en) * 1998-03-31 2001-03-20 Lam Research Corporation Inductively coupled plasma downstream strip module
US5998933A (en) * 1998-04-06 1999-12-07 Shun'ko; Evgeny V. RF plasma inductor with closed ferrite core
US6335293B1 (en) * 1998-07-13 2002-01-01 Mattson Technology, Inc. Systems and methods for two-sided etch of a semiconductor substrate
US6300643B1 (en) * 1998-08-03 2001-10-09 Varian Semiconductor Equipment Associates, Inc. Dose monitor for plasma doping system
US6178919B1 (en) * 1998-12-28 2001-01-30 Lam Research Corporation Perforated plasma confinement ring in plasma reactors
US6579805B1 (en) 1999-01-05 2003-06-17 Ronal Systems Corp. In situ chemical generator and method
US6392351B1 (en) * 1999-05-03 2002-05-21 Evgeny V. Shun'ko Inductive RF plasma source with external discharge bridge
KR100416308B1 (ko) * 1999-05-26 2004-01-31 동경 엘렉트론 주식회사 플라즈마 처리 장치
US6206972B1 (en) * 1999-07-08 2001-03-27 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
US6432260B1 (en) * 1999-08-06 2002-08-13 Advanced Energy Industries, Inc. Inductively coupled ring-plasma source apparatus for processing gases and materials and method thereof
US6318384B1 (en) * 1999-09-24 2001-11-20 Applied Materials, Inc. Self cleaning method of forming deep trenches in silicon substrates
EP1252358A4 (en) 1999-11-19 2008-02-13 Nano Scale Surface Systems Inc SYSTEM AND METHOD FOR SEPARATING INORGANIC / ORGANIC DIELECTRIC FILMS
KR20010062209A (ko) * 1999-12-10 2001-07-07 히가시 데쓰로 고내식성 막이 내부에 형성된 챔버를 구비하는 처리 장치
US6337460B2 (en) * 2000-02-08 2002-01-08 Thermal Dynamics Corporation Plasma arc torch and method for cutting a workpiece
JP2001237226A (ja) 2000-02-23 2001-08-31 Kobe Steel Ltd プラズマ処理装置
AU2001247685A1 (en) * 2000-03-30 2001-10-15 Tokyo Electron Limited Method of and apparatus for tunable gas injection in a plasma processing system
JP4371543B2 (ja) * 2000-06-29 2009-11-25 日本電気株式会社 リモートプラズマcvd装置及び膜形成方法
US7234477B2 (en) * 2000-06-30 2007-06-26 Lam Research Corporation Method and apparatus for drying semiconductor wafer surfaces using a plurality of inlets and outlets held in close proximity to the wafer surfaces
JP4559595B2 (ja) * 2000-07-17 2010-10-06 東京エレクトロン株式会社 被処理体の載置装置及びプラズマ処理装置
TW445540B (en) * 2000-08-07 2001-07-11 Nano Architect Res Corp Bundle concentrating type multi-chamber plasma reacting system
US6461972B1 (en) * 2000-12-22 2002-10-08 Lsi Logic Corporation Integrated circuit fabrication dual plasma process with separate introduction of different gases into gas flow
US20020101167A1 (en) * 2000-12-22 2002-08-01 Applied Materials, Inc. Capacitively coupled reactive ion etch plasma reactor with overhead high density plasma source for chamber dry cleaning
US6755150B2 (en) * 2001-04-20 2004-06-29 Applied Materials Inc. Multi-core transformer plasma source
US6527911B1 (en) * 2001-06-29 2003-03-04 Lam Research Corporation Configurable plasma volume etch chamber
TWI234417B (en) 2001-07-10 2005-06-11 Tokyo Electron Ltd Plasma procesor and plasma processing method
JP4666912B2 (ja) * 2001-08-06 2011-04-06 エー・エス・エムジニテックコリア株式会社 プラズマで補強した原子層蒸着装置及びこれを利用した薄膜形成方法
US20030045098A1 (en) * 2001-08-31 2003-03-06 Applied Materials, Inc. Method and apparatus for processing a wafer
US6855906B2 (en) * 2001-10-16 2005-02-15 Adam Alexander Brailove Induction plasma reactor
US6761804B2 (en) * 2002-02-11 2004-07-13 Applied Materials, Inc. Inverted magnetron
US6962644B2 (en) * 2002-03-18 2005-11-08 Applied Materials, Inc. Tandem etch chamber plasma processing system
FR2838020B1 (fr) * 2002-03-28 2004-07-02 Centre Nat Rech Scient Dispositif de confinement de plasma
US20030188685A1 (en) * 2002-04-08 2003-10-09 Applied Materials, Inc. Laser drilled surfaces for substrate processing chambers
US7013834B2 (en) 2002-04-19 2006-03-21 Nordson Corporation Plasma treatment system
US6936546B2 (en) * 2002-04-26 2005-08-30 Accretech Usa, Inc. Apparatus for shaping thin films in the near-edge regions of in-process semiconductor substrates
US20030213560A1 (en) * 2002-05-16 2003-11-20 Yaxin Wang Tandem wafer processing system and process
JP2004014904A (ja) * 2002-06-10 2004-01-15 Tokyo Ohka Kogyo Co Ltd 同時放電化装置
TWI283899B (en) * 2002-07-09 2007-07-11 Applied Materials Inc Capacitively coupled plasma reactor with magnetic plasma control
US6902774B2 (en) * 2002-07-25 2005-06-07 Inficon Gmbh Method of manufacturing a device
US7256132B2 (en) * 2002-07-31 2007-08-14 Applied Materials, Inc. Substrate centering apparatus and method
JP4775834B2 (ja) * 2002-08-05 2011-09-21 東京エレクトロン株式会社 エッチング方法
US20040027781A1 (en) * 2002-08-12 2004-02-12 Hiroji Hanawa Low loss RF bias electrode for a plasma reactor with enhanced wafer edge RF coupling and highly efficient wafer cooling
US20030015965A1 (en) * 2002-08-15 2003-01-23 Valery Godyak Inductively coupled plasma reactor
JP2004087738A (ja) * 2002-08-26 2004-03-18 Tokyo Electron Ltd Siエッチング方法
US6887317B2 (en) * 2002-09-10 2005-05-03 Applied Materials, Inc. Reduced friction lift pin
US7411352B2 (en) * 2002-09-19 2008-08-12 Applied Process Technologies, Inc. Dual plasma beam sources and method
US7252738B2 (en) * 2002-09-20 2007-08-07 Lam Research Corporation Apparatus for reducing polymer deposition on a substrate and substrate support
US7069937B2 (en) * 2002-09-30 2006-07-04 Lam Research Corporation Vertical proximity processor
US7513262B2 (en) 2002-09-30 2009-04-07 Lam Research Corporation Substrate meniscus interface and methods for operation
US6988327B2 (en) * 2002-09-30 2006-01-24 Lam Research Corporation Methods and systems for processing a substrate using a dynamic liquid meniscus
US7198055B2 (en) * 2002-09-30 2007-04-03 Lam Research Corporation Meniscus, vacuum, IPA vapor, drying manifold
KR100488348B1 (ko) * 2002-11-14 2005-05-10 최대규 플라즈마 프로세스 챔버 및 시스템
NL1022155C2 (nl) 2002-12-12 2004-06-22 Otb Group Bv Werkwijze, alsmede inrichting voor het behandelen van een oppervlak van ten minste één substraat.
US7163602B2 (en) * 2003-03-07 2007-01-16 Ogle John S Apparatus for generating planar plasma using concentric coils and ferromagnetic cores
US7824520B2 (en) * 2003-03-26 2010-11-02 Semiconductor Energy Laboratory Co., Ltd. Plasma treatment apparatus
JP2004296729A (ja) 2003-03-26 2004-10-21 Semiconductor Energy Lab Co Ltd 半導体装置の作製方法
US8409400B2 (en) * 2003-05-07 2013-04-02 Gen Co., Ltd. Inductive plasma chamber having multi discharge tube bridge
US8580076B2 (en) * 2003-05-22 2013-11-12 Lam Research Corporation Plasma apparatus, gas distribution assembly for a plasma apparatus and processes therewith
US7632379B2 (en) * 2003-05-30 2009-12-15 Toshio Goto Plasma source and plasma processing apparatus
JP4607517B2 (ja) 2003-09-03 2011-01-05 東京エレクトロン株式会社 プラズマ処理装置
US9771648B2 (en) * 2004-08-13 2017-09-26 Zond, Inc. Method of ionized physical vapor deposition sputter coating high aspect-ratio structures
US20050103620A1 (en) * 2003-11-19 2005-05-19 Zond, Inc. Plasma source with segmented magnetron cathode
US7464662B2 (en) * 2004-01-28 2008-12-16 Tokyo Electron Limited Compact, distributed inductive element for large scale inductively-coupled plasma sources
US7785672B2 (en) * 2004-04-20 2010-08-31 Applied Materials, Inc. Method of controlling the film properties of PECVD-deposited thin films
JP2006114884A (ja) * 2004-09-17 2006-04-27 Ebara Corp 基板洗浄処理装置及び基板処理ユニット
US7323116B2 (en) * 2004-09-27 2008-01-29 Lam Research Corporation Methods and apparatus for monitoring a process in a plasma processing system by measuring self-bias voltage
US20060236931A1 (en) * 2005-04-25 2006-10-26 Varian Semiconductor Equipment Associates, Inc. Tilted Plasma Doping
ATE543199T1 (de) * 2005-05-23 2012-02-15 New Power Plasma Co Ltd Plasmakammer mit entladung induzierender brücke
US20070032081A1 (en) * 2005-08-08 2007-02-08 Jeremy Chang Edge ring assembly with dielectric spacer ring
US7641762B2 (en) * 2005-09-02 2010-01-05 Applied Materials, Inc. Gas sealing skirt for suspended showerhead in process chamber
KR101247198B1 (ko) 2005-09-09 2013-03-25 가부시키가이샤 알박 이온원 및 플라스마 처리장치
US7895970B2 (en) * 2005-09-29 2011-03-01 Tokyo Electron Limited Structure for plasma processing chamber, plasma processing chamber, plasma processing apparatus, and plasma processing chamber component
US8092638B2 (en) 2005-10-11 2012-01-10 Applied Materials Inc. Capacitively coupled plasma reactor having a cooled/heated wafer support with uniform temperature distribution
US7397232B2 (en) 2005-10-21 2008-07-08 The University Of Akron Coulter counter having a plurality of channels
KR100663668B1 (ko) 2005-12-07 2007-01-09 주식회사 뉴파워 프라즈마 복수의 기판을 병렬 배치 처리하기 위한 플라즈마 처리장치
JP2007191792A (ja) * 2006-01-19 2007-08-02 Atto Co Ltd ガス分離型シャワーヘッド
KR100785164B1 (ko) 2006-02-04 2007-12-11 위순임 다중 출력 원격 플라즈마 발생기 및 이를 구비한 기판 처리시스템
US7740705B2 (en) * 2006-03-08 2010-06-22 Tokyo Electron Limited Exhaust apparatus configured to reduce particle contamination in a deposition system
US8231799B2 (en) * 2006-04-28 2012-07-31 Applied Materials, Inc. Plasma reactor apparatus with multiple gas injection zones having time-changing separate configurable gas compositions for each zone
JP5069427B2 (ja) 2006-06-13 2012-11-07 北陸成型工業株式会社 シャワープレート、並びにそれを用いたプラズマ処理装置、プラズマ処理方法及び電子装置の製造方法
US7879184B2 (en) * 2006-06-20 2011-02-01 Lam Research Corporation Apparatuses, systems and methods for rapid cleaning of plasma confinement rings with minimal erosion of other chamber parts
US7837826B2 (en) * 2006-07-18 2010-11-23 Lam Research Corporation Hybrid RF capacitively and inductively coupled plasma source using multifrequency RF powers and methods of use thereof
DE102006048816A1 (de) 2006-10-16 2008-04-17 Iplas Innovative Plasma Systems Gmbh Vorrichtung und Verfahren zur lokalen Erzeugung von Mikrowellenplasmen
US7780866B2 (en) * 2006-11-15 2010-08-24 Applied Materials, Inc. Method of plasma confinement for enhancing magnetic control of plasma radial distribution
KR100978754B1 (ko) * 2008-04-03 2010-08-30 주식회사 테스 플라즈마 처리 장치
KR20090106617A (ko) * 2007-01-19 2009-10-09 어플라이드 머티어리얼스, 인코포레이티드 플라스마 함침 챔버
US7552736B2 (en) * 2007-01-30 2009-06-30 Applied Materials, Inc. Process for wafer backside polymer removal with a ring of plasma under the wafer
KR100868019B1 (ko) * 2007-01-30 2008-11-10 삼성전자주식회사 플라즈마 쉬쓰 제어기를 갖는 이온 빔 장치
US7897213B2 (en) 2007-02-08 2011-03-01 Lam Research Corporation Methods for contained chemical surface treatment
JP2008244292A (ja) * 2007-03-28 2008-10-09 Hitachi High-Technologies Corp プラズマ処理装置の処理性能安定化方法
US7824519B2 (en) * 2007-05-18 2010-11-02 Lam Research Corporation Variable volume plasma processing chamber and associated methods
WO2008154222A1 (en) * 2007-06-06 2008-12-18 Mks Instruments, Inc. Particle reduction through gas and plasma source control
KR101418438B1 (ko) * 2007-07-10 2014-07-14 삼성전자주식회사 플라즈마 발생장치
US20090025879A1 (en) 2007-07-26 2009-01-29 Shahid Rauf Plasma reactor with reduced electrical skew using a conductive baffle
US8343305B2 (en) * 2007-09-04 2013-01-01 Lam Research Corporation Method and apparatus for diagnosing status of parts in real time in plasma processing equipment
US8771483B2 (en) * 2007-09-05 2014-07-08 Intermolecular, Inc. Combinatorial process system
US8039052B2 (en) * 2007-09-06 2011-10-18 Intermolecular, Inc. Multi-region processing system and heads
US20090109595A1 (en) 2007-10-31 2009-04-30 Sokudo Co., Ltd. Method and system for performing electrostatic chuck clamping in track lithography tools
US20090197015A1 (en) * 2007-12-25 2009-08-06 Applied Materials, Inc. Method and apparatus for controlling plasma uniformity
US8129288B2 (en) 2008-05-02 2012-03-06 Intermolecular, Inc. Combinatorial plasma enhanced deposition techniques
SG188140A1 (en) 2008-02-08 2013-03-28 Lam Res Corp Adjustable gap capacitively coupled rf plasma reactor including lateral bellows and non-contact particle seal
JP5214743B2 (ja) 2008-02-08 2013-06-19 ラム リサーチ コーポレーション プラズマ処理チャンバのパーツのための保護被覆およびその使用方法
US8409459B2 (en) * 2008-02-28 2013-04-02 Tokyo Electron Limited Hollow cathode device and method for using the device to control the uniformity of a plasma process
US7713757B2 (en) 2008-03-14 2010-05-11 Applied Materials, Inc. Method for measuring dopant concentration during plasma ion implantation
US7558045B1 (en) 2008-03-20 2009-07-07 Novellus Systems, Inc. Electrostatic chuck assembly with capacitive sense feature, and related operating method
JP5294669B2 (ja) 2008-03-25 2013-09-18 東京エレクトロン株式会社 プラズマ処理装置
US20090275206A1 (en) 2008-05-05 2009-11-05 Applied Materials, Inc. Plasma process employing multiple zone gas distribution for improved uniformity of critical dimension bias
JP5524453B2 (ja) 2008-05-15 2014-06-18 Sumco Techxiv株式会社 シリコンウェーハのエッチング方法及びエッチング装置
US8679288B2 (en) 2008-06-09 2014-03-25 Lam Research Corporation Showerhead electrode assemblies for plasma processing apparatuses
US8206552B2 (en) 2008-06-25 2012-06-26 Applied Materials, Inc. RF power delivery system in a semiconductor apparatus
JP5144594B2 (ja) 2008-06-30 2013-02-13 ヤフー株式会社 サーバ装置、サーバ装置における予測方法及びプログラム
JP2010041028A (ja) * 2008-07-11 2010-02-18 Tokyo Electron Ltd 基板処理方法
KR101046335B1 (ko) 2008-07-29 2011-07-05 피에스케이 주식회사 할로우 캐소드 플라즈마 발생방법 및 할로우 캐소드플라즈마를 이용한 대면적 기판 처리방법
US20100024729A1 (en) * 2008-08-04 2010-02-04 Xinmin Cao Methods and apparatuses for uniform plasma generation and uniform thin film deposition
KR20100031960A (ko) 2008-09-17 2010-03-25 삼성전자주식회사 플라즈마 발생장치
JP5295833B2 (ja) 2008-09-24 2013-09-18 株式会社東芝 基板処理装置および基板処理方法
US20100116788A1 (en) 2008-11-12 2010-05-13 Lam Research Corporation Substrate temperature control by using liquid controlled multizone substrate support
US8099995B2 (en) 2008-12-16 2012-01-24 Agilent Technologies, Inc. Choked flow isolator for noise reduction in analytical systems
US8503151B2 (en) 2009-09-30 2013-08-06 Lam Research Corporation Plasma arrestor insert
KR101723253B1 (ko) 2009-08-31 2017-04-04 램 리써치 코포레이션 국부 플라즈마 한정 및 압력 제어 장치 및 방법
US8999104B2 (en) 2010-08-06 2015-04-07 Lam Research Corporation Systems, methods and apparatus for separate plasma source control
US8999856B2 (en) * 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
JP5166595B2 (ja) 2011-12-16 2013-03-21 株式会社藤商事 遊技機

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001226775A (ja) * 1999-12-07 2001-08-21 Komatsu Ltd 表面処理装置
JP2004186532A (ja) * 2002-12-05 2004-07-02 Ulvac Japan Ltd プラズマ処理装置
WO2010013746A1 (ja) * 2008-07-30 2010-02-04 京セラ株式会社 堆積膜形成装置および堆積膜形成方法

Also Published As

Publication number Publication date
SG10201501320VA (en) 2015-04-29
TW201140691A (en) 2011-11-16
SG183268A1 (en) 2012-09-27
JP2013520836A (ja) 2013-06-06
KR20130047682A (ko) 2013-05-08
TWI527115B (zh) 2016-03-21
US9190289B2 (en) 2015-11-17
US20110212624A1 (en) 2011-09-01
CN102771192B (zh) 2016-06-29
US20160049304A1 (en) 2016-02-18
CN102771192A (zh) 2012-11-07
JP5950831B2 (ja) 2016-07-13
US9735020B2 (en) 2017-08-15
WO2011106129A1 (en) 2011-09-01

Similar Documents

Publication Publication Date Title
KR101765360B1 (ko) 프로세스 가스의 이온 생성 및 해리의 독립적인 제어를 갖는 플라즈마 에칭을 위한 시스템, 방법 및 장치
KR102402866B1 (ko) 고 종횡비의 구조체들의 콘택 세정
KR101164829B1 (ko) 일 세트의 플라즈마 처리 단계를 튜닝하는 방법 및 장치
TWI578408B (zh) 在增大的電漿處理系統中之電漿加強蝕刻
US8889023B2 (en) Plasma processing apparatus and plasma processing method
KR102311575B1 (ko) 피처리체를 처리하는 방법
US11075057B2 (en) Device for treating an object with plasma
KR20080111627A (ko) 플라즈마 공정장치 및 그 방법
US20220181162A1 (en) Etching apparatus
US10580655B2 (en) Plasma etching method for selectively etching silicon oxide with respect to silicon nitride
KR20160117220A (ko) 에칭 방법
KR102638568B1 (ko) 조정 가능한 원격 해리
US20190362983A1 (en) Systems and methods for etching oxide nitride stacks
KR20170053134A (ko) 피처리체를 처리하는 방법
US9754797B2 (en) Etching method for selectively etching silicon oxide with respect to silicon nitride
KR20220097202A (ko) 기판 처리 방법 및 기판 처리 장치

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right