CN102771192A - 用于对离子产生和工艺气体离解具有独立控制的等离子体蚀刻的系统、方法和装置 - Google Patents

用于对离子产生和工艺气体离解具有独立控制的等离子体蚀刻的系统、方法和装置 Download PDF

Info

Publication number
CN102771192A
CN102771192A CN2011800107501A CN201180010750A CN102771192A CN 102771192 A CN102771192 A CN 102771192A CN 2011800107501 A CN2011800107501 A CN 2011800107501A CN 201180010750 A CN201180010750 A CN 201180010750A CN 102771192 A CN102771192 A CN 102771192A
Authority
CN
China
Prior art keywords
chamber
plasma
hollow cathodes
outlet
processing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN2011800107501A
Other languages
English (en)
Other versions
CN102771192B (zh
Inventor
埃里克·A·赫德森
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN102771192A publication Critical patent/CN102771192A/zh
Application granted granted Critical
Publication of CN102771192B publication Critical patent/CN102771192B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32596Hollow cathodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/18Vacuum control means
    • H01J2237/182Obtaining or maintaining desired pressure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32541Shape

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Analytical Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)

Abstract

蚀刻半导体晶片的方法,包括将源气体混合物注入到处理室中,将源气体混合物注入到处理室中包括将所述源气体混合物注入到所述处理室的上电极中的多个空心阴极腔中以及在所述空心阴极腔中的每一个中产生等离子体。在所述空心阴极腔中产生所述等离子体包括将第一偏置信号施加到所述空心阴极腔。将产生的等离子体或激活了的物质种类从所述空心阴极腔的每一个的对应出口输出到所述处理室中的晶片处理区域中。所述晶片处理区域位于所述空心阴极腔的所述出口和待蚀刻的表面之间。将蚀刻剂气体混合物注入到所述晶片处理区域中。等离子体也可被支撑和/或产生于所述晶片处理区域中。

Description

用于对离子产生和工艺气体离解具有独立控制的等离子体蚀刻的系统、方法和装置
技术领域
本发明总体上涉及等离子体蚀刻系统和方法,尤其是涉及用于对离子产生和工艺气体离解具有独立控制的等离子体蚀刻的系统和方法。
背景技术
典型等离子体蚀刻工艺的简化描述是其中具有工艺气体的等离子体室。该工艺由电气耦合到工艺气体中的RF或微波信号激发。激发该工艺气体导致在该工艺气体中形成离子和自由基。然后,该离子和自由基被指向待蚀刻的表面。利用等离子体室内的气流以及各个表面的电气偏置,该离子和自由基可被指向待蚀刻的表面。该离子和自由基与待蚀刻表面中的材料起反应。
增加蚀刻等离子体的密度是加快蚀刻表面被蚀刻(例如,通常用埃每分钟表示的蚀刻速率)的一种方法。增加蚀刻等离子体的密度增加了离子的浓度从而增强了工艺气体、离子和蚀刻表面之间的反应。但是,增加等离子体密度也会导致工艺气体混合物过度离解成组元或分子,超出最佳的离解程度。
举例来说,增加的等离子体密度通常会引起氟原子自由基从氟碳工艺气体混合物离解。离解的氟可加速蚀刻掩模的、特征侧壁的、或者待蚀刻的层下面的蚀刻停止层的不希望的蚀刻。此外,氟碳源气体的过度离解可导致等离子体中的氟碳自由基种类的非最佳组合,产生类似于过量氟的影响。
会有均匀性和控制也会被降低或者低于当工艺气体被离解过多时发生的期望值等其他问题。鉴于以上所述,需要用于对离子产生和工艺气体离解具有独立控制的等离子体蚀刻的系统和方法。
发明内容
一般而言,本发明通过提供用于对离子产生和工艺气体离解具有独立控制的等离子体蚀刻的系统和方法来满足这些需要。应当理解的是,本发明可以多种方式实施,包括作为工序、装置、系统、计算机可读介质、或者器件。下面会描述本发明的若干创造性的实施方式。
一实施方式提供了蚀刻半导体晶片的方法,所述方法包括将源气体混合物注入到处理室中,将源气体混合物注入到处理室中包括将所述源气体混合物注入到所述处理室的上电极中的多个空心阴极腔中以及在所述空心阴极腔中的每一个中产生等离子体。在所述空心阴极腔中产生所述等离子体包括将第一偏置信号施加到所述空心阴极腔。将产生的所述等离子体从所述空心阴极腔中的每一个的对应出口输出到所述处理室中的晶片处理区域中。所述晶片处理区域位于所述空心阴极腔的所述出口和待蚀刻的表面之间。将蚀刻剂气体混合物注入到所述晶片处理区域中。所述蚀刻剂气体混合物通过所述上电极中的多个注入口被注入使得所述蚀刻剂气体混合物与从所述空心阴极腔的所述出口输出的所述等离子体混合。通过从空心阴极腔的所述出口流出的所述等离子体和源气体,所述蚀刻剂气体混合物实质上被防止流入所述空心阴极腔的所述出口中。混合所述蚀刻剂气体混合物和所述等离子体在所述晶片处理区域中产生成组的期望化学物质种类(species),导致待蚀刻的所述表面的最佳蚀刻结果。
在所述空心阴极腔中的每一个中产生等离子体可包括冷却所述上电极。偏置所述空心阴极腔可包括将所述第一偏置信号施加到所述上电极的第二导电层,所述空心阴极腔被形成于所述第二导电层中。所述第一偏置信号可包括RF偏置信号。所述第一偏置信号可包括大约1MHz到大约15MHz之间的范围内的RF信号。
所述多个注入口可实质上分布于所述上电极的所述晶片处理区域的整个表面。所述空心阴极腔可实质上分布于所述上电极的所述晶片处理区域的整个表面。所述多个空心阴极腔和所述多个注入口可实质上均匀地散置于所述上电极的所述晶片处理区域的整个表面。
将产生的所述等离子体从所述空心阴极腔中的每一个的对应出口输出可包括将第二偏置信号施加到下电极。在所述空心阴极腔中的每一个中产生等离子体可包括将第三偏置信号施加到所述空心阴极腔的所述出口。所述第三偏置信号可以是接地电位。
蚀刻待蚀刻的所述表面可包括将第四偏置信号施加到晶片支撑电极或施加到耦合于所述晶片处理区域的另一电极,以增加所述等离子体的产生和由从所述空心阴极腔流出的所述等离子体提供的晶片离子轰击。
蚀刻待蚀刻的所述表面可包括从所述晶片处理区域移除蚀刻副产品。还可将接地电位施加所述上电极的第一导电层。所述空心阴极腔可包括多个空心阴极沟槽。所述上电极中的所述多个注入口可包括多个注入沟槽。所述源气体混合物可以是惰性气体。所述蚀刻剂气体混合物可包括含氟碳化合物的气体。所述空心阴极腔中的每一个的所述出口可具有大于等离子体鞘厚度两倍的宽度。
另一实施方式提供了用于产生蚀刻物质种类的系统,所述系统包括源气体混合物源、蚀刻剂气体源和处理室。所述处理室包括上电极和下电极。所述上电极包括多个空心阴极腔,所述源气体混合物源被耦合到所述空心阴极腔的每一个的入口。所述上电极还包括耦合到所述空心阴极腔的每一个的第一偏置信号源以及所述空心阴极腔的每一个的对应出口。所述对应出口对所述处理室中的晶片处理区域打开。所述晶片处理区域位于所述空心阴极腔的每一个的所述出口和待蚀刻的表面之间。所述上电极还包括耦合到所述蚀刻剂气体源的多个注入口。所述注入口能够将所述蚀刻剂气体注入到所述晶片处理区域中。所述下电极可支撑半导体晶片,所述半导体晶片包括待蚀刻的所述表面。
所述对应出口的每一个可具有大于等离子体鞘厚度两倍的宽度。替代地,所述对应出口的每一个可具有小于或等于等离子体鞘厚度两倍的宽度。
另一实施方式提供了蚀刻半导体晶片的方法,所述方法包括将源气体混合物注入到处理室中,将源气体混合物注入到处理室中包括:将所述源气体混合物注入到所述处理室的上电极中的多个空心阴极腔中、在所述空心阴极腔的每一个中产生等离子体(包括将第一偏置信号施加到所述多个空心阴极腔)、在所述空心阴极腔中产生激活了的物质种类以及将产生的所述激活了的物质种类从所述空心阴极腔的每一个的对应出口输出到所述处理室中的晶片处理区域中。所述晶片处理区域位于所述空心阴极腔的每一个的所述出口和待蚀刻的表面之间。将蚀刻剂气体混合物注入到所述晶片处理区域中。通过将第二偏置信号耦合到晶片支撑电极或者耦合到电气耦合于所述晶片处理区域的另一电极,在所述晶片处理区域中产生等离子体。所述蚀刻剂气体混合物通过所述上电极中的一或更多注入口被注入使得所述蚀刻剂气体混合物与从所述空心阴极腔的所述出口输出的所述激活了的物质种类混合且包括在所述晶片处理区域中产生期望的化学物质种类。通过从所述空心阴极腔的每一个的所述出口流出的所述激活了的物质种类,所述蚀刻剂气体混合物实质上被防止流入所述空心阴极腔的每一个的所述出口中。所述空心阴极腔的每一个的所述出口具有小于等离子体鞘厚度两倍的宽度。接着待蚀刻的所述表面被蚀刻。
从接下来的详细描述中,结合以示例方式图示本发明的原理的附图,本发明的其他方面和优点将变得显而易见。
附图说明
通过接下来的详细描述,结合附图,本发明会被容易地理解。
图1是根据本发明的实施方式的在上电极中具有多个空心阴极等离子体腔的等离子体处理室系统的示意图。
图2是根据本发明的实施方式的多个空心阴极等离子体腔中的一个的更细节的视图。
图3是根据本发明的实施方式的在上电极中的DC或RF驱动的空心阴极(HC)腔的二维阵列的示意图。
图4是根据本发明的实施方式的在上电极中的DC或RF驱动的空心阴极沟槽的二维阵列的示意图。
图5是根据本发明的实施方式的示出在产生增加的等离子体密度时没有增加的解离而执行的方法操作的流程图。
具体实施方式
现在将描述用于对离子产生和工艺气体的离解具有独立控制的等离子体蚀刻的系统和方法的若干示例性的实施方式。对本领域技术人员来说,本发明显然可在没有此处所陈述的具体细节中的一些或全部的情况下被实施。
用于蚀刻介电晶片膜的典型等离子室有一个常见问题。目标物质的蚀刻速率往往受到达表面的离子通量所限。为了获得更高的蚀刻速率,从而获得更高效的蚀刻工艺,可以增加离子通量。由于工艺控制参数被调整来产生到待蚀刻的晶片表面的相对高的离子通量,等离子体密度上的相应增加导致工艺气体的离解上的增加。这改变了等离子体中存在的化学物质的组合。更具体地,增加到晶片的离子通量的相同参数变化通常也会增加等离子体的电子密度。电子密度是直接影响等离子体中的工艺气体化学物质的分子离解的比率从而改变等离子体的化学组成(例如,不同自由基、工艺气体母体分子、以及蚀刻副产品之间的比率)的等离子体条件。当等离子体密度增加在整个等离子体化学过程中引起相应的变化时,会有更多离解。
氟碳(或氢氟碳)源气体(FC气体)通常被用来蚀刻SiO2、SiNx、SiOCHx、以及其它硅基电介质。过多的离解导致不理想的等离子体条件和不够最佳的工艺结果。具体地,过多的离解造成过于“倾斜(lean)”的等离子体化学过程,意味着蚀刻表面的倾向太强而通过形成氟碳(FC)薄膜来钝化表面的倾向太弱。过多的离解将诸如CxFy(X=2、3、4)等聚合中性物质转换为诸如CFx(X=1、2、3)和F等蚀刻中性物质,导致源气体在表面上的聚合减少。过量离解以及所导致的源气体的低聚合引起对掩模和衬底膜的低蚀刻选择性(selectivity),以及不希望的侧壁蚀刻。
调节等离子体化学过程中的气体混合物有时至少能部分补偿过量的离解。但是,调节气体混合物不能完全克服高密度等离子体的低聚合的局限。这一问题的极端示例发生于当高密度感应耦合等离子体(ICP)源与FC气体一起使用时。结果是对有机掩模物质的低选择性。
离子通量和电子密度之间的紧密耦合(close coupling)使得难以获得结合高离子通量和最佳氟碳等离子体化学物质的等离子体条件。该紧密耦合迫使在高蚀刻速率和高选择性之间进行折衷。该折衷通常在高深宽比(HAR)接触(high-aspect-ratio contact)或单元蚀刻(cell etching)中遇到,在这些情况下,由于相对较厚的膜而需要高蚀刻速率,且由于供应厚掩模膜的成本和复杂性而需要高选择性。
提供增加的等离子体密度而不增加离解的一种方法是生成具有相较于HAR电介质蚀刻常规条件较低的电子温度的高密度等离子体。这允许高离子通量到达晶片表面,但减少具有足够能量引起工艺气体的离解的电子量。这可通过在空间上分离工艺气体离解和等离子体激活或产生实现,某种程度上允许等离子体和工艺气体二者均匀注入到晶片处理区域中。以这种方式,与待处理的衬底互相作用的等离子体会具有低离解但高等离子体密度的益处,因为在与工艺气体分离的区域中等离子体被产生或者气体被预激活。
增加等离子体密度却不增加离解的一种方法包括将等离子体从等离子体源直接注入到晶片处理区域。晶片处理区域中的等离子体密度通过注入等离子体被提供和/或增加,使得电子温度从而工艺气体离解率不提高。
增加等离子体密度却不增加离解的另一种方法包括将激活了的物质种类从等离子体源注入到晶片区域。这些物质种类可包括亚稳的电子激发的原子和分子、振动激发的分子、或由分子离解产生的自由基,使得这些物质种类具有显著低于源气体混合物中存在的母体原子和分子的电离能。等离子体通过有别于等离子体源的激发的电激发而在晶片处理区域中产生。举例来说,下电极104可使RF偏置信号S2被施加(例如,27MHz)且上电极的第三导电层112可使接地电位S3被施加。激活了的物质种类的一部分会被晶片处理区域中的等离子体电离。由于使激活了的物质种类区别于非激活源气体混合物的降低了的电离电位,具有降低的电子能量的等离子体平衡得以实现,且晶片处理区域中的等离子体会因此调节至较低的电子温度。该降低了的电子温度会趋向于在相同的等离子体密度情况下产生蚀刻气体混合物的较少离解,或者在较高的等离子体密度情况下产生相同的离解。在这两种情况下,晶片处理区域中的等离子体密度的增加可受供应给等离子体源的功率的控制,基本上不受晶片处理区域中的工艺气体的离解率的影响。
图1是根据本发明的实施方式的在上电极103中具有多个空心阴极等离子体腔108的等离子体处理室系统100的示意图。图2是根据本发明的实施方式的多个空心阴极等离子体腔108中的一个的更详细的视图。系统100包括等离子体处理室101和控制器125。等离子体处理室101包括上电极103和下电极104。下电极104包括支撑并电气耦合到晶片102的卡盘。等离子体处理室101还包括上电极103和下电极104之间的晶片处理区域106。该晶片处理区域可具有大约16mm到大约36mm之间的间距D11。
多个气源129、141被耦合到等离子体处理室101。虽然示出了两个气源129、141,但是也可有多于两个的气源被包括在系统100中或耦合到系统100。气源129、141还包括用于控制气体流量及混合的子系统(例如,阀门、歧管、流量监控器和流量控制器)。气源129、141还包括用于控制包含在其中的气体的的气压的子系统。
系统100中还包括多个偏置(RF和/或DC)信号源S1-S5。偏置信号源S1-S5被耦合到上电极103和下电极104的部分,下面将更为详细地进行描述。
上电极103包括用于控制上电极的温度的温度控制系统122A。上电极103还包括多个空心阴极等离子体腔108。上电极103还包括用于将各自的气体128、140从各自的气体源129、141分配到各自的使用点的送气管道(delivery plenums)124和126,如下面所更为详细地描述的。
上电极103可被形成为多个层。举例来说,温度控制层122可以是诸如金属(铝、不锈钢、其他合适的导热材料或这些材料的组合)、硅碳化物之类的良好的导热材料。温度控制层122具有任意合适尺寸的厚度D10。举例来说,厚度D10可在小于大约3mm到大于大约100mm之间。
第一导电层120可被形成于HC腔108上方。第一导电层120可被偏置以帮助指引形成在HC腔108中的等离子体140A,如下面所更为详细地描述的。第一导电层120具有在大约3mm到大约10mm之间的厚度D9。电气绝缘层(未图示)可被任选地包括在温度控制层122和第一导电层120之间。
第二导电层116包括HC腔108。如下面将更为详细地描述的,在导电层中形成HC腔108允许相对简单的结构用于将偏置信号S1施加到HC腔。第一绝缘层118电气隔离第二导电层116和第一导电层120。第一绝缘层118具有在大约1mm到大约6mm之间的厚度D8。
第二绝缘层114电气隔离第二导电层116和第三导电层112。第二绝缘层114具有大约1mm到大约6mm之间的厚度D7。第三导电层112可被偏置以帮助指引形成于HC腔108中的等离子体140A,如下面所更为详细地描述的。第三导电层112具有大约3mm到大约10mm之间的厚度D6。
控制器125包括控制器子系统,所述控制器子系统包括逻辑单元、软件、处理器、硬件、输入/输出子系统、显示子系统、数据存储系统、存储器系统、通信和网络子系统。控制器125包括限定等离子室系统100的希望操作的配置(recipe)。控制器125被耦合到等离子体处理系统100中的各种传感器系统(例如,电气传感器、光学传感器、压力传感器、温度传感器,等等)以监控该等离子体处理系统中的处理。控制器125还被耦合到偏置信号源S1-S5、气源129、141、温度控制系统122A的控制输入和/或反馈输出且被耦合到用于移动(例如,上升下降、横向移动、打开关闭,等等)等离子体处理系统100中的各种部件以及(在一些实施方式中)晶片102的各种致动器。
图3是根据本发明的实施方式的在上电极103中的DC或RF驱动的空心阴极(HC)腔的二维阵列的示意图。图4是根据本发明的实施方式的在上电极103中的DC或RF驱动的空心阴极沟槽的二维阵列的示意图。图1、2和3示出了上电极103的表面中的多个离散的HCs 108和离散的注入口127。图4中所示的实施方式在上电极103的表面中分别用沟槽108'和127'替代离散HCs 108和离散注入口127中的至少一些。沟槽108'和127'可使制造和组装简化。应当理解的是,所示实施方式以简化的、示意图的形式示出且不一定按比例绘制。
HC腔108通过空心阴极效应产生等离子体,高能量电子被困于阴极壁之间。HC腔108具有大约3mm到大约25mm之间的宽度D4。HC腔108具有大约3mm到大约25mm之间的高度D5。
离散的HCs 108、离散的注入口127以及沟槽108'和127'被示为在上电极103的整个表面大体上均匀分布。然而,应当理解的是,离散的HC 108、离散的注入口127以及沟槽108'和127′可以不像图示的那样均匀分布。还应当理解的是,离散的HC 108、离散的注入口127以及沟槽108'和127'的相对尺寸可以不像图1-4中所示的那样。
图5是根据本发明的实施方式的示出在产生增加的等离子体密度时没有增加的解离而执行的方法操作500的流程图。此处所图示的操作是以示例方式说明,同样应当理解的是,一些操作可具有子操作,且在其他情况下,此处所描述的某些操作可不被包括在图示的操作中。基于该理解,现在对方法和操作500进行描述。
在操作505中,通过分配管道(plenums)126将源气体140供应给HC腔108和/或沟槽。在操作510中,第一信号S1被施加到HC腔108以在其中产生等离子体140A和/或激活了的物质种类。高密度等离子体140A可在大约20到大约5000mT之间的适度压强下尤其是在大约50到大约1000mT之间的压强下被生成。在操作515中,等离子体140A和/或激活了的物质种类被传送到位于待蚀刻的表面102A和HC腔108的出口108A之间的晶片处理区域106中。
从HC腔108的顶部供应源气体140以提供HC腔中的最佳压强。源气体140可以是单一气体或两种以上气体的气体混合物。源气体140大体上是惰性的且与待蚀刻的表面不起反应。举例来说,源气体140可包括氩、氙、甚或诸如N2或O2之类的分子气体中的一种或其混合物。虽然通常不认为N2和O2是惰性的,但是在源气体、N2和O2及其任何离解产物不会引起对HC腔108或沟槽的内表面的明显改性且不包括旨在拥有低水平的离解的诸如氟碳气体之类的气体的情况下,源气体可被视为是惰性的。
当激活了的物质种类被注入到晶片处理区域106中时,源气体被选用来产生这样的期望物质种类。例如,已知诸如氩和氙之类的稀有气体原子在典型等离子体条件下产生亚稳的电子激发的原子。这些亚稳态原子可具有比基态原子低得多的电离电位。同样地,N2分子在典型等离子体条件下在处于亚稳电子状态方面也相对高效。作为另一实施例,CO分子也可以是有益处的,因为其可在源区域中离解以产生具有低于CO分子的电离电位的原子C自由基。
含氟碳化合物或其他卤化物的蚀刻剂气体128不被认为是惰性的,因其可在HC腔108的内表面和待蚀刻的表面102A上蚀刻或形成沉积。如上所述,含氟碳化合物或其他卤化物的蚀刻剂气体128的离解还可强烈影响蚀刻结果。因此,含氟碳化合物或其他卤化物的蚀刻剂气体128不通过HC腔108注入。但是,仍然需要含氟碳化合物或其他卤化物的蚀刻剂气体128用于蚀刻待蚀刻的表面102A/与待蚀刻的表面102A的化学反应。
含氟碳化合物或其他卤化物的蚀刻剂气体128也可包括气体混合物。蚀刻剂气体混合物中的某些气体可以是惰性的且该混合物中的某些气体可以是活性的。蚀刻剂气体混合物中的气体的比例可被调节以达到希望的比例。蚀刻剂气体128可以是单一气体或气体混合物,其包含了在待蚀刻的表面102A处作为化学蚀刻剂的化学物质种类或其前体(precursor)。举例来说,蚀刻剂气体128可包括用于SiO2蚀刻的氟碳化合物或用于Si蚀刻的Cl2。诸如O2、CH4、或HBr之类的其他气体可与含氟碳化合物的气体混合,以提供侧壁钝化或其他有益效果。
在操作520中,包括含氟碳化合物或其他卤化物的蚀刻剂气体128的第二气体被注入到晶片处理区域106中。该第二气体绕开HC腔108并通过注入口127被直接注入到晶片处理区域106中。注入口127位于HC腔108的出口108A之间。注入蚀刻剂气体128可包括将该蚀刻剂气体从蚀刻剂气体源129传送至一或多个送气管道124。送气管道124将蚀刻剂气体128分配到注入口127。从注入口127,蚀刻剂气体108被注入到晶片处理区域106。这样,含氟碳化合物或其他卤化物的蚀刻剂气体128便绕开HC腔108并被直接注入到晶片处理区域106中。
在操作530中,在晶片处理区域106中混合蚀刻剂气体128和从HC出口108A输出的等离子体140A和/或激活了的物质种类。当激活了的物质种类从HC出口108A输出时,通过将偏置信号S2施加到下电极104并将接地电位信号S3施加到上电极的第三导电层112,可在晶片处理区域106中产生等离子体。源气体140的选定流速和出口108A的宽度D2以及出口108A的垂直长度D6+D7的组合确定了当等离子体140A和/或激活了的物质种类从HC 108的出口108A流出时的压降。出口108A的宽度D2可在大约1.0mm到大约15mm之间,出口108A的长度D6+D7可在大约1.0mm到大约12mm之间。对于通过孔的气流来说,净流量和面积的比代表通量,且该参数可被调整以确定净气体流量的能力从而防止反方向上的非希望气体传输。气体140和等离子体140A通过出口108A的通量大体上防止了存在于晶片处理区域106中的气体种类通过开口108A进入HC 108。这样,大体上防止了蚀刻剂气体128及其离解和反应产物与HC 108内的表面相互作用。
源气体140的选定流速和端口140B的宽度D3以及端口140B的垂直长度D12的组合确定了当气体140流入HC 108时的压降。气体140通过端口140B的通量和宽度D3大体上防止了等离子体扩散到源气体供应管道126中。端口140B具有标称小于大约2×等离子体鞘厚度的宽度D3。举例来说,宽度D3可在大约0.1mm到大约0.7mm之间。孔140B的长度D12可在大约1mm到大约12mm之间。
蚀刻剂气体128的选定流速和孔127B的宽度D1以及长度D13的组合确定了蚀刻剂气体128进入晶片处理区域106的压降。孔127B的宽度D1可在大约0.3mm到大约0.8mm之间,且孔长D13可在大约2.0mm到大约20.0mm之间。蚀刻剂气体128的通量和孔127B的宽度D1大体上防止了存在于晶片处理区域106中的气体种类进入注入口127。源气体140和蚀刻剂气体128的流量的比例如通过改变各自气源129、141的压强可被有选择地控制。
举例来说,源气体140和蚀刻剂气体128的流量的比可被有选择地控制使得到晶片处理区域106中的整体气流的大部分是从HC 108流出(outlet)的源气体140和等离子体离子140A。类似地,源气体140和蚀刻剂气体128的流量的比可被有选择地控制使得到晶片处理区域106中的整体气流的大部分是从注入口127流出(outlet)的。类似地,源气体140和蚀刻剂气体128的流量的比可被有选择地控制使得到晶片处理区域106中的整体气流在从注入口127流出的蚀刻剂气体128和从HC 108流出的源气体140和等离子体离子140A之间大体上均匀地进行分配。
HC腔108的开口108A的宽度D2大体上防止了蚀刻剂气体128从晶片处理区域106流入HC腔中。宽度D2通过需要从HC 108输出的等离子体和/或激活了的物质种类的选择以及通过特定等离子体140A的动力来确定。举例来说,宽度D2宽于等离子体鞘厚度的两倍以便确保该等离子体被传输通过开口108A并进入晶片处理区域106。反之,如果只有激活了的物质种类而非等离子体140A拟被注入到晶片处理区域106中,那么宽度D2会被做得小于等离子体鞘厚度的两倍以确保等离子体在开口108A中被消灭(extinguish)。
HC 108和/或沟槽108'分布于上电极103的整个表面以便传送蚀刻剂气体127和等离子体离子140A的大体上均匀的流和混合物208贯穿晶片处理区域106并到待蚀刻的表面102A。在操作540中,等离子体140A的电子与蚀刻剂气体127在晶片处理区域106中相互作用以引起受控的离解并生成表面102A的蚀刻反应以及相关联的钝化所需的期望的化学物质种类208。由于等离子体源108的远程性质(remotenature)以及等离子体140A从HC 108到晶片处理区域106中的扩散,或者由于具有降低了的电离电位的激活了的物质种类从HC 108到晶片处理区域106中的传输,晶片处理区域中的电子温度显著低于当前HAR电介质蚀刻通常的典型电子温度。
在操作550中,等离子体140A以及所期的化学物质种类208的一部分被传送到待蚀刻的表面102A,其蚀刻待蚀刻的表面。蚀刻表面102A产生蚀刻副产品。在操作560中,蚀刻副产品从晶片处理区域106被移除。将等离子体140A以及所期的化学物质种类208传送到待蚀刻的表面102A还包括将来自信号源S2的信号施加到下电极104。信号S2可以是RF偏压以控制离子轰击能量。RF偏压相对于DC偏压具有公知的优势,RF偏压可在最小电位降情况下通过介电膜被施加(例如,晶片表面上的氧化膜或ESC中的陶瓷层)。应当理解的是,信号S2可包括RF和DC信号两者来偏置下电极104。施加到下电极104的偏置信号S2还可产生和/或增加晶片处理区域106中的等离子体密度。
偏置信号S3和S4可被提供给HC腔108的各自的顶部120和底部112以改进等离子体140A从HC腔的传送。偏置信号S3和S4可以是接地电位。温度控制层122可用与第一导电层120相同的偏置信号S4进行偏置。替代地且如上所述,可选的绝缘层可电气隔离温度控制层122和第一导电层120,从而使得温度控制层用不同于施加到第一导电层的偏置信号S4的信号S5来偏置。
源气体140通过具有宽度D3的一或多个端口140B被注入到HC腔108的顶部。多个小端口140B大体上防止了等离子体140A从HC腔108向上泄漏并进入送气管道126。反过来,在HC 108的出口108A处的等离子体注入是单一开口以使等离子体传输和/或活性物质种类传输具有最小可能的气体导率。
在示例性的实施方式中,信号S1会是1-15MHz范围内的RF以避免电极表面上的DC浮动表面膜(DC-floating surface films)的可能问题。源气体140会是氩且蚀刻剂气体128会是氟碳化合物、氢氟碳化合物、和/或O2的混合物。
有很多可能的替代实施方式。举例来说,HC腔108的阴极和接地信号S1、S3、S4会被设置使得信号S1可被施加到延伸在HC腔的顶部上方的可选导电层116A。还可能有进气几何布局(gas feedgeometry)上的其他变化例,因为两种分开的气体128、140在阵列上方以大体上交替的点被供应到晶片处理区域106。
温度控制层122可被温度控制系统122A主动冷却。冷却温度控制层122会将热带离HC腔108。举例来说,温度控制层122可包括穿过温度控制系统122A的冷却剂以将热从电极103带离到外部散热系统(未图示)。温度控制系统122A可包括在本技术领域中公知的控制上电极103的温度的其他系统和方法。例如,温度控制系统122A可包括吸热、热电致冷、加热、任何合适的致冷介质。
在一实施例中,蚀刻剂气体由C4F8和O2组成,C4F8分子离解以形成各种更小的原子和分子,其中一些是化学反应性自由基。特别地,C2F4、C3F5及其他多碳物质种类部分地负责通过聚合物沉积来钝化掩模、侧壁和停止层的聚合工艺。相反,结合离子轰击,CF3和F自由基种类用以蚀刻待蚀刻的SiO2基的膜。O自由基由O2的离解而产生。O自由基蚀刻聚合物,尤其是在结合离子轰击的情况下,从而使得净聚合(net polymerization)的程度可控。通过实现比常规工艺更低的离解程度,本工艺提供了钝化剂对蚀刻剂的更大比例,这更有利于选择性的蚀刻。
基于以上实施方式,应当理解的是,本发明可使用涉及存储在计算机系统中的数据的各种计算机执行操作。这些操作需要物理量(physical quantities)的物理操纵。通常来说,虽然不一定,这些量(quantities)表现为能够被存储、传递、结合、比较、以及进行其他操纵的电或磁信号的形式。进一步地,所进行的这些操纵往往是指诸如产生、标识、确定、或比较等术语。
本发明还可被呈现为计算机可读介质上的计算机可读代码。该计算机可读介质是可存储数据的任何数据存储设备,该数据以后可用计算机系统读取。计算机可读介质的示例包括硬盘驱动器、网络附加存储器(NAS)、只读存储器、随机存取存储器、CD-ROM、CD-R、CD-RW、DVD、闪存、磁带、以及其他光学或非光学的数据存储设备。该计算机可读介质还可在网络耦合计算机系统上进行分配使得计算机可读代码以分布式方式存储和执行。
此处所描述的形成本发明的部分的任何操作是实用的机器操作。本发明还涉及用于执行这些操作的设备或装置。该装置可被专门构造用于所需目的,或者可以是用存储在计算机中的计算机程序选择性地进行激活或配置的通用计算机。尤其是,各种通用机器可与根据此处的教导编写的计算机程序一起使用,或者可更方便来构造更专业的装置以执行所需的操作。
虽然出于清楚理解的目的对前述发明进行了相当详细的描述,但是显然某些变化和修改可在所附权利要求书的范围内被实施。因此,当前这些实施方式可被视为例证性的而非限制性的,并且本发明不受此处所给出的细节的限制,而且可在所附权利要求书的范围或等同方式内被修改。

Claims (22)

1.蚀刻半导体晶片的方法,包括:
将源气体混合物注入到处理室中,包括:
将所述源气体混合物注入到所述处理室的上电极中的多个空心阴极腔中;
在所述多个空心阴极腔中的每一个中产生等离子体,
其包括将第一偏置信号施加到所述多个空心阴极腔;以及
将产生的所述等离子体从所述多个空心阴极腔中的每一个的对应出口输出到所述处理室中的晶片处理区域中,所述晶片处理区域位于所述多个空心阴极腔中的每一个的所述出口和待蚀刻的表面之间;
将蚀刻剂气体混合物注入到所述晶片处理区域中,所述蚀刻剂气体混合物通过所述上电极中的多个注入口被注入使得所述蚀刻剂气体混合物与从所述多个空心阴极腔的所述出口输出的所述等离子体混合,包括在所述晶片处理区域中产生期望的化学物质种类,且其中通过从所述多个空心阴极腔中的每一个的所述出口流出的所述气体和所述等离子体,所述蚀刻剂气体混合物实质上被防止流入所述多个空心阴极腔中的每一个的所述出口中;以及蚀刻待蚀刻的所述表面。
2.如权利要求1所述的方法,其中在所述多个空心阴极腔中的每一个中产生等离子体包括冷却所述上电极。
3.如权利要求1所述的方法,其中偏置所述多个空心阴极腔包括将所述第一偏置信号施加到所述上电极的第二导电层,所述多个空心阴极腔被形成于所述第二导电层中。
4.如权利要求1所述的方法,其中所述第一偏置信号包括RF偏置信号。
5.如权利要求1所述的方法,其中所述第一偏置信号包括大约1MHz到大约15MHz之间的范围内的RF信号。
6.如权利要求1所述的方法,其中所述多个注入口实质上分布于所述上电极的所述晶片处理区域的整个表面。
7.如权利要求1所述的方法,其中所述多个空心阴极腔实质上分布于所述上电极的所述晶片处理区域的整个表面。
8.如权利要求1所述的方法,其中所述多个空心阴极腔和所述多个注入口实质上均匀地散置于所述上电极的所述晶片处理区域的整个表面。
9.如权利要求1所述的方法,其中将产生的所述等离子体从所述多个空心阴极腔中的每一个的对应出口输出包括将第二偏置信号施加到下电极。
10.如权利要求1所述的方法,其中在所述多个空心阴极腔中的每一个中产生等离子体包括将第三偏置信号施加到所述多个空心阴极腔的所述出口。
11.如权利要求1所述的方法,其中在所述多个空心阴极腔中的每一个中产生等离子体包括将第三偏置信号施加到所述多个空心阴极腔的所述出口,所述第三偏置信号是接地电位。
12.如权利要求1所述的方法,其中蚀刻待蚀刻的所述表面包括从所述晶片处理区域移除蚀刻副产品。
13.如权利要求1所述的方法,进一步包括将接地电位施加到所述上电极的第一导电层。
14.如权利要求1所述的方法,其中所述多个空心阴极腔包括多个空心阴极沟槽。
15.如权利要求1所述的方法,其中所述上电极中的多个注入口包括多个注入沟槽。
16.如权利要求1所述的方法,其中所述源气体混合物是惰性气体。
17.如权利要求1所述的方法,其中所述蚀刻剂气体混合物包括含氟碳化合物的气体。
18.如权利要求1所述的方法,其中所述多个空心阴极腔中的每一个的所述出口具有大于等离子体鞘厚度两倍的宽度。
19.用于产生蚀刻物质种类的系统,包括:
源气体混合物源;
蚀刻剂气体源;
处理室,包括:
上电极,包括:
多个空心阴极腔,所述源气体混合物源被耦合到所述多个空心阴极腔中的每一个的入口;
第一偏置信号源,其被耦合到所述多个空心阴极腔中的每一个;
所述多个空心阴极腔中的每一个的对应出口,所述对应出口对所述处理室中的晶片处理区域打开,所述晶片处理区域位于所述多个空心阴极腔中的每一个的所述出口和待蚀刻的表面之间;以及
多个注入口,其被耦合到所述蚀刻剂气体源,
所述多个注入口能够将所述蚀刻剂气体注入到所述晶片处理区域中;
用于支撑半导体晶片的下电极,所述半导体晶片包括待蚀刻的所述表面。
20.如权利要求19所述的系统,其中所述对应出口中的每一个具有大于等离子体鞘厚度两倍的宽度。
21.如权利要求19所述的系统,其中所述对应出口中的每一个具有小于或等于等离子体鞘厚度两倍的宽度。
22.蚀刻半导体晶片的方法,包括:
将源气体混合物注入到处理室中,包括:
将所述源气体混合物注入到所述处理室的上电极中的多个空心阴极腔中;
在所述多个空心阴极腔中的每一个中产生等离子体,其包括将第一偏置信号施加到所述多个空心阴极腔;
在所述空心阴极腔中产生激活了的物质种类;以及
将产生的所述激活了的物质种类从所述多个空心阴极腔中的每一个的对应出口输出到所述处理室中的晶片处理区域中,所述晶片处理区域位于所述多个空心阴极腔中的每一个的所述出口和待蚀刻的表面之间;
将蚀刻剂气体混合物注入到所述晶片处理区域中,所述蚀刻剂气体混合物通过所述上电极中的多个注入口被注入使得所述蚀刻剂气体混合物与从所述多个空心阴极腔的所述出口输出的所述激活了的物质种类混合,包括:
在所述晶片处理区域中产生等离子体;以及
在所述晶片处理区域中产生期望的化学物质种类,且其中通过从所述多个空心阴极腔中的每一个的所述出口流出的所述激活了的物质种类,所述蚀刻剂气体混合物实质上被防止流入所述多个空心阴极腔中的每一个的所述出口中,其中所述多个空心阴极腔中的每一个的所述出口具有小于等离子体鞘厚度两倍的宽度;以及
蚀刻待蚀刻的所述表面。
CN201180010750.1A 2010-02-26 2011-01-28 用于对离子产生和工艺气体离解具有独立控制的等离子体蚀刻的系统、方法和装置 Active CN102771192B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/713,523 2010-02-26
US12/713,523 US9190289B2 (en) 2010-02-26 2010-02-26 System, method and apparatus for plasma etch having independent control of ion generation and dissociation of process gas
PCT/US2011/023021 WO2011106129A1 (en) 2010-02-26 2011-01-28 System. method and apparatus for plasma etch having independent control of ion generation and dissociation of process gas

Publications (2)

Publication Number Publication Date
CN102771192A true CN102771192A (zh) 2012-11-07
CN102771192B CN102771192B (zh) 2016-06-29

Family

ID=44505522

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201180010750.1A Active CN102771192B (zh) 2010-02-26 2011-01-28 用于对离子产生和工艺气体离解具有独立控制的等离子体蚀刻的系统、方法和装置

Country Status (7)

Country Link
US (2) US9190289B2 (zh)
JP (1) JP5950831B2 (zh)
KR (1) KR101765360B1 (zh)
CN (1) CN102771192B (zh)
SG (2) SG10201501320VA (zh)
TW (1) TWI527115B (zh)
WO (1) WO2011106129A1 (zh)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20170309458A1 (en) 2015-11-16 2017-10-26 Agc Flat Glass North America, Inc. Plasma device driven by multiple-phase alternating or pulsed electrical current
CN107852805A (zh) * 2014-12-05 2018-03-27 Agc玻璃欧洲公司 空心阴极等离子体源
US10438778B2 (en) 2008-08-04 2019-10-08 Agc Flat Glass North America, Inc. Plasma source and methods for depositing thin film coatings using plasma enhanced chemical vapor deposition
US10573499B2 (en) 2015-12-18 2020-02-25 Agc Flat Glass North America, Inc. Method of extracting and accelerating ions
US10755901B2 (en) 2014-12-05 2020-08-25 Agc Flat Glass North America, Inc. Plasma source utilizing a macro-particle reduction coating and method of using a plasma source utilizing a macro-particle reduction coating for deposition of thin film coatings and modification of surfaces
CN112335342A (zh) * 2018-06-14 2021-02-05 Mks仪器公司 用于远程等离子源的自由基输出监控器和使用方法

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130059448A1 (en) * 2011-09-07 2013-03-07 Lam Research Corporation Pulsed Plasma Chamber in Dual Chamber Configuration
US8980046B2 (en) * 2011-04-11 2015-03-17 Lam Research Corporation Semiconductor processing system with source for decoupled ion and radical control
US8900403B2 (en) 2011-05-10 2014-12-02 Lam Research Corporation Semiconductor processing system having multiple decoupled plasma sources
US9111728B2 (en) 2011-04-11 2015-08-18 Lam Research Corporation E-beam enhanced decoupled source for semiconductor processing
US20130098873A1 (en) * 2011-10-20 2013-04-25 Applied Materials, Inc. Overhead electron beam source for plasma ion generation in a workpiece processing region
US20140165911A1 (en) * 2012-12-14 2014-06-19 Applied Materials, Inc. Apparatus for providing plasma to a process chamber
US9443700B2 (en) 2013-03-12 2016-09-13 Applied Materials, Inc. Electron beam plasma source with segmented suppression electrode for uniform plasma generation
US9431218B2 (en) 2013-03-15 2016-08-30 Tokyo Electron Limited Scalable and uniformity controllable diffusion plasma source
US10475626B2 (en) 2015-03-17 2019-11-12 Applied Materials, Inc. Ion-ion plasma atomic layer etch process and reactor
US10386829B2 (en) * 2015-09-18 2019-08-20 Kla-Tencor Corporation Systems and methods for controlling an etch process
US20170092470A1 (en) * 2015-09-28 2017-03-30 Applied Materials, Inc. Plasma reactor for processing a workpiece with an array of plasma point sources
US10242846B2 (en) 2015-12-18 2019-03-26 Agc Flat Glass North America, Inc. Hollow cathode ion source
US10845375B2 (en) * 2016-02-19 2020-11-24 Agjunction Llc Thermal stabilization of inertial measurement units
JP7008474B2 (ja) * 2016-11-30 2022-01-25 東京エレクトロン株式会社 プラズマエッチング方法
KR102455231B1 (ko) 2017-10-23 2022-10-18 삼성전자주식회사 픽셀화된 플라즈마를 생성하는 할로우 캐소드, 반도체 소자의 제조장치 및 그의 제조방법
KR102455239B1 (ko) * 2017-10-23 2022-10-18 삼성전자주식회사 플라즈마 처리 장치 및 그를 이용한 반도체 소자의 제조방법
US10342110B1 (en) * 2018-09-14 2019-07-02 Serendipity Technologies LLC. Plasma power generator (z-box and z-tower)
US20220297223A1 (en) * 2021-03-18 2022-09-22 National University Corporation Nagaoka University Of Technology Work processing apparatus

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0552491A1 (en) * 1992-01-24 1993-07-28 Applied Materials, Inc. Plasma etch process
US20090218212A1 (en) * 2008-02-28 2009-09-03 Tokyo Electron Limited Hollow cathode device and method for using the device to control the uniformity of a plasma process
US20090321249A1 (en) * 2003-11-19 2009-12-31 Zond, Inc. Method of Hard Coating a Blade

Family Cites Families (153)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4276557A (en) * 1978-12-29 1981-06-30 Bell Telephone Laboratories, Incorporated Integrated semiconductor circuit structure and method for making it
JPS644481Y2 (zh) 1980-09-13 1989-02-06
US4340462A (en) * 1981-02-13 1982-07-20 Lam Research Corporation Adjustable electrode plasma processing chamber
JPS61189642A (ja) 1985-02-18 1986-08-23 Mitsubishi Electric Corp プラズマ反応装置
EP0246453A3 (en) 1986-04-18 1989-09-06 General Signal Corporation Novel multiple-processing and contamination-free plasma etching system
JPH0794483B2 (ja) 1987-02-04 1995-10-11 旭電化工業株式会社 サイクロデキストリン類脂肪酸エステルの製造法
KR960016218B1 (ko) * 1987-06-05 1996-12-07 가부시기가이샤 히다찌세이사꾸쇼 표면처리방법 및 그 장치
JPS644481A (en) * 1987-06-24 1989-01-09 Minoru Sugawara Parallel-plate discharge electrode
RU2094961C1 (ru) 1989-07-20 1997-10-27 Уланов Игорь Максимович Трансформаторный плазмотрон
RU2022917C1 (ru) 1989-09-27 1994-11-15 Уланов Игорь Максимович Способ получения окиси азота
RU2056702C1 (ru) 1990-07-09 1996-03-20 Уланов Игорь Максимович Трансформаторный плазмотрон
US6444137B1 (en) * 1990-07-31 2002-09-03 Applied Materials, Inc. Method for processing substrates using gaseous silicon scavenger
US5183990A (en) * 1991-04-12 1993-02-02 The Lincoln Electric Company Method and circuit for protecting plasma nozzle
JPH05144594A (ja) 1991-11-19 1993-06-11 Ebara Corp 放電プラズマ発生装置
JPH05166595A (ja) 1991-12-12 1993-07-02 Fuji Denpa Koki Kk 高気圧高密度プラズマ発生方法
US5302237A (en) * 1992-02-13 1994-04-12 The United States Of America As Represented By The Secretary Of Commerce Localized plasma processing
US5505780A (en) * 1992-03-18 1996-04-09 International Business Machines Corporation High-density plasma-processing tool with toroidal magnetic field
US5349271A (en) * 1993-03-24 1994-09-20 Diablo Research Corporation Electrodeless discharge lamp with spiral induction coil
JP2950110B2 (ja) * 1993-09-24 1999-09-20 住友金属工業株式会社 プラズマエッチング方法
JPH0878192A (ja) 1994-09-06 1996-03-22 Fujitsu Ltd プラズマ処理装置及びプラズマ処理方法
US5620524A (en) * 1995-02-27 1997-04-15 Fan; Chiko Apparatus for fluid delivery in chemical vapor deposition systems
US5630880A (en) * 1996-03-07 1997-05-20 Eastlund; Bernard J. Method and apparatus for a large volume plasma processor that can utilize any feedstock material
DE69719108D1 (de) * 1996-05-02 2003-03-27 Tokyo Electron Ltd Plasmabehandlungsgerät
US5846883A (en) * 1996-07-10 1998-12-08 Cvc, Inc. Method for multi-zone high-density inductively-coupled plasma generation
AUPO281896A0 (en) * 1996-10-04 1996-10-31 Unisearch Limited Reactive ion etching of silica structures for integrated optics applications
US6190236B1 (en) * 1996-10-16 2001-02-20 Vlsi Technology, Inc. Method and system for vacuum removal of chemical mechanical polishing by-products
US6150628A (en) * 1997-06-26 2000-11-21 Applied Science And Technology, Inc. Toroidal low-field reactive gas source
US6924455B1 (en) * 1997-06-26 2005-08-02 Applied Science & Technology, Inc. Integrated plasma chamber and inductively-coupled toroidal plasma source
US6388226B1 (en) * 1997-06-26 2002-05-14 Applied Science And Technology, Inc. Toroidal low-field reactive gas source
US6273022B1 (en) * 1998-03-14 2001-08-14 Applied Materials, Inc. Distributed inductively-coupled plasma source
US6203657B1 (en) * 1998-03-31 2001-03-20 Lam Research Corporation Inductively coupled plasma downstream strip module
US5998933A (en) * 1998-04-06 1999-12-07 Shun'ko; Evgeny V. RF plasma inductor with closed ferrite core
US6335293B1 (en) * 1998-07-13 2002-01-01 Mattson Technology, Inc. Systems and methods for two-sided etch of a semiconductor substrate
US6300643B1 (en) * 1998-08-03 2001-10-09 Varian Semiconductor Equipment Associates, Inc. Dose monitor for plasma doping system
US6178919B1 (en) * 1998-12-28 2001-01-30 Lam Research Corporation Perforated plasma confinement ring in plasma reactors
US6579805B1 (en) 1999-01-05 2003-06-17 Ronal Systems Corp. In situ chemical generator and method
US6392351B1 (en) * 1999-05-03 2002-05-21 Evgeny V. Shun'ko Inductive RF plasma source with external discharge bridge
KR100416308B1 (ko) * 1999-05-26 2004-01-31 동경 엘렉트론 주식회사 플라즈마 처리 장치
US6206972B1 (en) * 1999-07-08 2001-03-27 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
US6432260B1 (en) * 1999-08-06 2002-08-13 Advanced Energy Industries, Inc. Inductively coupled ring-plasma source apparatus for processing gases and materials and method thereof
US6318384B1 (en) * 1999-09-24 2001-11-20 Applied Materials, Inc. Self cleaning method of forming deep trenches in silicon substrates
EP1252358A4 (en) 1999-11-19 2008-02-13 Nano Scale Surface Systems Inc SYSTEM AND METHOD FOR SEPARATING INORGANIC / ORGANIC DIELECTRIC FILMS
JP4212210B2 (ja) 1999-12-07 2009-01-21 株式会社小松製作所 表面処理装置
KR20010062209A (ko) * 1999-12-10 2001-07-07 히가시 데쓰로 고내식성 막이 내부에 형성된 챔버를 구비하는 처리 장치
US6337460B2 (en) * 2000-02-08 2002-01-08 Thermal Dynamics Corporation Plasma arc torch and method for cutting a workpiece
JP2001237226A (ja) 2000-02-23 2001-08-31 Kobe Steel Ltd プラズマ処理装置
AU2001247685A1 (en) * 2000-03-30 2001-10-15 Tokyo Electron Limited Method of and apparatus for tunable gas injection in a plasma processing system
JP4371543B2 (ja) * 2000-06-29 2009-11-25 日本電気株式会社 リモートプラズマcvd装置及び膜形成方法
US7234477B2 (en) * 2000-06-30 2007-06-26 Lam Research Corporation Method and apparatus for drying semiconductor wafer surfaces using a plurality of inlets and outlets held in close proximity to the wafer surfaces
JP4559595B2 (ja) * 2000-07-17 2010-10-06 東京エレクトロン株式会社 被処理体の載置装置及びプラズマ処理装置
TW445540B (en) * 2000-08-07 2001-07-11 Nano Architect Res Corp Bundle concentrating type multi-chamber plasma reacting system
US6461972B1 (en) * 2000-12-22 2002-10-08 Lsi Logic Corporation Integrated circuit fabrication dual plasma process with separate introduction of different gases into gas flow
US20020101167A1 (en) * 2000-12-22 2002-08-01 Applied Materials, Inc. Capacitively coupled reactive ion etch plasma reactor with overhead high density plasma source for chamber dry cleaning
US6755150B2 (en) * 2001-04-20 2004-06-29 Applied Materials Inc. Multi-core transformer plasma source
US6527911B1 (en) * 2001-06-29 2003-03-04 Lam Research Corporation Configurable plasma volume etch chamber
TWI234417B (en) 2001-07-10 2005-06-11 Tokyo Electron Ltd Plasma procesor and plasma processing method
JP4666912B2 (ja) * 2001-08-06 2011-04-06 エー・エス・エムジニテックコリア株式会社 プラズマで補強した原子層蒸着装置及びこれを利用した薄膜形成方法
US20030045098A1 (en) * 2001-08-31 2003-03-06 Applied Materials, Inc. Method and apparatus for processing a wafer
US6855906B2 (en) * 2001-10-16 2005-02-15 Adam Alexander Brailove Induction plasma reactor
US6761804B2 (en) * 2002-02-11 2004-07-13 Applied Materials, Inc. Inverted magnetron
US6962644B2 (en) * 2002-03-18 2005-11-08 Applied Materials, Inc. Tandem etch chamber plasma processing system
FR2838020B1 (fr) * 2002-03-28 2004-07-02 Centre Nat Rech Scient Dispositif de confinement de plasma
US20030188685A1 (en) * 2002-04-08 2003-10-09 Applied Materials, Inc. Laser drilled surfaces for substrate processing chambers
US7013834B2 (en) 2002-04-19 2006-03-21 Nordson Corporation Plasma treatment system
US6936546B2 (en) * 2002-04-26 2005-08-30 Accretech Usa, Inc. Apparatus for shaping thin films in the near-edge regions of in-process semiconductor substrates
US20030213560A1 (en) * 2002-05-16 2003-11-20 Yaxin Wang Tandem wafer processing system and process
JP2004014904A (ja) * 2002-06-10 2004-01-15 Tokyo Ohka Kogyo Co Ltd 同時放電化装置
TWI283899B (en) * 2002-07-09 2007-07-11 Applied Materials Inc Capacitively coupled plasma reactor with magnetic plasma control
US6902774B2 (en) * 2002-07-25 2005-06-07 Inficon Gmbh Method of manufacturing a device
US7256132B2 (en) * 2002-07-31 2007-08-14 Applied Materials, Inc. Substrate centering apparatus and method
JP4775834B2 (ja) * 2002-08-05 2011-09-21 東京エレクトロン株式会社 エッチング方法
US20040027781A1 (en) * 2002-08-12 2004-02-12 Hiroji Hanawa Low loss RF bias electrode for a plasma reactor with enhanced wafer edge RF coupling and highly efficient wafer cooling
US20030015965A1 (en) * 2002-08-15 2003-01-23 Valery Godyak Inductively coupled plasma reactor
JP2004087738A (ja) * 2002-08-26 2004-03-18 Tokyo Electron Ltd Siエッチング方法
US6887317B2 (en) * 2002-09-10 2005-05-03 Applied Materials, Inc. Reduced friction lift pin
US7411352B2 (en) * 2002-09-19 2008-08-12 Applied Process Technologies, Inc. Dual plasma beam sources and method
US7252738B2 (en) * 2002-09-20 2007-08-07 Lam Research Corporation Apparatus for reducing polymer deposition on a substrate and substrate support
US7069937B2 (en) * 2002-09-30 2006-07-04 Lam Research Corporation Vertical proximity processor
US7513262B2 (en) 2002-09-30 2009-04-07 Lam Research Corporation Substrate meniscus interface and methods for operation
US6988327B2 (en) * 2002-09-30 2006-01-24 Lam Research Corporation Methods and systems for processing a substrate using a dynamic liquid meniscus
US7198055B2 (en) * 2002-09-30 2007-04-03 Lam Research Corporation Meniscus, vacuum, IPA vapor, drying manifold
KR100488348B1 (ko) * 2002-11-14 2005-05-10 최대규 플라즈마 프로세스 챔버 및 시스템
JP4087234B2 (ja) 2002-12-05 2008-05-21 株式会社アルバック プラズマ処理装置及びプラズマ処理方法
NL1022155C2 (nl) 2002-12-12 2004-06-22 Otb Group Bv Werkwijze, alsmede inrichting voor het behandelen van een oppervlak van ten minste één substraat.
US7163602B2 (en) * 2003-03-07 2007-01-16 Ogle John S Apparatus for generating planar plasma using concentric coils and ferromagnetic cores
US7824520B2 (en) * 2003-03-26 2010-11-02 Semiconductor Energy Laboratory Co., Ltd. Plasma treatment apparatus
JP2004296729A (ja) 2003-03-26 2004-10-21 Semiconductor Energy Lab Co Ltd 半導体装置の作製方法
US8409400B2 (en) * 2003-05-07 2013-04-02 Gen Co., Ltd. Inductive plasma chamber having multi discharge tube bridge
US8580076B2 (en) * 2003-05-22 2013-11-12 Lam Research Corporation Plasma apparatus, gas distribution assembly for a plasma apparatus and processes therewith
US7632379B2 (en) * 2003-05-30 2009-12-15 Toshio Goto Plasma source and plasma processing apparatus
JP4607517B2 (ja) 2003-09-03 2011-01-05 東京エレクトロン株式会社 プラズマ処理装置
US20050103620A1 (en) * 2003-11-19 2005-05-19 Zond, Inc. Plasma source with segmented magnetron cathode
US7464662B2 (en) * 2004-01-28 2008-12-16 Tokyo Electron Limited Compact, distributed inductive element for large scale inductively-coupled plasma sources
US7785672B2 (en) * 2004-04-20 2010-08-31 Applied Materials, Inc. Method of controlling the film properties of PECVD-deposited thin films
JP2006114884A (ja) * 2004-09-17 2006-04-27 Ebara Corp 基板洗浄処理装置及び基板処理ユニット
US7323116B2 (en) * 2004-09-27 2008-01-29 Lam Research Corporation Methods and apparatus for monitoring a process in a plasma processing system by measuring self-bias voltage
US20060236931A1 (en) * 2005-04-25 2006-10-26 Varian Semiconductor Equipment Associates, Inc. Tilted Plasma Doping
ATE543199T1 (de) * 2005-05-23 2012-02-15 New Power Plasma Co Ltd Plasmakammer mit entladung induzierender brücke
US20070032081A1 (en) * 2005-08-08 2007-02-08 Jeremy Chang Edge ring assembly with dielectric spacer ring
US7641762B2 (en) * 2005-09-02 2010-01-05 Applied Materials, Inc. Gas sealing skirt for suspended showerhead in process chamber
KR101247198B1 (ko) 2005-09-09 2013-03-25 가부시키가이샤 알박 이온원 및 플라스마 처리장치
US7895970B2 (en) * 2005-09-29 2011-03-01 Tokyo Electron Limited Structure for plasma processing chamber, plasma processing chamber, plasma processing apparatus, and plasma processing chamber component
US8092638B2 (en) 2005-10-11 2012-01-10 Applied Materials Inc. Capacitively coupled plasma reactor having a cooled/heated wafer support with uniform temperature distribution
US7397232B2 (en) 2005-10-21 2008-07-08 The University Of Akron Coulter counter having a plurality of channels
KR100663668B1 (ko) 2005-12-07 2007-01-09 주식회사 뉴파워 프라즈마 복수의 기판을 병렬 배치 처리하기 위한 플라즈마 처리장치
JP2007191792A (ja) * 2006-01-19 2007-08-02 Atto Co Ltd ガス分離型シャワーヘッド
KR100785164B1 (ko) 2006-02-04 2007-12-11 위순임 다중 출력 원격 플라즈마 발생기 및 이를 구비한 기판 처리시스템
US7740705B2 (en) * 2006-03-08 2010-06-22 Tokyo Electron Limited Exhaust apparatus configured to reduce particle contamination in a deposition system
US8231799B2 (en) * 2006-04-28 2012-07-31 Applied Materials, Inc. Plasma reactor apparatus with multiple gas injection zones having time-changing separate configurable gas compositions for each zone
JP5069427B2 (ja) 2006-06-13 2012-11-07 北陸成型工業株式会社 シャワープレート、並びにそれを用いたプラズマ処理装置、プラズマ処理方法及び電子装置の製造方法
US7879184B2 (en) * 2006-06-20 2011-02-01 Lam Research Corporation Apparatuses, systems and methods for rapid cleaning of plasma confinement rings with minimal erosion of other chamber parts
US7837826B2 (en) * 2006-07-18 2010-11-23 Lam Research Corporation Hybrid RF capacitively and inductively coupled plasma source using multifrequency RF powers and methods of use thereof
DE102006048816A1 (de) 2006-10-16 2008-04-17 Iplas Innovative Plasma Systems Gmbh Vorrichtung und Verfahren zur lokalen Erzeugung von Mikrowellenplasmen
US7780866B2 (en) * 2006-11-15 2010-08-24 Applied Materials, Inc. Method of plasma confinement for enhancing magnetic control of plasma radial distribution
KR100978754B1 (ko) * 2008-04-03 2010-08-30 주식회사 테스 플라즈마 처리 장치
KR20090106617A (ko) * 2007-01-19 2009-10-09 어플라이드 머티어리얼스, 인코포레이티드 플라스마 함침 챔버
US7552736B2 (en) * 2007-01-30 2009-06-30 Applied Materials, Inc. Process for wafer backside polymer removal with a ring of plasma under the wafer
KR100868019B1 (ko) * 2007-01-30 2008-11-10 삼성전자주식회사 플라즈마 쉬쓰 제어기를 갖는 이온 빔 장치
US7897213B2 (en) 2007-02-08 2011-03-01 Lam Research Corporation Methods for contained chemical surface treatment
JP2008244292A (ja) * 2007-03-28 2008-10-09 Hitachi High-Technologies Corp プラズマ処理装置の処理性能安定化方法
US7824519B2 (en) * 2007-05-18 2010-11-02 Lam Research Corporation Variable volume plasma processing chamber and associated methods
WO2008154222A1 (en) * 2007-06-06 2008-12-18 Mks Instruments, Inc. Particle reduction through gas and plasma source control
KR101418438B1 (ko) * 2007-07-10 2014-07-14 삼성전자주식회사 플라즈마 발생장치
US20090025879A1 (en) 2007-07-26 2009-01-29 Shahid Rauf Plasma reactor with reduced electrical skew using a conductive baffle
US8343305B2 (en) * 2007-09-04 2013-01-01 Lam Research Corporation Method and apparatus for diagnosing status of parts in real time in plasma processing equipment
US8771483B2 (en) * 2007-09-05 2014-07-08 Intermolecular, Inc. Combinatorial process system
US8039052B2 (en) * 2007-09-06 2011-10-18 Intermolecular, Inc. Multi-region processing system and heads
US20090109595A1 (en) 2007-10-31 2009-04-30 Sokudo Co., Ltd. Method and system for performing electrostatic chuck clamping in track lithography tools
US20090197015A1 (en) * 2007-12-25 2009-08-06 Applied Materials, Inc. Method and apparatus for controlling plasma uniformity
US8129288B2 (en) 2008-05-02 2012-03-06 Intermolecular, Inc. Combinatorial plasma enhanced deposition techniques
SG188140A1 (en) 2008-02-08 2013-03-28 Lam Res Corp Adjustable gap capacitively coupled rf plasma reactor including lateral bellows and non-contact particle seal
JP5214743B2 (ja) 2008-02-08 2013-06-19 ラム リサーチ コーポレーション プラズマ処理チャンバのパーツのための保護被覆およびその使用方法
US7713757B2 (en) 2008-03-14 2010-05-11 Applied Materials, Inc. Method for measuring dopant concentration during plasma ion implantation
US7558045B1 (en) 2008-03-20 2009-07-07 Novellus Systems, Inc. Electrostatic chuck assembly with capacitive sense feature, and related operating method
JP5294669B2 (ja) 2008-03-25 2013-09-18 東京エレクトロン株式会社 プラズマ処理装置
US20090275206A1 (en) 2008-05-05 2009-11-05 Applied Materials, Inc. Plasma process employing multiple zone gas distribution for improved uniformity of critical dimension bias
JP5524453B2 (ja) 2008-05-15 2014-06-18 Sumco Techxiv株式会社 シリコンウェーハのエッチング方法及びエッチング装置
US8679288B2 (en) 2008-06-09 2014-03-25 Lam Research Corporation Showerhead electrode assemblies for plasma processing apparatuses
US8206552B2 (en) 2008-06-25 2012-06-26 Applied Materials, Inc. RF power delivery system in a semiconductor apparatus
JP5144594B2 (ja) 2008-06-30 2013-02-13 ヤフー株式会社 サーバ装置、サーバ装置における予測方法及びプログラム
JP2010041028A (ja) * 2008-07-11 2010-02-18 Tokyo Electron Ltd 基板処理方法
KR101046335B1 (ko) 2008-07-29 2011-07-05 피에스케이 주식회사 할로우 캐소드 플라즈마 발생방법 및 할로우 캐소드플라즈마를 이용한 대면적 기판 처리방법
CN102099505A (zh) 2008-07-30 2011-06-15 京瓷株式会社 沉积膜形成装置及沉积膜形成方法
US20100024729A1 (en) * 2008-08-04 2010-02-04 Xinmin Cao Methods and apparatuses for uniform plasma generation and uniform thin film deposition
KR20100031960A (ko) 2008-09-17 2010-03-25 삼성전자주식회사 플라즈마 발생장치
JP5295833B2 (ja) 2008-09-24 2013-09-18 株式会社東芝 基板処理装置および基板処理方法
US20100116788A1 (en) 2008-11-12 2010-05-13 Lam Research Corporation Substrate temperature control by using liquid controlled multizone substrate support
US8099995B2 (en) 2008-12-16 2012-01-24 Agilent Technologies, Inc. Choked flow isolator for noise reduction in analytical systems
US8503151B2 (en) 2009-09-30 2013-08-06 Lam Research Corporation Plasma arrestor insert
KR101723253B1 (ko) 2009-08-31 2017-04-04 램 리써치 코포레이션 국부 플라즈마 한정 및 압력 제어 장치 및 방법
US8999104B2 (en) 2010-08-06 2015-04-07 Lam Research Corporation Systems, methods and apparatus for separate plasma source control
US8999856B2 (en) * 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
JP5166595B2 (ja) 2011-12-16 2013-03-21 株式会社藤商事 遊技機

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0552491A1 (en) * 1992-01-24 1993-07-28 Applied Materials, Inc. Plasma etch process
US20090321249A1 (en) * 2003-11-19 2009-12-31 Zond, Inc. Method of Hard Coating a Blade
US20090218212A1 (en) * 2008-02-28 2009-09-03 Tokyo Electron Limited Hollow cathode device and method for using the device to control the uniformity of a plasma process

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10438778B2 (en) 2008-08-04 2019-10-08 Agc Flat Glass North America, Inc. Plasma source and methods for depositing thin film coatings using plasma enhanced chemical vapor deposition
US10580625B2 (en) 2008-08-04 2020-03-03 Agc Flat Glass North America, Inc. Plasma source and methods for depositing thin film coatings using plasma enhanced chemical vapor deposition
US10580624B2 (en) 2008-08-04 2020-03-03 Agc Flat Glass North America, Inc. Plasma source and methods for depositing thin film coatings using plasma enhanced chemical vapor deposition
CN107852805A (zh) * 2014-12-05 2018-03-27 Agc玻璃欧洲公司 空心阴极等离子体源
US10586685B2 (en) 2014-12-05 2020-03-10 Agc Glass Europe Hollow cathode plasma source
US10755901B2 (en) 2014-12-05 2020-08-25 Agc Flat Glass North America, Inc. Plasma source utilizing a macro-particle reduction coating and method of using a plasma source utilizing a macro-particle reduction coating for deposition of thin film coatings and modification of surfaces
CN107852805B (zh) * 2014-12-05 2020-10-16 Agc玻璃欧洲公司 空心阴极等离子体源
US11875976B2 (en) 2014-12-05 2024-01-16 Agc Flat Glass North America, Inc. Plasma source utilizing a macro-particle reduction coating and method of using a plasma source utilizing a macro-particle reduction coating for deposition of thin film coatings and modification of surfaces
US20170309458A1 (en) 2015-11-16 2017-10-26 Agc Flat Glass North America, Inc. Plasma device driven by multiple-phase alternating or pulsed electrical current
US10559452B2 (en) 2015-11-16 2020-02-11 Agc Flat Glass North America, Inc. Plasma device driven by multiple-phase alternating or pulsed electrical current
US10573499B2 (en) 2015-12-18 2020-02-25 Agc Flat Glass North America, Inc. Method of extracting and accelerating ions
CN112335342A (zh) * 2018-06-14 2021-02-05 Mks仪器公司 用于远程等离子源的自由基输出监控器和使用方法

Also Published As

Publication number Publication date
SG10201501320VA (en) 2015-04-29
TW201140691A (en) 2011-11-16
SG183268A1 (en) 2012-09-27
JP2013520836A (ja) 2013-06-06
KR20130047682A (ko) 2013-05-08
TWI527115B (zh) 2016-03-21
US9190289B2 (en) 2015-11-17
KR101765360B1 (ko) 2017-08-07
US20110212624A1 (en) 2011-09-01
CN102771192B (zh) 2016-06-29
US20160049304A1 (en) 2016-02-18
JP5950831B2 (ja) 2016-07-13
US9735020B2 (en) 2017-08-15
WO2011106129A1 (en) 2011-09-01

Similar Documents

Publication Publication Date Title
CN102771192A (zh) 用于对离子产生和工艺气体离解具有独立控制的等离子体蚀刻的系统、方法和装置
US9576809B2 (en) Etch suppression with germanium
US9236265B2 (en) Silicon germanium processing
US9117855B2 (en) Polarity control for remote plasma
US9472412B2 (en) Procedure for etch rate consistency
US9384997B2 (en) Dry-etch selectivity
US9773648B2 (en) Dual discharge modes operation for remote plasma
KR101509010B1 (ko) 실리콘과 질소를 모두 함유하는 물질들의 건식 식각 레이트의 선택적인 억제
KR102402866B1 (ko) 고 종횡비의 구조체들의 콘택 세정
KR101909556B1 (ko) 실리콘-및-질소-함유 필름들에 대한 건식-식각
KR102159750B1 (ko) 실리콘-탄소-질화물 선택적 에칭
US9287134B2 (en) Titanium oxide etch
US8951429B1 (en) Tungsten oxide processing
US8771536B2 (en) Dry-etch for silicon-and-carbon-containing films
US9779961B2 (en) Etching method
KR20130141639A (ko) 2개의 스테이지들에서의 균일한 건식 에칭
KR20170000340A (ko) 에칭 방법
US20230010867A1 (en) Substrate processing method and substrate processing apparatus

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant