KR102550244B1 - 유전체 재료의 식각을 위한 사전 세척 - Google Patents

유전체 재료의 식각을 위한 사전 세척 Download PDF

Info

Publication number
KR102550244B1
KR102550244B1 KR1020190086736A KR20190086736A KR102550244B1 KR 102550244 B1 KR102550244 B1 KR 102550244B1 KR 1020190086736 A KR1020190086736 A KR 1020190086736A KR 20190086736 A KR20190086736 A KR 20190086736A KR 102550244 B1 KR102550244 B1 KR 102550244B1
Authority
KR
South Korea
Prior art keywords
cyclic
plasma
cleaning
etching
etching method
Prior art date
Application number
KR1020190086736A
Other languages
English (en)
Other versions
KR20200010099A (ko
Inventor
르네 헨리퀴스 요제프 페르뷔르트
노부요시 고바야시
타카요시 츠츠미
마사루 호리
Original Assignee
에이에스엠 아이피 홀딩 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠 아이피 홀딩 비.브이. filed Critical 에이에스엠 아이피 홀딩 비.브이.
Publication of KR20200010099A publication Critical patent/KR20200010099A/ko
Application granted granted Critical
Publication of KR102550244B1 publication Critical patent/KR102550244B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02052Wet cleaning only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02266Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by physical ablation of a target, e.g. sputtering, reactive sputtering, physical vapour deposition or pulsed laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching

Abstract

유전체 재료의 표면 산화물을 제거하기 위한 사전 세척 공정을 포함하는 식각 방법을 제공한다. 산화물의 제거는 식각 방법 전에 열 반응 및/또는 플라즈마 공정을 통해 수행될 수 있다. 일부 실시예에서, 산화물의 제거는 식각 방법 제어와 재현성을 증가시키며, 선택비에 상대적인 산화물을 개선할 수 있다.

Description

유전체 재료의 식각을 위한 사전 세척{PRE-CLEANING FOR ETCHING OF DIELECTRIC MATERIALS}
본 발명은 유전체 재료의 건식 식각 방법에 관한 것이다.
유전체층과 같은 재료의 최상부로부터 재료를 식각하거나 제거하는 다양한 방법이 존재한다. 하나의 이러한 방법은 건식 및 습식 층 식각 모두를 포함할 수 있는 원자층 식각 방법이다.
일부 구현예에서, 식각 방법이 제공되며, 상기 방법은 유전체 재료를 사전 세척하여 표면 산화물을 제거함으로써 사전 세척된 유전체 표면을 제공하는 단계, 및 그런 다음, 사전 세척된 표면을 1회 이상 식각하는 단계를 포함한다.
일부 구현예에서, 균일성이 높고 선택적인 순환 건식 식각 방법은 유전체 재료의 표면 산화물을 제거하기 위한 사전 세척 공정을 포함함으로써 순환 식각에 의해 수행될 수 있다. 산화물의 제거는 건식 식각 방법 전에 열 반응 및/또는 플라즈마 공정을 통해 수행될 수 있다. 산화물의 제거는 순환 식각 공정 제어와 재현성을 증가시키며, 산화물이 없는 표면에 대한 산화물이 포함된 표면의 식각 선택비를 향상시킬 수 있다. 사전 세척 공정 후, 사전 세척된 유전체 표면 상에서 건식 식각 공정이 수행될 수 있다. 상기 공정은 임의의 횟수만큼 반복될 수 있다.
일부 구현예에서, 사전 세척 공정과 건식 식각 공정 사이에는 진공이 파괴되지 않는다. 일부 구현예에서, 건식 식각 공정은 순환 건식 식각 단계를 포함한다. 일부 구현예에서, 사전 세척 단계는 표면 산화물을 제거하기 위한 불소 또는 염소 함유 플라즈마 처리를 포함하고, 건식 식각 방법은 H2 플라즈마 처리 및 불소 또는 염소 함유 라디칼 처리를 적어도 1회 수행하는 단계를 포함한다.
일부 구현예에서, 상기 사전 세척 공정은 a) 우수한 식각 프로파일 제어, b) 상이한 유전체 재료와 산화물간의 식각 선택비 향상, c) 순환 건식 식각의 사이클 당 식각 두께의 일관성, d) 각각의 식각 선택비로 SiN, SiC, 및 SiCOH의 순환 식각으로서, 각각의 사이에 식각 선택비를 갖는 순환 식각, 또는 e) 표면 및 측벽 거칠기의 감소 중 적어도 하나를 달성하기에 충분하다(예를 들어, 충분히 긴 시간 동안 수행되거나 충분한 시간만큼 반복됨).
일부 구현예에서, 기판 상의 막은 1회 이상의 사이클을 포함하는 순환 식각에 의해 반응 챔버 내에서 식각된다. 일부 구현예에서, 식각은 적어도 1회의 건식 식각을 포함하는 건식 식각이다. 일부 구현예에서, 건식 식각은 H2 플라즈마를 제공하는 단계 및 불소 또는 염소 함유 플라즈마를 제공하는 단계를 1회 이상 수행하는 것을 포함한다. 일부 구현예에서, 순환 건식 식각 공정은, 불소화 또는 염소화 및 제1 반응 가스와의 리간드 교환을 반복 사이클로 수행하는 단계; 및 제2 반응 가스와의 열 반응에 의한 개질 및 불소 또는 염소 함유 가스와의 열 반응에 의한 식각을 반복 사이클로 수행하는 단계를 포함한다. 일부 구현예에서, 제1 반응 가스는 불소 함유 가스일 수 있다. 예를 들어, 불소 함유 가스는 HF 또는 XeF2를 포함할 수 있다. 일부 구현예에서, 제2 반응 가스 또한 불소 함유 가스일 수 있다. 예를 들어, 상기 불소 함유 가스는 HF 또는 XeF2를 포함할 수 있고, 염소 함유 가스는 BCl3, CCl4 또는 SOCl2를 포함할 수 있다. 일부 구현예에서, 제1 반응 가스는 제2 반응 가스와 동일할 수 있다. 일부 구현예에서, 제1 반응 가스는 제2 반응 가스와 상이할 수 있다. 반응물은, 불활성 가스로 퍼징하는 것 등에 의해 반응 챔버로부터 제거될 수 있다. 순환 건식 식각은 원하는 수준의 식각이 얻어질 때까지 반복될 수 있다. 일부 구현예에서, HF 표면 산화물을 제거하는 단계는 화학적으로 시스템 외부에서 젖은 상태로 수행될 수도 있다.
일부 구현예에서, 사전 세척하는 동안 제거될 표면 산화물은 실리콘 산화물 또는 금속 산화물을 포함할 수 있다. 일부 구현예에서, 순환 건식 식각은 불소 함유막(CxFy) 증착과 Ar 이온 및/또는 He와 같은 다른 불활성 이온에 의한 조사를 반복 사이클로 수행하는 단계를 포함할 수 있다. 일부 구현예에서, 표면 산화물은 금속 산화물을 포함할 수 있다. 예를 들어, 금속 산화물은 산화티타늄, 산화하프늄, 산화텅스텐, 산화몰리브데넘, 산화알루미늄 및 산화지르코늄 중 적어도 하나로 이루어지는 군을 포함할 수 있다.
일부 구현예에서, 사전 세척은 반응 가스와의 열 반응 단계를 포함한다. 일부 구현예에서, 사전 세척은 불소 또는 염소 이온 또는 라디칼을 포함하는 플라즈마 보조 단계를 추가로 포함한다. 일부 구현예에서, 사전 세척은 표면 산화물을 스퍼터링 하기에 충분한 에너지를 갖는 이온을 포함하는 플라즈마 보조 공정을 추가로 포함한다.
일부 구현예에서, 유전체 재료의 온도는 유전체 재료의 증착 온도와 거의 동등하거나, 사전 세척이 유전체 재료 증착을 위해 사용된 동일한 반응기에서 수행되는 경우 더 낮다. 일부 구현예에서, 대기의 압력은 유전체 재료의 증착 압력와 거의 동등하거나, 사전 세척이 유전체 재료 증착을 위해 사용된 동일한 반응기에서 수행되는 경우 더 낮다. 일부 구현예에서, 순환 건식 식각 공정은 사전 세척 단계 전에 유전체막을 제공하는 단계를 추가로 포함한다. 일부 구현예에서, 유전체 재료는 실리콘을 포함한다. 예를 들어, 유전체 재료는 SiN, SiON, SiC, SiCN, SiCO, SiCOH, 또는 SiCON 중 적어도 하나를 포함할 수 있다. 일부 구현예에서, 유전체 재료는 금속을 포함한다. 예를 들어, 유전체 재료는 TiN, TiC, HfN, HfC, WC, WN, MoC, MoN, AlN, AlC, ZrN, 또는 ZiC 중 적어도 하나를 포함할 수 있다.
일부 구현예에서, 유전체 재료는 리세스 패턴 상에 존재할 수 있다. 리세스 패턴은 5 nm 내지 1000 nm의 폭과 1 내지 100의 종횡비를 갖는 트렌치를 포함할 수 있다. 일부 구현예에서, 유전체막은 100 nm 이하의 두께를 가진다.
도 1은 순환 건식 식각 공정 전의 사전 세척 공정을 도시한다.
도 2는 SiN에 대한 Si 결합 구성의 XPS 스펙트럼을 도시한다. 이는 표면 산화물이 존재함을 나타낸다.
도 3a는 H2 및 SF6 플라스마를 사용하는 SiN의 순환 건식 식각 공정 중 사전 세척 공정을 도시한다.
도 3b는 H2 및 SF6 라디칼(플라즈마)을 사용하는 SiN의 순환 건식 식각 공정 중 건식 식각 공정을 도시하며, 상기 공정은 임의 횟수("n")를 반복할 수 있다.
도 4는 사전 세척 공정을 거친 SiN의 식각된 두께와 사전 세척 공정을 거치지 않은 SiN의 식각된 두께의 비교를 도시하는 그래프이다.
도 5는 상이한 유전체 재료의 식각된 두께의 차이를 도시하는 그래프이다.
도 6a는 사전 세척을 거치지 않은 Si3N4 기판의 균일성 및 표면 거칠기를 도시하는 이미지이다.
도 6b는 사전 세척을 거친 Si3N4 기판의 균일성 및 표면 거칠기를 도시하는 이미지이다.
도 7은 기판의 처리 방법에 대한 일부 구현예를 도시하는 흐름도이다.
도 8a 내지 도 8c는 본원에 제공된 일부 구현예에 따른 기판의 단면도를 도시한다.
도 9a 내지 도 9d는 개시된 기술의 일부 구현예에 따른 기판의 단면도를 도시한다.
도 10은 본원에서 제공되는 다양한 방법들을 위한 장치의 개략도이다.
유전체 재료의 순환 식각은 종종 이들 물질의 상부에 존재하는 표면 산화물에 의해 방해받는다. 표면 산화물층은 산소 함유 대기 유래의 공기에 노출되는 것뿐만 아니라 제어하기 어려운 다른 조건들로 인해 형성될 수 있다. 일부 구현예에서, 표면 산화물은 유전체 재료가 실리콘 및 금속의 질화물 또는 탄화물인 경우 질소 또는 탄소를 포함한다. 표면 산화물의 존재는 EPC(사이클당 식각된 두께)를 감소시킬 수 있으며, 특히 유전체 재료와 산화물간의 식각 선택비를 저하시킬 수 있다.
본 발명은, 사전 세척 공정 및 적어도 하나의 식각 공정을 포함할 수 있는 순환 건식 식각 방법을 제공한다. 사전 세척 공정은 유전체 재료로부터 표면 산화물을 제거함으로써 사전 세척된 유전체 재료를 제공하는 단계를 포함한다.
일부 구현예에서, 사전 세척 공정은 열 반응 또는 플라즈마 공정 또는 열 반응 및 플라즈마 공정의 조합에 의해 달성될 수 있다. 일부 구현예에서, 사전 세척 공정은 반응 가스와의 열 반응 단계를 포함한다. 예를 들어, 반응 가스는 불소 함유 가스 또는 염소 함유 가스일 수 있다. 예를 들어, 불소 함유 가스는 HF, XeF2, NbF5, 또는 HF, XeF2 및/또는 NbF5의 조합일 수 있고, 염소 함유 가스는 BCl3, CCl4 또는 SOCl2를 포함할 수 있다. 일부 구현예에서, HF 반응물은 웨이퍼를 반응기에 전달하기 전에 산화물의 습식 화학적 식각이 이루어졌음을 나타낸다. 일부 구현예에서, 열 반응 단계는 불소화 및 반응 가스와의 리간드 교환을 반복 사이클로 수행하는 단계를 포함한다. 일부 구현예에서, 사전 세척 공정은 플라즈마 공정을 포함하며, 상기 사전 세척 공정은 플라즈마 보조 공정일 수 있다. 예를 들어, 플라즈마 보조 공정은 SF6 플라즈마 처리 단계일 수 있다. 일부 구현예에서, 플라즈마 보조 공정은 불소 이온과 라디칼을 포함한다. 일부 구현예에서, 반응 가스는 할로겐 함유 가스일 수 있다.
일부 구현예에서, 사전 세척은 a) 우수한 식각 프로파일 제어, b) 상이한 유전체 재료와 산화물간의 식각 선택비 향상, c) 순환 건식 식각의 사이클 당 식각 두께의 일관성, d) SiN, SiC, 및 SiCOH의 순환 식각으로서, 각각의 사이에 식각 선택비를 갖는 순환 식각, 또는 e) 표면 및 측벽 거칠기의 감소 중 적어도 하나를 달성하기에 충분하다. 일부 구현예에서, 우수한 식각 프로파일은 도6a와 비교했을 때, 적어도 도 6b에 도시된 것만큼 매끄럽다. 표면 거칠기의 감소는 AFM(원자력 현미경)에 의해 양적으로 확인된다. 일부 구현예에서, 향상된 식각 선택비는 적어도 도 5에 도시된 것만큼 양호하다(예를 들어 a) SiO 대 SiCOH, SiC, 및/또는 SiN; 또는 b) SiCOH 대 SiC, 대 SiN)
ALE 기상 반응물의 순차적 펄스를 이용하여 각각의 반응 사이클에서 재료를 기판으로부터 제거한다. 일부 구현예에서, 각각의 식각 사이클에서 재료의 단일층까지 제거된다. 반응 공간에서 기판 표면을 적어도 하나의 기상 반응물과 접촉시키는 단계를 포함하는 ALE 공정에 의해 재료의 일 하부 단일층 이상이 기판으로부터 제거될 수 있다. ALE는 우수한 제품을 만들기 위해 본원에 기재된 사전 세척 공정을 따를 수 있다. 일부 구현예에서, ALE는 건식 식각 공정이다. 일부 구현예에서, ALE는 습식 식각 공정이다.
일부 구현예에서, 기판은 유전체층을 포함하고, 사전 세척 공정은 유전체층의 표면으로부터 표면 산화물을 제거하기 위해 유전체층 상에서 수행된다. 일부 구현예에서, 상기 방법은 유전체 재료를 기판에 도포하여 기판 상에 유전체 재료를 제공하는 단계를 포함할 수 있다.
일부 구현예에서, 유전체층은 실리콘 또는 금속을 포함할 수 있다. 예를 들어, 유전체층은 SiN, SiON, SiC, SiCN, SiCO, SiCOH 또는 SiCON 중 적어도 하나를 포함할 수 있다. 예를 들어, 유전체층은 TiN, TiC, HfN, HfC, WC, WN, MoC, MoN, AlN, AlC, ZrN 또는 ZiC 중 적어도 하나를 포함할 수 있다. 일부 구현예에서, 제거될 표면 산화물은 실리콘 산화물 또는 금속 산화물을 포함할 수 있다. 일부 구현예에서, 제거될 금속 산화물은 산화티타늄, 산화하프늄, 산화텅스텐, 또는 산화몰리브데넘 중 적어도 하나로부터 선택될 수 있다. 일부 구현예에서, 임의의 산화물이 사전 세척 공정을 통해 유전체층의 표면으로부터 제거될 수 있다.
일부 구현예에서, 유전체층은 리세스 패턴 상에 존재할 수 있다. 일부 구현예에서, 리세스 패턴은 10 nm 내지 100 nm의 폭을 갖는 트렌치를 포함할 수 있다. 예를 들어, 리세스 패턴은 10 nm, 20 nm, 30 nm, 40 nm, 50 nm, 60 nm, 70 nm, 80 nm, 90 nm, 100 nm, 200 nm, 300 nm, 400 nm, 500 nm, 600 nm, 700 nm, 800 nm, 900 nm, 1000 nm 이상의 폭을 갖는 트렌치를 포함할 수 있다. 리세스 패턴은 10 nm 미만의 폭을 갖는 트렌치를 포함할 수 있다. 리세스 패턴은 100 nm 이상의 폭을 갖는 트렌치를 포함할 수도 있다. 일부 구현예에서, 리세스 패턴은 1 내지 10 사이의 종횡비를 가질 수 있다. 예를 들어, 리세스 패턴은 1, 2, 3, 4, 5, 6, 7, 8, 9, 또는 10의 종횡비를 가질 수 있다. 일부 구현예에서, 폭과 종횡비는 응용 분야에 따라 달라진다. 예를 들어, 5nm 내지 100nm 범위의 폭 및 2 내지 100 범위의 종횡비는 나노미터 수준의 로직 및 메모리 소자에 상응하는 것이고, 100 내지 1000nm 범위의 폭 및 1 내지 100 범위의 종횡비는 나노미터 수준의 소자들을 3차원으로 연결하기 위한 TSV(Through Silicon Via)에 상응하는 것이다.
일부 구현예에서, 사전 세척 및/또는 식각 동안, 유전체 재료는 100℃ 이하의 온도를 가질 수 있다. 예를 들어, 상기 유전체 재료는 100℃, 90℃, 80℃, 70℃, 60℃, 50℃, 40℃, 30℃, 20℃ 또는 10℃의 온도를 가질 수 있다. 일부 구현예에서, 대기 압은 10 Pa 이하일 수 있다. 예를 들어, 압력은 10 Pa, 9 Pa, 8 Pa, 7 Pa, 6 Pa, 5 Pa, 4 Pa, 3 Pa, 2 Pa, 1 Pa, 0.5 또는 0.1 Pa일 수 있다. 일부 구현예에서, 초기 유전체 재료는 20 nm 이하의 두께를 가질 수 있다. 예를 들어, 유전체 재료는 20 nm, 15 nm, 10 nm, 5 nm, 1 nm 또는 1 nm 미만의 두께를 가질 수 있다. 일부 구현예에서, 사전 세척 동안, CCP(커패시터 결합 플라즈마)형 반응기와 함께 100 MHz(예를 들어 200, 190, 180, 170, 160, 150, 140, 130, 120, 110, 100, 90, 80, 70, 60, 50, 40, 30, 20, 15, 10 또는 5 MHz)가 사용된다. 일부 실시예에서, 사전 세척 동안, 100 W(예를 들어, 200, 190, 180, 170, 160, 150, 140, 130, 120, 110, 100, 90, 80, 70, 60 또는 50 W)가 사용된다. 일부 구현예에서, 사전 세척 동안 0W의 바이어스가 존재한다. 일부 구현예에서, 사전 세척 동안 약간의 바이어스가 존재한다. 일부 구현예에서, 유전체 재료의 온도는 유전체 재료의 증착 온도와 거의 동등하거나, 사전 세척이 유전체 재료 증착을 위해 사용된 동일한 반응기에서 수행되는 경우 더 낮다. 일부 구현예에서, 대기 압은 유전체 재료의 증착 압력과 거의 동등하거나, 사전 세척이 유전체 재료 증착을 위해 사용된 동일한 반응기에서 수행되는 경우 더 낮다.
일부 구현예에서, 사전 세척 및 식각 모두에 대해 유사한 조건이 사용될 선택할 수 있다. 이러한 구현예에서, 플라즈마 유형(CCP 플라즈마 대 마이크로파 플라즈마)은 이온 에너지를 감소시키도록 스위칭될 수 있다. 일반적으로, 사전 세척(플라즈마가 사용되는 경우)은 식각과 비교해 더 낮은 압력이나, 더 높은 플라즈마에서 수행되거나 심지어 바이어싱을 통해 수행될 것이다. 이는 일반적으로 더 높은 이온 에너지가 표면 산화물을 제거하는 데 유용하기 때문이다(화학적으로 활성화되지 않을 때). 순환 식각 공정은 더 낮은 이온 에너지와 함께 사용되어 산화물 및 유전체 사이의 선택비를 개선할 수 있다.
일부 구현예에서, 사전 세척을 위해 CCP 툴을 사용할 수 있다. 도 10은 사전 세척에 사용될 수 있는 CCP형 반응기를 도시한다. 도 10에서, 서로 마주하며 평행한 한 쌍의 전기 전도성 평판 전극(4, 2)을 반응 챔버(3)의 내부(반응 구역)(11)에 공급하고, HRF 전력(13.56 MHz 또는 27 MHz)(25)을 일측에 인가하고 타측(12)을 전기적으로 접지시킴으로써, 플라즈마가 전극들 사이에서 여기된다. 온도 조절기가 하부 스테이지(하부 전극)(2)에 제공되고, 그 위에 놓인 기판(1)의 온도는 주어진 온도로 일정하게 유지된다. 상부 전극(4)은 샤워 플레이트(shower plate)로서의 역할도 수행하며, 반응물 가스 및/또는 희석 가스, 그리고, 만약에 존재한다면, 전구체 가스가 각각의 가스 라인(21) 및 가스 라인(22)을 통해서 그리고 샤워 플레이트(4)를 통해서 반응 챔버(3)로 유입된다. 추가적으로, 반응 챔버(3)에는 배기 라인(7)을 갖는 원형 덕트(13)가 제공되고, 이를 통해 반응 챔버(3)의 내부(11)에 있는 가스가 배기된다. 추가적으로, 반응 챔버(3) 아래에 배치된 이송 챔버(5)는, 이송 챔버(5)의 내부(이송 구역)(16)를 통해 반응 챔버(3)의 내부(11)로 씰 가스를 유입하기 위한 씰 가스 라인(24)을 구비하며, 반응 구역과 이송 구역을 분리하기 위한 분리 판(14)이 제공된다(웨이퍼가 이송 챔버(5)로 또는 이송 챔버로부터 이송되는 게이트 밸브는 본 도면에서 생략됨). 이송 챔버에는 배기 라인(6)이 또한 구비된다. 또한, 기판 바이어스(25)가 제공된다.
일부 구현예에서, 플라즈마 보조 공정을 사용하는 사전 세척 단계는 유도 결합 플라즈마(ICP), 전자 사이클로트론 공명(ECR) 또는 표면파 지속형(SWP-형) 반응기를 사용하여 수행될 수 있다.
일부 구현예에서, 플라즈마 유형(CCP 플라즈마 대 마이크로파 플라즈마)은 이온 에너지를 감소시키기 위해 사전 세척과 식각 사이에서 전환된다. 일반적으로, 사전 세척(플라즈마가 사용되는 경우)은 더 낮은 압력이나, 더 높은 플라즈마 출력에서 수행되고/되거나 바이어싱을 통해서도 수행될 것이다. 이는 표면 산화물을 제거하는 데 유용한 더 높은 이온 에너지를 허용할 수 있다(화학적으로 활성화되지 않을 때). 순환 식각 공정은 더 낮은 이온 에너지와 함께 사용되어 산화물 및 유전체 사이의 선택비를 개선할 수 있다.
일부 구현예에서, 사전 세척 공정은 표면 산화물 중 적어도 일부를 제거시킨다. 일부 구현예에서, 표면 산화물층의 적어도 1, 5, 10, 15, 20, 25, 30, 35, 40, 45, 50, 55, 60, 65, 70, 75, 80, 85, 90, 95, 96, 97, 98, 99, 99.9, 99.99% 이상이 사전 세척에 의해 제거된다. 일부 구현예에서, 효과적으로 모든 표면 산화물이 제거된다. 일부 구현예에서, 재료의 약 100%가 식각된다. 일부 구현예에서, 추가의 사전 세척이 후속 식각 공정에 임의의 어떠한 증가된 효과를 제공하지 않도록 충분한 양의 표면 산화물이 제거된다.
일부 구현예에서, 사전 세척 공정은 표면 산화물을 스퍼터링 하기에 충분한 에너지를 갖는 이온을 포함하는 플라즈마 보조 공정을 포함한다.
일부 구현예에서, 사전 세척 후에, 반응 챔버로부터 과량의 반응물이 건식 식각 공정 이전에 제거된다. 일부 구현예에서, 반응 챔버는 사전 세척 공정 및 식각 공정 사이에서 퍼징된다.
사전 세척 후, 식각 공정(예를 들어, 순환 식각, 건식 식각, 습식 식각, ALE 등)이 수행될 수 있다. 일부 구현예에서, 사전 세척 공정과 건식 식각 공정 사이에서 진공이 파괴되지 않는다. 상기 공정은 사전 세척 및 식각 반응기 사이에서 클러스터링 반응 시스템을 사용하여 수행될 수 있다. 일부 구현예에서, 사전 세척 공정은 식각 공정이 수행되는 동일한 반응기에서 수행될 수 있다. 일부 구현예에서, 사전 세척 공정과 건식 식각 공정 사이에 진공이 파괴된다. 일부 구현예에서, 식각 공정은 순환 건식 식각 공정을 포함한다. 예를 들어, 모든 건식 식각 공정은 임의의 횟수로 반복된다. 예를 들어, 모든 건식 식각 공정은 2, 3, 4, 5, 6, 7, 8, 9, 10회 또는 그 이상 반복된다. 일부 구현예에서, 건식 식각 공정은 1 사이클의 건식 식각을 포함한다.
일부 구현예에서, 건식 식각 공정은 플라즈마 공정을 포함한다. 예를 들어, 플라즈마 공정은 H2 플라즈마 처리 및 불소 함유 플라즈마 처리를 포함할 수 있다. 예를 들어, 플라즈마 공정은 H2 플라즈마 처리 및 불소 이온 또는 라디칼 처리를 포함할 수 있다. 예를 들어, 불소 이온 또는 라디칼 처리는 SF6 이온 또는 라디칼 처리를 포함할 수 있다.
일부 구현예에서, 상기 건식 식각 공정은 반응 가스와의 열적 반응에 의한 개질과 불소 함유 가스와 열적 반응에 의한 식각을 반복된 사이클로 수행하는 단계를 포함한다. 일부 구현예에서, 건식 식각 공정은 반복 사이클로 개질을 수행하는 단계를 포함하되, 상기 개질을 수행하는 단계는 플라즈마 공정에 의한 불소 함유막(CxFy) 증착과 Ar, He 또는 다른 불활성 이온 및 라디칼을 사용하는 플라즈마 조사를 반복 사이클로 수행하는 단계일 수 있다.
본원에 제공된 사전 세척이 포함된 임의의 공정에 결합될 수 있는 사전 세척 공정 이후의 순환 식각 공정과 관련된 추가 구현예 또는 변형예는, 다음에 이하의 내용에서 추가로 설명된다.
도 1은 순환 건식 식각 공정 전에 표면 산화물을 제거하는 사전 세척 공정을 도시한다. 상기 순환 건식 식각 공정은 임의의 수의 단계(예를 들어, 단계 A, 단계 B, 단계 C 및 다른 단계)를 포함할 수 있으며, 상기 임의의 수의 단계는 임의의 횟수(예를 들어, 1, 10, 20, 30, 40, 50회 또는 그 이상)로 반복될 수 있다. 일부 구현예에서, 상기 공정은 원하는 층의 두께 감소(식각)가 달성될 때까지 반복된다. 사전 세척 공정 및 순환 건식 식각 공정은 식각 프로파일 제어를 개선할 수 있고, 다양한 유전체 재료와 산화물 사이의 식각 선택비를 향상시킬 수 있다.
도 2는 SiN에 대한 Si 결합 구성의 XPS 스펙트럼을 도시한다. 이는 개시된 기술의 구현예에 따른 공정에 의해 제거될 수 있는 표면 산화물이 존재함을 나타낸다.
도 3a는 H2 및 SF6 플라스마를 사용하는 SiN의 순환 건식 식각 공정 중 제1 사전 세척 공정을 포함하는 일부 구현예를 도시한다. 제1 사전 세척 공정은 SF6 플라즈마로 Si3N4 기판을 처리함으로써 Si3N4 기판으로부터 표면 산화물을 제거하는 단계를 포함할 수 있다. SF6 플라즈마는 커패시터 결합 플라즈마(CCP) 공급원으로부터 생성될 수 있으며, 100 MHz, 100 W, 2Pa로, 및 0W 바이어스로 도포될 수 있다. 이는 사전 세척 공정의 일부 구현예를 나타낸다.
도 3b는 H2 및 SF6 라디칼(플라스마)을 사용하는 SiN의 순환 건식 식각 공정 중 건식 식각 공정을 도시한다. 건식 식각 공정은 제1 사전 세척 공정 후에, H2 플라즈마를 사용하여 Si3N4 기판을 처리하는 단계를 포함한다. H2 플라즈마는 CCP 공급원로부터 생성될 수 있으며, 예를 들어 100 MHz, 100 W, 2Pa로, 및 0W 바이어스로 도포될 수 있다. Si3N4 기판은 H2 플라즈마 처리 후 SF6 라디칼에 의해 순환식으로 처리될 수 있다. SF6 라디칼은 원격 플라즈마 공급원으로부터 생성될 수 있으며, 2.45 GHz, 50 W, 및 2 Pa로 적용될 수 있다.
도 7은 개시된 기술의 일부 구현예에 따른 기판의 처리 방법을 도시하는 공정 흐름도를 도시한다. 일부 구현예에서, 사전 세척 공정은 기판 상에 유전체를 제공하는 단계 및 기판으로부터 표면 산화물을 제거하는 단계를 포함할 수 있다. 이어서, 임의의 수의 단계(예를 들어, 단계 A, 단계 B 및 단계 C)를 포함할 수 있고, 선택적으로는 임의의 횟수(예를 들어, 1, 10, 100회 이상)로 반복될 수 있는 건식 식각 공정이 수행된다.
도 8a 내지 도 8c는 개시된 기술의 일부 구현예에 따른 Si 기판(830)의 단면도이다. 도 8a는 표면 산화물층(810), SiC 층(820) 및 Si 기판층(830)을 포함하는 재료를 도시한다. 50nm 두께의 SiC 층이 300℃에서 PECVD에 의해 Si 기판 상에 증착된다. 도 8b는 도 8a에 도시된 재료를 사전 세척하는 단계를 도시한다. NF3 플라즈마를 사용하는 사전 세척은 SiC 층이 증착되는 반응기와 동일한 반응기 내에서 300℃에서 수행된다. 도 8c는 표면 산화물층(810)이 제거되어 재료가 유전체(820) 및 기판(830)을 포함하게 되는 사전 세척 공정 후의 재료를 도시한다. 이어서, 유전체층(820)은, 예를 들어, 동일한 반응기에서 순환 식각에 의해 원하는 대로 식각될 수 있다.
도 9a 내지 도 9d는 Si3N4 스페이서 형성을 위한 개시된 기술의 일부 구현예를 살펴보기 위한 기판의 단면을 도시한다. 도 9a는 표면 산화물(910), Si3N4층(920), SiON의 식각 정지층(930) Si 기판(940) 및 탄소층으로 이루어진 하드 마스크를 포함하는 재료를 도시한다.
PECVD에 의해 5 nm 두께의 SiON층(930)을 Si 기판에 증착하고, 이어서 PECVD에 의해 50 nm 두께의 탄소층을 증착한다. 침지 ArF 리소그래피 및 건식 식각에 의해 탄소층을 패터닝한다. ALD에 의해 20 nm 두께의 Si3N4층(920)을 패터닝된 탄소층 상에 증착한다. 표면(910) 산화물은 식각 반응기로 기판이 이송되는 동안 공기 노출에 의해 형성된다.
도 9b는 SF6 플라즈마의 사전 세척 공정 후, 표면 산화물(910)이 제거된 재료를 도시한다. 도 9c는 SF6 라디칼에 의한 비등방성 순환 식각 공정 후의, Si3N4 층을 도시하며, S3N4 층(920)은 부분적으로 및 선택적으로 식각된 상태이다. 도 9d는 O2 플라즈마를 사용하는 또 다른 식각 공정 후의 Si3N3 스페이서를 도시하며, 탄소 층이 부분적으로 및 선택적으로 더 식각된 상태이다. 상이한 식각 선택비를 사용하여 순환 식각 및 식각 공정을 결합함으로써, 매끄러운 표면 및 잘 조절된 치수를 가진 Si3N4 스페이서가 형성된다.
순환 식각의 양태와 관련된 추가 구현예
일부 구현예에서, 본원에 개시된 순환 식각 방법은 플라즈마 식각 공정과 대비되는 열 식각 공정이다. 따라서, 플라즈마 반응물을 식각 사이클에 사용하지 않아도 된다. 일부 구현예에서, 순환 식각 방법은 플라즈마 공정이다. 열 순환 식각 방법은 하부 기판에 손상을 덜 줄 수 있기 때문에, 상황에 따라 열 식각 방법이 플라즈마 순환 식각 방법보다 더 바람직할 수 있다. 또한, 열 순환 식각 방법은 비가시선(NLOS)에 있는 형상의 등방성 식각을 가능하게 한다. 예를 들어, HF 증기는 100℃ 미만의 저온에서 유전체 재료 상의 표면 산화물을 제거할 수 있다.
일부 구현예에서, 본원에 개시된 순환 식각 공정에는(사전 세척 공정 후에 수행됨), 플라즈마가 없을 때 식각을 조절할 수 있는 것으로 밝혀진 특정 반응물 또는 반응물의 조합이 사용된다. 순환 식각은 습식 순환 식각 또는 건식 순환 식각일 수 있다.
순환 식각에 대한 일부 구현예에서, 과량의 제1 기상 반응물 및 임의의 반응 부산물이 기판 표면의 근방으로부터 제거된다. 제1 기상 반응물 및 임의의 반응 부산물은 퍼지 가스 및/또는 진공에 의해 기판 표면의 근방으로부터 제거될 수 있다. 일부 구현예에서, 과량의 반응물 및/또는 반응 부산물은, 예를 들어 불활성 가스로 퍼징함으로써 반응 공간으로부터 제거된다. 일부 구현예에서, 기판의 근방 또는 기판 표면으로부터 반응물 및/또는 반응 부산물의 제거를 용이하게 하기 위해 기판은, 예를 들어, 다른 반응 챔버로 기판을 옮김으로써 이동될 수 있다.
기상 반응물을 사용함으로 인해, 식각 공정의 층 등각성은 매우 양호할 수 있으며, 재료는 삼차원 구조의 모든 표면으로부터 균일하게 제거될 수 있다. 일부 구현예에서, 수직 식각의 층 등각성은 약 90%보다 크고, 수평 식각의 층 등각성은 약 92%보다 크다. 일부 구현예에서, 수직 개구에서 식각의 층 등각성은 약 50% 이상, 약 75% 이상, 약 85% 이상, 약 90% 이상, 약 95% 이상, 약 98% 이상, 약 99% 이상, 및 약 100%까지이다. 일부 구현예에서, (예를 들어, 수직 개구로부터) 수평으로 연장된 개구에서 식각의 층 등각성은 약 50% 이상, 약 75% 이상, 약 85% 이상, 약 90% 이상, 약 95% 이상, 약 98% 이상, 약 99% 이상, 및 약 100%까지이다. 일부 구현예에서, 수평 또는 측면 캐비티와 같은 3D 구조에 대한 층 등각성은 50% 초과, 80% 초과, 또는 심지어 90% 초과, 또는 심지어 99% 초과 및 심지어 약 100%까지일 수 있다. 층 등각성은 경우에 따라 심지어 100% 초과일 수 있다.
일부 구현예에서, 식각될 재료를 포함하는 기판, 예컨대 반도체 작업 대상물 등은 반응 공간 또는 반응기 내에 로딩된다. 반응기는 집적 회로의 형성에 있어 다양하게 상이한 공정이 수행되는 클러스터 툴의 일부일 수 있다. 일부 구현예에서, 유동형 반응기가 사용된다. 일부 구현예에서, 교차-유동 반응기가 사용된다. 일부 구현예에서, 샤워헤드형 반응기가 사용된다. 일부 구현예에서, 공간 분할 반응기가 사용된다. 일부 구현예에서, 대량 생산이 가능한 단일 웨이퍼 원자층 증착 반응기가 사용된다. 다른 구현예에서, 다중 기판을 포함하는 회분식(batch) 반응기가 사용된다.
사용될 수 있는 적합한 반응기의 예는 상업적으로 이용 가능한 장비들, 예컨대 ASM America Inc.(피닉스, 애리조나) 및 ASM Europe B.V.(알메러, 네덜란드)의 F-120® 반응기, F-450® 반응기, Pulsar® 반응기(예컨대, Pulsar® 2000 및 Pulsar® 3000), EmerALD® 반응기 및 Advance® 400 시리즈를 포함한다. 다른 상업적으로 이용 가능한 반응기는 Eagle® XP 및 XP8의 상표명을 가진 ASM Japan K.K.(일본, 동경)사의 제품들을 포함한다. 일부 구현예에서, 반응기는 식각 반응기이다.
일부 구현예에서, 필요한 경우, 작업 대상물의 노출된 표면은 순환 식각 공정의 제1 단계와 반응하기 위한 반응성 부위를 제공하도록 전처리될 수 있다. 일부 구현예에서는 별도의 처리 공정이 요구되지 않는다. 일부 구현예에서, 기판은 원하는 표면 말단을 제공하기 위해 처리된다. 일부 구현예에서, 기판은 플라즈마로 처리된다.
일부 구현예에 따르면, 식각 사이클은 약 20 내지 약 1200℃, 약 50 내지 약 800℃, 약 75 내지 약 600℃, 약 300 내지 약 500℃, 또는 약 350 내지 약 450℃ 범위의 온도에서 수행될 수 있다. 일부 구현예에서, 온도는 약 20, 50 또는 100℃를 초과하되, 약 1000, 800, 600 또는 500℃ 미만이다. 일부 구현예에서, 사이클은 약 450℃의 온도에서 수행된다.
일부 구현예의 식각 사이클을 위한 반응 챔버 내 압력은, 일반적으로 약 10E-9 토르 내지 약 760 토르, 또는 약 0.001 토르 내지 약 100 토르일 수 있다. 그러나, 경우에 따라 압력은 주어진 특정 상황에서 당업자에 의해 결정될 수 있는 바와 같이, 이 범위보다 높거나 낮을 수 있다. 일부 구현예에서, 2 토르 미만의 압력이 사용된다. 경우에 따라 반응기는 (열벽과 같은) 등온 조건이나 (냉벽과 같은) 비등온 조건에서 작동될 수 있다. 일부 구현예에서, 반응기 자체는 식각용 화학약품들과 반응하지 않으며, 기판과도 반응하지 않을 수 있다. 경우에 따라 반응기는 열벽, 냉벽, 온벽 유형의 반응 챔버를 포함할 수 있다.
일부 구현예에서, 식각 사이클은 사이클당 약 0.01 Å 내지 약 5 Å의 평균 식각 속도를 갖는다. 식각 속도는 각각의 사이클 이후 제거되는 재료의 양 또는 막의 두께로서 정의된다. 일부 구현예에서, 평균 식각 속도는 사이클당 약 0.01 Å 내지 0.1 Å, 또는 사이클당 0.1 Å 내지 약 2 Å, 또는 경우에 따라 심지어 사이클당 2 Å보다 더 높다. 일부 구현예에서, 평균 식각 속도는 사이클당 약 0.1Å, 사이클당 약 0.5 Å 초과, 사이클당 약 1.0 Å 초과, 사이클당 약 2.0 Å 초과, 사이클당 약 3.0 Å 초과, 사이클당 약 5.0 Å 초과, 사이클당 약 10 Å 초과 또는 사이클당 약 20 Å 초과이고, 경우에 따라, 변경된 유속으로 연속 흐름이 적용되거나 노출 시간이 충분히 긴 경우, 식각률은 사이클당 약 30 Å 초과, 사이클당 약 50 Å 초과, 또는 사이클당 100 Å 초과일 수 있다. 본 사전 세척 공정은 임의의 특정 형태의 순환 식각에 의해 제한되지 않는다. 일부 구현예에서, 플라즈마 식각 사이클은 약 ~50 A/사이클의 식각 속도를 가질 수 있다. 일부 구현예에서, 개질은 공정을 위한 이온 주입 깊이에 의존한다. H2의 경우, 이는 수 nm일 수 있다. 일부 구현예에서, EPC는 기판 바이어스를 증가시킴으로써 증가될 수 있다. 일부 구현예에서, 100 A/사이클의 식각 속도를 얻을 수 있다. 열 식각 사이클(예를 들어, ALE)에서, 식각 속도는 0.1-3 A/사이클 범위에 포함될 수 있으며, 이는 표면 반응에 더욱 크게 의존한다.
일부 구현예에서, 식각 선택비, 원하지 않는 표면이나 재료(또는 표면들이나 재료들)로부터 제거된 재료에 대한 원하는 표면이나 재료로부터 제거된 재료(두께, 질량 또는 원자량이나 분자량)의 비율은 약 2:1 초과, 약 3:1 초과, 약 5:1 초과, 약 7:1 초과, 약 10:1 초과, 약 15:1 초과, 약 20:1 초과, 약 30:1 초과, 약 50:1 초과, 약 100:1 초과, 약 1000:1 초과, 약 10,000:1 또는 이상이다. 일부 구현예에서, 실질적인 양의 재료가 원하지 않는 표면이나 재료로부터 제거되지 않는다. 일부 구현예에서, 상기 비율은 순환 식각 공정에 의존적이다.
일부 구현예에서, 제1 또는 제2 반응물의 유량은 2 sccm 이상, 10 sccm 이상, 때로는 심지어 50 sccm 초과, 100 sccm 초과 또는 500 sccm 초과일 수 있다. 일부 구현예에서, 제2 반응물은 간헐적으로 흐른 반면, 제1 반응물은 반응 챔버 내로 연속적으로 흐를 수 있다.
상기 설명에서, 특정 구현예를 참조하여 본 발명을 설명하였지만, 본 발명은 상기 구현예들로 한정되지 않는다. 실제로, 본 발명에 도시되고 기재된 것 이외에, 본 발명의 다양한 변형은 상기 설명으로부터 당업자에게 명백해질 것이고, 첨부된 청구범위에 포함된다. 본원에 인용된 모든 간행물, 특허 및 특허 출원은 마치 각각의 개별 간행물, 특허 또는 특허 출원이 구체적 그리고 개별적으로 참조로서 표시된 것과 동일한 정도로 그 전체가 모든 목적을 위해 본원에 통합된다. 본 발명의 더 상세한 내용은 이하의 비제한적인 실시예들에 제공된다.
실시예 1
기판이 유전체 상부 층을 갖도록 기판에 SiC 유전체층을 추가한다. 표면 산화물은 제1 사전 세척 공정에서 열 반응에 의해 유전체층으로부터 제거한다. 이러한 열 반응은 5분 동안 HF 용액(100:1)에 웨이퍼를 침지하는 단계 및 건조하는 단계를 포함한다. 사전 세척 공정 후, 제2 건식 식각 공정이 수행되는 반응기 내에 기판을 로딩한다. 건식 식각 공정은 H2 플라즈마 처리 및 SF6 라디칼 처리를 여러차례 수행하는 것을 포함한다. 건식 식각 공정 후, 기판은 우수한 식각 프로파일 및 감소된 측벽 거칠기를 갖는다.
실시예 2
유전체 SiCN 기판은 표면 산화물층을 갖는다. 이러한 표면 산화물층은 플라즈마 보조 공정을 포함하는 사전 세척 공정에 의해 제거된다. 플라즈마 보조 공정은 SF6 라디칼 처리를 포함한다. 진공 파괴없이 사전 세척 공정을 마친 후, 플라즈마 공정에 의한 불소 함유막(CxFy) 증착과 불소 이온 및 라디칼을 이용한 플라즈마 조사를 반복 사이클로 수행하는 단계를 포함하는 건식 식각 공정을 20 회 동안 수행한다. 건식 식각 공정 후, SiCN 기판은 산화물에 대해 상대적으로 향상된 식각 선택비 및 순환 건식 식각의 사이클당 일관된 식각 두께를 갖는다.
실시예 3
유전체가 코팅된 기판을 도 3a에 도시된 바와 같이, H2 및 SF6 플라즈마를 사용하여 처리한다. 상기 제1 사전 세척 공정은 SF6 플라즈마를 사용하여 Si3N4 기판을 처리함으로써 Si3N4 기판으로부터 표면 산화물을 제거한다. SF6 플라즈마는 커패시터 결합 플라즈마(CCP) 공급원으로부터 생성되었고, 100 MHz, 100 W, 2Pa 및 0W 바이어스로 도포하였다.
사전 세척 후, 도 3b에 도시된 바와 같이(H2 및 SF6 라디칼(플라즈마)을 사용하는 SiN 순환 건식 식각 공정), 재료를 건식 식각하였다. 건식 식각 공정은 제1 사전 세척 공정 후에, H2 플라즈마를 사용하여 Si3N4 기판을 처리하는 단계를 포함하였다. H2 플라즈마는 CCP 공급원으로부터 생성되었고, 예를 들어 100 MHz, 100 W, 2Pa, 및 0W 바이어스로 도포하였다. H2 플라즈마 처리 후, Si3N4 기판을 SF6 라디칼에 의해 순환식으로 처리하였다. SF6 라디칼은 원격 플라즈마 공급원으로부터 생성되었고, 2.45 GHz, 50 W, 및 2 Pa로 도포하였다.
도 4는 사전 세척 공정을 거친 SiN의 식각된 두께와 사전 세척 공정을 거치지 않은 SiN의 식각된 두께를 비교하여 생성된 산물을 도시한다. Si3N4 기판 상에 천연 산화물이 존재하는 경우, H2 플라즈마로 기판을 처리한 후에 불소 라디칼로는 Si3N4 기판을 식각할 수 없었다. 그러나, Si3N4 기판이 표면 산화물을 제거하는 사전 세척 공정을 거쳤을 때, 불소 라디칼 노출 시간이 증가함에 따라 Si3N4 기판의 식각된 두께가 증가하였다. Si3N4 기판 상에 존재하는 표면 산화물은 개질층의 형성을 억제하였고 Si3N4 기판에 대한 식각을 방해하였다. 표면 산화물을 제거한 후, 불소 노출 사이클당 일정한 식각 두께를 획득하였다. 도 4에 도시된, 사전 세척을 거치지 않은 SiN에도 동일한 마이크로파 플라즈마를 사용하였으나, 식각이 이루어지지 않았다.
도 5는 다양한 유전체 재료의 식각된 두께를 도시한다. 전술한 도 4에 대해 기술한 것과 동일한 조건을, 사전 세척을 거친 SiC, SiN, SiCOH 및 SiO 원자층 식각에 사용하였고, 그 결과는도 5에 도시되어 있다. 유전체 재료는 SiO, SiN, SiC 및 SiCOH를 포함하였다. 이러한 유전체 재료 모두를 약 300℃에서 PECVD에 의해 Si 기판 상에 증착하였다. 제1 사전 세척 공정을 수행하여 표면 산화물을 제거하였다. 그 다음, H2 플라즈마 및 불소 라디칼을 사용하여 사전 세척된 유전체 표면 상에서 순환 건식 식각을 수행하였다. 표면 산화물 제거 후, SiN, SiC 및 SiCOH 기판을 식각하였다. 이들 기판의 선택비는 상이하였다. 선택비는 식각 공정 동안 바이어스, 압력 또는 다른 조건을 변경함으로써 향상될 수 있다. SiO 기판의 경우, 순환 건식 식각 공정은 가능하지 않았다. 이는 표면 산화물이 제거된 후의 산화물(SiO)에 대한 선택비를 예시하는 것이다.
도 6a 및 6b는 순환 식각 공정을 2회 반복한 후의 STEM 사진으로, 표면 산화물의 제거가 유전체 막의 균일성 및 거칠기에 미치는 효과를 보여준다. 도 6a는 사전 세척을 거치지 않은 Si3N4 기판의 균일성 및 표면 거칠기를 도시한다. 식각 공정 전에 표면 산화물을 제거하지 않았다. 도 6b는 사전 세척을 거친 Si3N4 기판의 균일성 및 표면 거칠기를 도시한다. 순환 식각 공정을 수행하기 전에, 표면 산화물을 불소 플라즈마 식각에 의해 제거하였다. 도 6b에 도시된 바와 같은, 사전 세척 공정을 거친 Si3N4 기판은 도 6a에 도시된 바와 같은, 사전 세척 공정을 거치지 않은 Si3N4 기판에 비해 매끄러운 표면을 나타냈고, 식각 균일성을 향상시켰으며 측벽 거칠기를 감소시켰다. 도 6a의 Si3N4 기판은 거친 표면을 나타낸다.
도 6a에 결과가 도시된, 사전 세척을 거치지 않은 SiN은 천연 산화물이 있음에도 불구하고 식각을 달성하기 위해 원격 플라즈마 대신에 CCP SF6(50 W, 40 Pa, 0 W 바이어스) 플라즈마를 사용했지만, 식각의 결과는 매우 불균일했다. 이는 40Pa 초과의 압력 및 50 W 미만의 SF6 CCP 플라즈마는 표면 산화물을 제거하는 데 효과적이지 않았기 때문이다.
본 발명 전체에 거쳐, 단수형을 사용하는 것은 달리 언급하지 않는 한 복수형을 포함한다. 본 발명에서, "또는"의 사용은 달리 명시하지 않는 한, "및/또는"을 포함한다. 또한, 용어 "포함하다", "포함하는" 및 "포함된"은 한정적인 것은 아니다.

Claims (30)

  1. 순환 식각 방법으로서, 상기 순환 식각 방법은
    유전체 재료를 사전 세척하여 표면 산화물을 제거함으로써 사전 세척된 유전체 표면을 제공하는 단계로서, 상기 사전 세척은 열 반응 및/또는 플라즈마 공정을 통해 수행되는 단계; 및
    상기 사전 세척된 유전체 표면을 순환 건식 식각하는 단계를 포함하며,
    상기 사전 세척과 상기 순환 건식 식각 사이에 진공이 파괴되지 않으며,
    상기 순환 건식 식각은
    H2 플라즈마를 제공하는 단계; 및
    불소 또는 염소 함유 플라즈마를 제공하는 단계를 포함하는 사이클을 1회 이상 포함하는, 순환 식각 방법.
  2. 삭제
  3. 순환 식각 방법으로서, 상기 순환 식각 방법은
    유전체 재료를 사전 세척하여 표면 산화물을 제거함으로써 사전 세척된 유전체 표면을 제공하는 단계로서, 상기 사전 세척은 열 반응 및/또는 플라즈마 공정을 통해 수행되는 단계; 및
    상기 사전 세척된 유전체 표면을 순환 건식 식각하는 단계를 포함하며,
    상기 사전 세척과 상기 순환 건식 식각 사이에 진공이 파괴되지 않으며,
    상기 순환 건식 식각은
    제1 반응 가스와의 불소화와 리간드 교환의 적어도 1회의 사이클; 및
    제2 반응 가스와의 열 반응에 의한 개질과 불소 또는 염소 함유 가스와의 열 반응에 의한 식각의 적어도 1회의 사이클을 포함하는 사이클을 1회 이상 포함하는순환 식각 방법.
  4. 제1항에 있어서, 상기 표면 산화물은 실리콘 산화물 또는 금속 산화물을 포함하는, 순환 식각 방법.
  5. 순환 식각 방법으로서, 상기 순환 식각 방법은
    유전체 재료를 사전 세척하여 표면 산화물을 제거함으로써 사전 세척된 유전체 표면을 제공하는 단계로서, 상기 사전 세척은 열 반응 및/또는 플라즈마 공정을 통해 수행되는 단계; 및
    상기 사전 세척된 유전체 표면을 순환 건식 식각하는 단계를 포함하며,
    상기 사전 세척과 상기 순환 건식 식각 사이에 진공이 파괴되지 않으며,
    상기 순환 건식 식각은
    플라즈마 공정에 의한 불소 함유막(CxFy) 증착의 적어도 1회의 사이클과
    불활성 이온 및 라디칼을 이용한 플라즈마 조사의 적어도 1회의 사이클을 포함하는 사이클을 1회 이상 포함하는, 순환 식각 방법.
  6. 제1항에 있어서, 상기 사전 세척은 반응 가스와의 열 반응을 포함하는, 순환 식각 방법.
  7. 제1항에 있어서, 상기 사전 세척은 불소 또는 염소 이온 또는 라디칼을 포함하는 플라즈마 보조 공정을 포함하는, 순환 식각 방법.
  8. 제7항에 있어서, 상기 사전 세척은 상기 표면 산화물을 스퍼터링 하기에 충분한 에너지를 갖는 이온을 포함하는 플라즈마 보조 공정을 포함하는, 순환 식각 방법.
  9. 제1항에 있어서, 상기 유전체 재료의 온도는 50 ℃ 이하인, 순환 식각 방법.
  10. 제1항에 있어서, 상기 순환 식각 방법은 10 Pa 이하의 압력에서 수행되는, 순환 식각 방법.
  11. 제1항에 있어서, 상기 유전체 재료를 제공하는 단계를 추가로 포함하는, 순환 식각 방법.
  12. 제11항에 있어서, 상기 유전체 재료는 실리콘을 포함하는, 순환 식각 방법.
  13. 제12항에 있어서, 상기 유전체 재료는 SiN, SiON, SiC, SiCN, SiCO, SiCNO 또는 SiCOH 중 적어도 하나를 더 포함하는, 순환 식각 방법.
  14. 제11항에 있어서, 상기 유전체 재료는 금속을 포함하는, 순환 식각 방법.
  15. 제14항에 있어서, 상기 유전체 재료는 TiN, TiC, HfN, HfC, WC, WN, MoC, MoN, AlN, AlC, ZrN 및 ZrC 중 적어도 하나를 포함하는, 순환 식각 방법.
  16. 제11항에 있어서, 상기 유전체 재료는 리세스 패턴 상에 존재하는, 순환 식각 방법.
  17. 제16항에 있어서, 상기 리세스 패턴은 5 nm 내지 100 nm의 폭과 2 내지 100의 종횡비를 갖는 트렌치를 포함하는, 순환 식각 방법.
  18. 제1항에 있어서, 상기 표면 산화물은 티타늄 산화물, 하프늄 산화물, 텅스텐 산화물, 및 몰리브덴 산화물 중 적어도 하나로 이루어지는 군으로부터 선택되는, 순환 식각 방법.
  19. 제6항에 있어서, 상기 반응 가스는 불소 가스 또는 염소 가스인, 순환 식각 방법.
  20. 제19항에 있어서, 상기 불소 가스는 HF 또는 XeF2, NbF5를 포함하며, 상기 염소 가스는 BCl3, CCl4 또는 SOCl2를 포함하는, 순환 식각 방법.
  21. 제16항에 있어서, 상기 유전체 재료의 두께는 20 nm 이하인, 순환 식각 방법.
  22. 제1항에 있어서, 상기 사전 세척은 표면 산화물을 제거하기 위한 SF6 플라즈마 처리를 포함하며, 상기 건식 식각은 적어도 1 회의 SF6 라디칼 처리를 더 포함하는, 순환 식각 방법.
  23. 제7항에 있어서, 상기 플라즈마 보조 공정은 유도 결합 플라즈마(ICP), 전자 사이클로트론 공명(ECR), 표면파 지속형(SWP-형) 반응기, 또는 커패시터 결합 플라즈마(CCP) 중 적어도 하나에 의해 플라즈마가 생성되는 반응기에서 수행되는, 순환 식각 방법.
  24. 제1항에 있어서, 상기 사전 세척은 상기 순환 건식 식각보다 더 낮은 압력 및/또는 더 높은 플라즈마 출력에서 수행되는, 순환 식각 방법.
  25. 제1항에 있어서, 상기 순환 건식 식각은 상기 사전 세척에 비해 더 낮은 이온 에너지에서 수행되는, 순환 식각 방법.
  26. 순환 식각 방법으로, 상기 순환 식각 방법은
    유전체 재료를 사전 세척하여 표면 산화물을 제거함으로써 사전 세척된 유전체 표면을 제공하는 단계로서, 상기 사전 세척은 열 반응 및/또는 플라즈마 공정을 통해 수행되는 단계; 및
    상기 사전 세척된 유전체 표면을 원자층 식각하는 단계를 포함하며,
    상기 사전 세척과 상기 원자층 식각 사이에 진공이 파괴되지 않으며,
    상기 원자층 식각은
    H2 플라즈마를 제공하는 단계; 및
    불소 또는 염소 함유 플라즈마를 제공하는 단계를 포함하는 사이클을 1회 이상 포함하는, 순환 식각 방법.
  27. 제26항에 있어서, 상기 원자층 식각은 20 내지 1200℃의 온도에서 수행되는, 순환 식각 방법.
  28. 삭제
  29. 삭제
  30. 삭제
KR1020190086736A 2018-07-20 2019-07-18 유전체 재료의 식각을 위한 사전 세척 KR102550244B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/040,859 US10720337B2 (en) 2018-07-20 2018-07-20 Pre-cleaning for etching of dielectric materials
US16/040,859 2018-07-20

Publications (2)

Publication Number Publication Date
KR20200010099A KR20200010099A (ko) 2020-01-30
KR102550244B1 true KR102550244B1 (ko) 2023-06-30

Family

ID=69161978

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020190086736A KR102550244B1 (ko) 2018-07-20 2019-07-18 유전체 재료의 식각을 위한 사전 세척

Country Status (4)

Country Link
US (1) US10720337B2 (ko)
KR (1) KR102550244B1 (ko)
CN (1) CN110739204B (ko)
TW (1) TWI788584B (ko)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10566212B2 (en) 2016-12-19 2020-02-18 Lam Research Corporation Designer atomic layer etching
US10720334B2 (en) 2018-07-20 2020-07-21 Asm Ip Holding B.V. Selective cyclic dry etching process of dielectric materials using plasma modification
JP2023517291A (ja) * 2020-03-06 2023-04-25 ラム リサーチ コーポレーション モリブデンの原子層エッチング
US20210313185A1 (en) * 2020-04-06 2021-10-07 California Institute Of Technology Atomic layer etching for smoothing of arbitrary surfaces
CN114127896A (zh) 2020-06-30 2022-03-01 株式会社日立高新技术 蚀刻处理方法以及蚀刻处理装置
US11864472B2 (en) 2020-07-10 2024-01-02 California Institute Of Technology Methods and systems for atomic layer etching and atomic layer deposition
KR102559095B1 (ko) * 2020-11-11 2023-07-25 주식회사 테스 기판 처리 방법
US20220267899A1 (en) * 2021-02-25 2022-08-25 Applied Materials, Inc. Microstructure control of conducting materials through surface coating of powders
US20230187204A1 (en) * 2021-12-10 2023-06-15 Applied Materials, Inc. Tungsten Fluoride Soak And Treatment For Tungsten Oxide Removal
US20230386830A1 (en) * 2022-05-27 2023-11-30 Applied Materials, Inc. Highly conformal metal etch in high aspect ratio semiconductor features

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002289596A (ja) 2001-01-08 2002-10-04 Apl Co Ltd プラズマを利用した表面処理装置及び方法
JP2018500767A (ja) * 2014-12-18 2018-01-11 ザ リージェンツ オブ ザ ユニバーシティ オブ コロラド,ア ボディー コーポレイトTHE REGENTS OF THE UNIVERSITY OF COLORADO,a body corporate 逐次的な自己制御熱反応を使用する原子層エッチング(ale)の新規の方法

Family Cites Families (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2597606B2 (ja) * 1987-10-27 1997-04-09 株式会社東芝 シリコン窒化膜のドライエッチング方法
US5567659A (en) * 1995-05-25 1996-10-22 Northern Telecom Limited Method of etching patterns in III-V material with accurate depth control
US7111629B2 (en) * 2001-01-08 2006-09-26 Apl Co., Ltd. Method for cleaning substrate surface
JP2003086569A (ja) * 2001-09-12 2003-03-20 Tokyo Electron Ltd プラズマ処理方法
US20050106888A1 (en) * 2003-11-14 2005-05-19 Taiwan Semiconductor Manufacturing Co. Method of in-situ damage removal - post O2 dry process
US20070080141A1 (en) * 2005-10-07 2007-04-12 Applied Materials, Inc. Low-voltage inductively coupled source for plasma processing
US7658802B2 (en) * 2005-11-22 2010-02-09 Applied Materials, Inc. Apparatus and a method for cleaning a dielectric film
US20070161214A1 (en) * 2006-01-06 2007-07-12 International Business Machines Corporation High k gate stack on III-V compound semiconductors
CN101484973A (zh) * 2006-07-03 2009-07-15 应用材料股份有限公司 用于先进前段工艺的群集设备
KR20100003483A (ko) * 2008-07-01 2010-01-11 주식회사 동부하이텍 반도체 소자의 실리사이드 형성 방법
FR3000600B1 (fr) 2012-12-28 2018-04-20 Commissariat Energie Atomique Procede microelectronique de gravure d'une couche
US9040422B2 (en) * 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
JP2014220387A (ja) * 2013-05-08 2014-11-20 東京エレクトロン株式会社 プラズマエッチング方法
US9455349B2 (en) 2013-10-22 2016-09-27 Semiconductor Energy Laboratory Co., Ltd. Oxide semiconductor thin film transistor with reduced impurity diffusion
FR3017241B1 (fr) * 2014-01-31 2017-08-25 Commissariat Energie Atomique Procede de gravure plasma
JP6230954B2 (ja) * 2014-05-09 2017-11-15 東京エレクトロン株式会社 エッチング方法
US9773683B2 (en) 2014-06-09 2017-09-26 American Air Liquide, Inc. Atomic layer or cyclic plasma etching chemistries and processes
KR102227128B1 (ko) 2014-09-03 2021-03-12 삼성전자주식회사 반도체 장치 및 이의 제조 방법
US9396961B2 (en) * 2014-12-22 2016-07-19 Lam Research Corporation Integrated etch/clean for dielectric etch applications
US9431268B2 (en) * 2015-01-05 2016-08-30 Lam Research Corporation Isotropic atomic layer etch for silicon and germanium oxides
US9425041B2 (en) * 2015-01-06 2016-08-23 Lam Research Corporation Isotropic atomic layer etch for silicon oxides using no activation
US9576811B2 (en) * 2015-01-12 2017-02-21 Lam Research Corporation Integrating atomic scale processes: ALD (atomic layer deposition) and ALE (atomic layer etch)
JP6568769B2 (ja) * 2015-02-16 2019-08-28 東京エレクトロン株式会社 基板処理方法及び基板処理装置
WO2016160778A1 (en) * 2015-03-30 2016-10-06 Tokyo Electron Limited Method for atomic layer etching
JP6498022B2 (ja) * 2015-04-22 2019-04-10 東京エレクトロン株式会社 エッチング処理方法
CN106356299B (zh) * 2015-07-13 2021-04-13 联华电子股份有限公司 具有自我对准间隙壁的半导体结构及其制作方法
TWI671787B (zh) * 2015-09-22 2019-09-11 美商應用材料股份有限公司 清洗方法
US9997374B2 (en) * 2015-12-18 2018-06-12 Tokyo Electron Limited Etching method
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9972575B2 (en) * 2016-03-03 2018-05-15 Applied Materials, Inc. Hybrid wafer dicing approach using a split beam laser scribing process and plasma etch process
US20170345673A1 (en) 2016-05-29 2017-11-30 Tokyo Electron Limited Method of selective silicon oxide etching
US10381235B2 (en) 2016-05-29 2019-08-13 Tokyo Electron Limited Method of selective silicon nitride etching
US10074543B2 (en) * 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
US10276504B2 (en) * 2017-05-17 2019-04-30 Northrop Grumman Systems Corporation Preclean and deposition methodology for superconductor interconnects
US10504742B2 (en) * 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
CN108198745B (zh) * 2017-12-28 2020-12-22 信利(惠州)智能显示有限公司 源漏极成膜前处理方法

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002289596A (ja) 2001-01-08 2002-10-04 Apl Co Ltd プラズマを利用した表面処理装置及び方法
JP2018500767A (ja) * 2014-12-18 2018-01-11 ザ リージェンツ オブ ザ ユニバーシティ オブ コロラド,ア ボディー コーポレイトTHE REGENTS OF THE UNIVERSITY OF COLORADO,a body corporate 逐次的な自己制御熱反応を使用する原子層エッチング(ale)の新規の方法

Also Published As

Publication number Publication date
US20200027746A1 (en) 2020-01-23
CN110739204B (zh) 2023-08-29
TW202008458A (zh) 2020-02-16
CN110739204A (zh) 2020-01-31
KR20200010099A (ko) 2020-01-30
TWI788584B (zh) 2023-01-01
US10720337B2 (en) 2020-07-21

Similar Documents

Publication Publication Date Title
KR102550244B1 (ko) 유전체 재료의 식각을 위한 사전 세척
US11610774B2 (en) Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
KR102503671B1 (ko) 플라즈마 개질을 이용한 유전체 재료의 선택적 순환 건식 식각 공정
US10720322B2 (en) Method for forming silicon nitride film selectively on top surface
TW202104647A (zh) 使用氟移除形成一結構之方法
JP6810059B2 (ja) 先進的なパターニングプロセスにおけるスペーサ堆積および選択的除去のための装置および方法
KR100519798B1 (ko) 향상된 생산성을 갖는 박막 형성 방법
US10199230B2 (en) Methods for selective deposition of metal silicides via atomic layer deposition cycles
JP7422557B2 (ja) 基板処理方法および基板処理装置
JP2024045236A (ja) プラズマ処理装置および基板処理装置
TW201611096A (zh) 利用共形碳薄膜減低臨界尺寸之方法
JP7401593B2 (ja) 空隙を形成するためのシステム及び方法
CN115485821A (zh) 用于移除含铝膜的系统和方法
TW202035775A (zh) 清潔處理腔室的方法
KR20230134554A (ko) 펄스형 hfrf(high-frequency radio-frequency) 플라즈마를사용한 갭 충전 프로세스
CN115485819A (zh) 用于选择性金属化合物移除的系统及方法
US10283370B1 (en) Silicon addition for silicon nitride etching selectivity
CN113454763A (zh) 使用牺牲性掩模的选择性蚀刻
US20240096640A1 (en) High Aspect Ratio Contact (HARC) Etch
CN116313777A (zh) 基板处理方法

Legal Events

Date Code Title Description
A201 Request for examination
A302 Request for accelerated examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant