TW202008458A - 循環蝕刻製程 - Google Patents

循環蝕刻製程 Download PDF

Info

Publication number
TW202008458A
TW202008458A TW108124035A TW108124035A TW202008458A TW 202008458 A TW202008458 A TW 202008458A TW 108124035 A TW108124035 A TW 108124035A TW 108124035 A TW108124035 A TW 108124035A TW 202008458 A TW202008458 A TW 202008458A
Authority
TW
Taiwan
Prior art keywords
cyclic
etching process
item
patent application
plasma
Prior art date
Application number
TW108124035A
Other languages
English (en)
Other versions
TWI788584B (zh
Inventor
雷奈 亨利克斯 約瑟夫 佛沃特
小林伸好
堤隆嘉
堀勝
Original Assignee
荷蘭商Asm Ip 控股公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 荷蘭商Asm Ip 控股公司 filed Critical 荷蘭商Asm Ip 控股公司
Publication of TW202008458A publication Critical patent/TW202008458A/zh
Application granted granted Critical
Publication of TWI788584B publication Critical patent/TWI788584B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02052Wet cleaning only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02266Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by physical ablation of a target, e.g. sputtering, reactive sputtering, physical vapour deposition or pulsed laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Optics & Photonics (AREA)
  • Health & Medical Sciences (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Drying Of Semiconductors (AREA)
  • Weting (AREA)

Abstract

一種蝕刻製程,其包括預清洗製程以去除介電質材料的表面氧化物。可在蝕刻製程之前藉由熱反應和/或電漿製程來執行氧化物的去除。在一些實施例中,氧化物的去除增加了蝕刻製程的控制和再現性,並可提高對於氧化物的選擇性。

Description

用於介電材料蝕刻之預先清潔
本發明涉及介電質材料的乾蝕刻製程。
有多種方法可從材料(例如介電質)的頂部蝕刻或去除材料。這種製程之一為原子層蝕刻(atomic layer etching,ALE),其可包括乾層蝕刻以及濕層蝕刻。
在一些實施例中,提供蝕刻製程,其包括預清洗介電質材料使表面氧化物去除以提供經預清洗的電介質表面,然後對經預清洗的表面執行一輪以上的蝕刻。
在一些實施例中,可以通過增加預清洗製程以去除介電質材料的表面氧化物,藉由循環蝕刻來執行高度均勻和選擇性的循環乾蝕刻製程。可以在乾蝕刻製程之前通過熱反應和/或電漿製程來執行氧化物的去除。去除氧化物增加了循環蝕刻製程的控制和再現性,並且可以提高蝕刻包含氧化物以及不包含氧化物之表面的選擇性。在預清洗製程之後,可在經預清洗的介電質表面上進行乾蝕刻製程。可以在任意輪次中重複所述製程。
在一些實施例中,在預清洗製程和乾蝕刻製程之間沒有真空破壞。在一些實施例中,乾蝕刻處理包括循環乾蝕刻。在一些實施例中,預清洗包括含氟或含氯的電漿處理以去除表面氧化物,並且乾蝕刻包括至少一輪H2 電漿體處理以及含氟或含氯的自由基處理。
在一些實施例中,預清洗製程是足夠的(例如,進行足夠長的時間或重複足夠的次數)以達成以下中的至少一個:(a)優異的蝕刻輪廓控制、(b)增強不同介電質材料和氧化物之間的蝕刻選擇性、(c)循環乾蝕刻中的每次循環的蝕刻厚度一致、(d)在SiN、SiC和SiCOH的循環蝕刻中的每一蝕刻之間具有選擇性和(e)減小的表面和側壁粗糙度。
在一些實施例中,在反應室中藉由包含一個以上循環的循環蝕刻來對基板上的膜進行蝕刻。在一些實施例中,蝕刻是涉及至少一輪乾蝕刻的乾蝕刻。在一些實施例中,乾蝕刻包括一輪以上提供H2 電漿並提供含氟或含氯的電漿。在一些實施例中,循環乾蝕刻製程包括重複循環的氟化或氯化和與第一反應物氣體的配位體交換;以及藉由含氟或含氯氣體的熱反應來蝕刻以及藉由與第二反應物氣體的熱反應來改性(modification)的重複循環。在一些實施例中,第一反應物氣體可以是含氟氣體。舉例來說,含氟氣體可包含HF或XeF2 。在一些實施例中,第二反應物氣體亦可以是含氟氣體。舉例來說,含氟氣體可以包含HF或XeF2 ,含氯氣體可以包含BCl3 、CCl4 或SOCl2 。在一些實施例中,第一反應物氣體可與第二反應物氣體相同。在一些實施例中,第一反應物氣體可與第二反應物氣體不同。可以從反應室中去除反應物,例如利用惰性氣體吹掃。可以重複循環乾蝕刻,直到獲得所需的蝕刻高度。在一些實施例中,表面氧化物的去除也可通過在系統外部進行化學地HF濕蝕刻來完成。
在一些實施例中,在預清洗期間要去除的表面氧化物可包括氧化矽或金屬氧化物。在一些實施例中,循環乾蝕刻可包括重複循環的含氟膜(CxFy)沉積和Ar離子和/或其他惰性離子(例如He)的照射。在一些實施例中,表面氧化物可包含金屬氧化物。例如,金屬氧化物可包括由以下組成的群組中的至少一種:氧化鈦、氧化鉿、氧化鎢、氧化鉬、氧化鋁和氧化鋯。
在一些實施例中,預清洗包括與反應物氣體的熱反應。在一些實施例中,預清洗還包括含有氟或氯離子或自由基的電漿輔助製程。在一些實施例中,預清洗還包括電漿輔助製程,其包含具有足夠能量的離子以濺射表面氧化物。
在一些實施例中,在用於介電材料沉積的相同反應器中進行預清洗的情況下,介電質材料的溫度幾乎等於介電質材料的沉積溫度或更低。在一些實施例中,在用於介電質材料沉積的相同反應器中進行預清洗的情況下,環境(atmosphere)壓力幾乎等於介電質材料的沉積壓力或更低。在一些實施例中,循環乾蝕刻製程還包括在預清洗製程之前提供介電質膜。在一些實施例中,介電質材料包括矽。例如,介電質材料可包括SiN、SiON、SiC、SiCN、SiCO、SiCOH和SiCON中的至少一種。在一些實施例中,介電質材料包含金屬。例如,介電質材料可包括TiN、TiC、HfN、HfC、WC、WN、MoC、MoN、AlN、AlC、ZrN和ZiC中的至少一種。
在一些實施例中,介電質材料可以存在於凹陷圖案上。凹陷圖案可包括溝槽,溝槽具有寬度為5奈米至1000奈米且長寬比為1至100。在一些實施例中,介電質膜的厚度為100奈米或更小。
在這些材料的頂部存在表面氧化物通常會妨礙介電質材料的循環蝕刻。表面氧化物層可因為來自含氧環境的空氣暴露以及難以控制的其他條件而被形成。在一些實施例中,當介電質材料是矽和金屬的碳化物或氮化物時,表面氧化物包含氮或碳。表面氧化物的存在可以降低每個循環的蝕刻厚度(etched thickness per cycle,EPC)並且降低蝕刻選擇性,尤其是介電質材料和氧化物之間的蝕刻選擇性。
本文提供了用於循環乾蝕刻製程的方法,其可包括預清洗製程和至少一個蝕刻製程。預清洗製程包括從介電質材料中去除表面氧化物以提供經預清洗的介電質材料。
在一些實施例中,預清洗製程可以藉由熱反應或電漿製程或熱反應和電漿製程的組合來達成。在一些實施例中,預清洗製程包括與反應物氣體的熱反應。舉例來說,反應物氣體可以是含氟氣體。舉例來說,含氟氣體可以是HF、XeF2 或HF與XeF2 的組合。在一些實施例中,HF反應物表示在將晶圓轉移到反應器之前對氧化物進行濕化學蝕刻。在一些實施例中,熱反應包括與反應物氣體交換的配位體和氟化的重複循環。在一些實施例中,預清洗製程包括電漿製程,並且可以是電漿輔助製程。例如,電漿輔助製程可以是SF6 電漿處理。在一些實施例中,電漿輔助製程包括氟離子和自由基。在一些實施例中,反應物氣體可以是含氯氣體。
在一些實施例中,預清洗製程足以實現以下中的至少一個:a)優異的蝕刻輪廓控制、b)不同介電質材料和氧化物之間的增強的蝕刻選擇性、c)循環乾蝕刻的每個循環中一致的蝕刻厚度、d)在每個SiN、SiC和SiCOH的循環蝕刻之間具有蝕刻選擇性或e)表面和側壁粗糙度減少。在一些實施例中,優異的蝕刻輪廓至少與圖6B中所示的一樣平滑(相較於圖6A)。通過原子力顯微鏡(Atomic Force Microscopy,AFM)定量地確認表面粗糙度的降低。在一些實施例中,增強的蝕刻選擇性至少與圖5中所示的一樣好,例如:a)SiO對(vs.)SiCOH、SiC和/或SiN;或b)SiCOH對SiC對SiN。
ALE利用氣相反應物之連續脈衝在各反應循環中自基板去除材料。在一些實施例中,在每個蝕刻循環中去除多達單層材料。可通過ALE製程從基材上除去子單層(sub-monolayer)或更多的材料,所述ALE製程包括使反應空間中的基材表面與至少一種氣相反應物接觸。ALE可以遵循此處提到的預清洗製程以獲得優質產品。在一些實施例中,ALE是乾蝕刻製程。在一些實施例中,ALE是濕蝕刻製程。
在一些實施例中,基板包括介電質層,在介電質層上執行預清洗製程以從介電質層的表面去除表面氧化物。在一些實施例中,該方法可以包括將介電質層塗佈至基板以在基板上提供介電質材料。
在一些實施例中,介電質層可包括矽或金屬。例如,介電質層可以包括SiN、SiON、SiC、SiCN、SiCO、SiCOH和SiCON中的一種以上。例如,介電質層可包括TiN、TiC、HfN、HfC、WC、WN、MoC、MoN、AlN、AlC、ZrO和ZrC中的一種以上。在一些實施例中,待去除的表面氧化物可包括氧化矽或金屬氧化物。在一些實施例中,待去除的金屬氧化物可選自以下中的至少一種:氧化鈦、氧化鉿、氧化鎢和氧化鉬。在一些實施例中,可以通過預清洗製程從介電質層的表面去除任何氧化物。
在一些實施例中,介電質層存在於凹陷圖案上。在一些實施例中,凹陷圖案可包括溝槽,溝槽寬度在10奈米和100奈米之間。例如,凹陷圖案可以包括溝槽,溝槽寬度為10奈米、20奈米、30奈米、40奈米、50奈米、60奈米、70奈米、80奈米,90奈米,100奈米,200奈米,300奈米,400奈米,500奈米,600奈米,700奈米,800奈米,900奈米,1000奈米或更大。凹陷圖案可包括具有寬度小於10奈米的溝槽。凹陷圖案還可包括具有寬度大於100奈米的溝槽。在一些實施例中,凹陷圖案的長寬比(aspect ratio)介於1和10之間。例如,凹陷圖案可具有1、2、3、4、5、6、7、8、9或10的長寬比。在一些實施例中,寬度和長寬比取決於應用區域。例如,對應於奈米級邏輯和儲存裝置,寬度範圍從5奈米到100奈米且長寬比從2到100,而對應於用於連接三維的奈米級裝置的矽穿孔(Through Silicon Via,TSV),寬度範圍從100到1000奈米且長寬比從1到100。
在一些實施例中,在預清洗和/或蝕刻期間,介電質材料的溫度可以是100 °C或更低。例如,介電質材料可具有100 °C、90 °C、80 °C、70 °C、60 °C、50 °C、40 °C、30 °C、20 °C或10 °C的溫度。在一些實施例中,環境壓力可以是10Pa或更低。例如,壓力可以是10 Pa、9 Pa、8 Pa、7 Pa、6 Pa、5 Pa、4 Pa、3 Pa、2 Pa、1 Pa、0.5 Pa或0.1 Pa。在一些實施例中,初始介電質材料可具有20奈米或更小的厚度。例如,介電質材料可具有是20奈米、15奈米、10奈米、5奈米、1奈米或小於1奈米的厚度。在一些實施例中,在預清洗期間,使用100 MHz,例如,200、190、180、170、160、150、140、130、120、110、100、90、80、70、60、50、40、30、20、15、10或5 MHz與CCP(電容器耦合電漿)型反應器一起使用。在一些實施例中,在預清洗期間,使用100W,例如使用200、190、180、170、160、150、140、130、120、110、100、90、80、70、60或50W。在一些實施例中,在預清洗期間存在0W偏壓(bias)。在一些實施例中,在預清洗期間存在一些偏壓。在一些實施例中,在其中用於介電質材料沉積的相同反應器中進行預清洗,介電質材料的溫度幾乎等於介電質材料的沉積溫度或更低。在一些實施例中,在用於介電質材料沉積的相同反應器中進行預清洗的情況下,環境壓力幾乎等於介電質材料的沉積壓力或更低。
在一些實施例中,採用的條件與用於預清洗和蝕刻的類似。在這樣的實施例中,可以切換電漿的類型(CCP電漿與微波電漿)以降低離子能量。通常,與蝕刻相比,預清洗(如果使用電漿)將在較低壓力、較高電漿功率下或甚至在偏壓下進行。這是因為通常較高的離子能量可用於去除表面氧化物(當未化學活化時)。然後可以使用較低離子能量的循環蝕刻製程來改善氧化物和介電質之間的選擇性。
在一些實施例中,CCP工具可用於預清洗。圖10示出了可用於預清洗的CCP型反應器。在圖10中,藉由在反應室3的內部11(反應區)中設置彼此平行且面對的一對導電平板電極4、2,施加HRF功率(13.56 MHz或27 MHz)25至一側及將另一側12電接地,在電極之間激發電漿。溫度調節器設置在下台2(下電極)中,並且放置在其上之基板1的溫度在一個給定溫度下保持恆定。上部電極4亦充作噴灑板(shower plate),且反應物氣體及/或稀釋氣體(如果有的話)及前驅體氣體分別經由氣體管線21及氣體管線22及通過噴灑板4引入至反應室3中。此外,在反應室3中,設置具有排氣管線7之圓管13,經由排氣管線將反應室3之內部11中之氣體排出。另外,配置在反應室3下方之傳送室5具有密封氣體管線24,以經由傳送室5的內部16(傳送區)將密封氣體引入反應室3的內部11,其中設置用於使反應區與傳送區隔開之分隔板14(此圖省略閘閥,經由此閘閥將晶圓傳送至傳送室5或從傳送室將晶圓傳送出來)。傳送室亦具有排氣管線6。另外,提供基板偏壓25。
在一些實施例中,可以用電感耦合電漿(ICP)、電子迴旋共振(ECR)或表面波維持型(SWP型)反應器進行利用電漿輔助製程的預清洗。
在一些實施例中,電漿的類型(CCP電漿與微波電漿)在預清洗和蝕刻之間切換以減少離子能量。在一些實施例中,預清洗(如果使用電漿)將在較低壓力、較高電漿功率下和/或甚至在偏壓下進行。這可以允許更高的離子能量,其可用於去除表面氧化物(當未化學活化時)。然後可以使用較低離子能量的循環蝕刻製程來改善氧化物和介電質之間的選擇性。
在一些實施例中,預清洗製程導致除去至少一些數量的表面氧化物。在一些實施例中,至少藉由預清洗去除至少百分之1、5、10、15、20、25、30、35、40、45、50、55、60、65、70、75、80、85、90、95、96、97、98、99、99.9、99.99或更多的表面氧化物層。在一些實施例中,有效地除去所有表面氧化物。在一些實施例中,蝕刻了約100%的材料。在一些實施例中,去除足夠量的表面氧化物,使得進一步的預清洗不會使後續蝕刻製程增加任何有效性。
在一些實施例中,預清洗製程包括電漿輔助製程,所述製程包括具有足夠能量的離子以濺射表面氧化物。
在一些實施例中,預清洗之後,在乾蝕刻製程之前從反應室中除去過量的反應物。在一些實施例中,在預清洗製程和蝕刻製程之間清洗反應室。
在預清洗之後,可以進行蝕刻製程(例如,循環蝕刻、乾蝕刻、濕蝕刻、ALE等)。在一些實施例中,在預清洗製程和蝕刻製程之間沒有真空破壞。所述製程可以藉由使用預清洗和蝕刻反應器之間的群集反應器系統來進行。在一些實施例中,預清洗製程可以在進行蝕刻製程的同一反應器中進行。在一些實施例中,在預清洗製程和蝕刻製程之間存在真空破壞。在一些實施例中,蝕刻製程包括循環乾蝕刻製程。例如,所有乾蝕刻製程重複任何次數。例如,所有乾蝕刻製程重複2次、3次、4次、5次、6次、7次、8次、9次、10次或更多次。在一些實施例中,乾蝕刻製程包括一個乾蝕刻循環。
在一些實施例中,乾蝕刻製程包括電漿製程。例如,電漿製程可包括H2 電漿處理和含氟電漿處理。例如,電漿製程可包括H2 電漿處理和氟離子或自由基處理。例如,氟離子或自由基處理可包括SF6 離子或自由基處理。
在一些實施例中,乾蝕刻製程包括藉由與反應物氣體的熱反應進行改性以及藉由與含氟或含氯氣體的熱反應進行蝕刻的重複循環。在一些實施例中,乾蝕刻製程包括重複的改性循環,可以是藉由電漿製程和使用Ar、He或其他惰性離子和自由基的電漿輻射的含氟膜(CxFy)沉積的重複循環。
與預清洗製程之後的循環蝕刻製程有關的另外的實施例或變化可以組合在本文提供的任何預清洗涉及的製程中,並且稍後在下文中進一步概述。
圖1示出了在循環乾蝕刻製程之前去除表面氧化物的預清洗製程。循環乾蝕刻製程可包括任何數量的步驟(例如,步驟A、步驟B、步驟C和其他步驟),其可重複任何次數(或輪次,例如,1、10、20、30、40、50輪或更多輪)。在一些實施例中,重複所述過程直至層厚度減少(蝕刻)到期望值。預清洗製程和循環乾蝕刻製程可以改善蝕刻輪廓控制並增強各種介電質材料和氧化物之間的蝕刻選擇性。
圖2是SiN的Si鍵結配置的XPS光譜圖像。其示出了可以藉由根據所揭示技術的實施例的處理去除的表面氧化物的存在。
圖3A示出了一些實施例,其涉及使用H2 和SF6 電漿的SiN的循環乾蝕刻製程的第一預清洗製程。第一預清洗製程包括藉由SF6 電漿來處理Si3 N4 基板,以由Si3 N4 基板上去除表面氧化物。SF6 電漿可以來自電容耦合電漿(CCP)源,可以在100 MHz,100W,2Pa,0W偏壓(bias)下施加。這代表預清洗製程的一些實施例。
圖3B示出使用H2 和SF6 自由基(電漿)的SiN循環乾蝕刻製程的乾蝕刻製程。乾蝕刻製程包括在第一預清洗製程後,利用H2 電漿來處理Si3 N4 基板。H2 電漿可以來自CCP源,並且可以在例如100 MHz,100W,2Pa,0W偏壓(bias)下施加。H2 電漿處理之後,可藉由SF6 自由基來循環處理Si3 N4 基板。SF6 自由基可以來自遠程電漿源,可以在2.45 GHz,50 W和2Pa下使用。
圖7繪示了示出根據所公開技術的一些實施例的基底的處理的處理流程圖。在一些實施例中,預清洗製程可包括將介電質提供到基板上並從基板移除表面氧化物。隨後,乾蝕刻製程,其可涉及任何數量的步驟(例如,步驟A、步驟B和步驟C)並且可執行任意重複任何次數(例如,1次、10次、100次或更多次)。
圖8A至圖8C示出了經過所揭示技術的一些實施例的Si基板830的剖面圖。圖8A示出了包括表面氧化物層810、SiC層820和Si基板層830的材料。通過PECVD在300℃下將50奈米厚的SiC層沉積在Si基板上。圖8B示出圖8A中經過預清洗的材料。在其中沉積SiC層的同一反應器中,在300℃下使用NF3 電漿進行預清洗。圖8C示出了預清洗製程之後的材料,其中去除了表面氧化物層810並且材料包括介電質820和基板830。然後可以根據需要藉由例如在同一反應器中的循環蝕刻來蝕刻介電質層820。
圖9A至圖9D示出通過用於Si3 N4 間隔物形成的所揭示技術的一些實施例的基板的剖面圖。圖9A示出包括以下的材料:表面氧化物910、Si3 N4 層920、Si基板940和SiON層930的蝕刻終止,以及碳層的硬遮罩。
通過PECVD在Si基板上沉積5奈米厚的SiON層930,然後藉由PECVD沉積50奈米厚的碳層。通過浸潤ArF光刻和乾蝕刻來圖案化碳層。厚度20奈米的Si3 N4 層920藉由ALD被沉積在圖案化的碳層。在經過空氣暴露後將基板轉移到蝕刻反應器期間以形成表面910氧化物。
圖9B示出在SF6 電漿的預清洗製程之後的材質,其中表面氧化物910已被除去。圖9C示出在SF6 自由基的非等向性循環蝕刻製程之後的Si3 N4 層,之後,部分地和選擇性地蝕刻掉S3 N4 層920。圖9D示出在使用O2 電漿的另一個蝕刻製程之後的Si3 N3 間隔物,之後進一步部分地和選擇性地蝕刻掉碳層。藉由使用不同的蝕刻選擇性來組合循環蝕刻和蝕刻製程,Si3 N4 形成具有平滑表面和良好控制尺寸的間隔物。與循環蝕刻態樣有關的其他實施例
在一些實施例中,與電漿蝕刻製程相反,本文揭示的循環蝕刻方法是熱蝕刻製程。因此,不需要在蝕刻循環中使用電漿反應物。在一些實施例中,循環蝕刻方法是電漿製程。熱蝕刻方法在一些情況中可能比電漿蝕刻方法更為理想,因為熱蝕刻方法可能比較不會損傷下方基板。此外,熱蝕刻方法容許各向同性蝕刻非直視性(non-line of sigh,NLOS)特徵。例如,HF蒸汽可以在低溫(小於100C)下去除介電質材料上的表面氧化物。
在一些實施例中,本文揭示的循環蝕刻製程(其遵循預清洗製程)利用特定反應物或反應物的組合,已發現這些反應物允許在不使用電漿的情況下進行受控蝕刻。循環蝕刻可以是濕循環蝕刻或乾循環蝕刻。
在一些用於循環蝕刻的實施例中,將過量的第一氣相反應物及任何反應副產物自基板表面附近移除。第一氣相反應物及任何反應副產物可藉助吹掃氣體及/或真空來自基板表面附近去除。在一些實施例中,過量的反應物及/或反應物副產物是藉由例如利用惰性氣體吹掃而自反應空間去除。在一些實施例中,可去除基板以利於,例如,藉由將基板移動至不同反應室來自基板附近移除反應物及/或反應物副產物。
歸因於使用氣相反應物,蝕刻製程的保形性相當良好,且材料可均勻地自三維結構的所有表面移除。在一些實施例中,垂直蝕刻的保形性(conformality)大於約90%及水平蝕刻的保形性大於約92%。在一些實施例中,於垂直開口中蝕刻的保形性為約50%或更大、約75%或更大、約85%或更大、約90%或更大、約95%或更大、約98%或更大、約99%或更大,且甚至高達約100%。在一些實施例中,於(例如自垂直開口)水平延伸之開口中蝕刻的保形性為約50%或更大、約75%或更大,約85%或更大、約90%或更大、約95%或更大、約98%或更大、約99%或更大、及甚至高達約100%。在一些實施例中,3D結構(例如水平或橫向腔)的保形性可以大於50%、或大於80%、或甚至大於90%、或甚至大於99%、甚至大約100%。保形性有時甚至可以超過100%。
在一些實施例中,將包含待蝕刻材料的基板(諸如半導體工件)載入至反應空間或反應器中。反應器可為於其中進行積體電路形成中之各種不同製程之群集工具的一部分。在一些實施例中,利用流動型反應器。在一些實施方案中,使用橫流反應器。在一些實施例中,利用噴淋頭型反應器。在一些實施例中,利用空間分割反應器。在一些實施例中,使用能夠進行大量製造的單晶圓原子層沉積反應器。在其他實施例中,使用包含多個基板的批式反應器。
可使用的合適反應器之實例包括市售設備,諸如可購自亞利桑那州鳳凰城(Phoenix, Arizona)的ASM America,Inc.及荷蘭阿爾梅勒(Almere, Netherlands)的ASM Europe B.V.之F-120® 反應器、F-450® 反應器、Pulsar® 反應器 - 諸如Pulsar® 2000及Pulsar® 3000 - EmerALD® 反應器及Advance® 400系列反應器。其他市售反應器包含來自ASM Japan K.K(日本東京)商品名為Eagle® XP及XP8之彼等反應器。在一些實施例中,反應器為蝕刻反應器。
在一些實施例中,若需要,可處理工件之經暴露表面以提供與循環蝕刻製程之第一階段反應的反應性部位。在一些實施例中,不需要單獨的處理製程。在一些實施例中,基板被處理以提供期望的表面終止。在一些實施例中,利用電漿處理基板。
根據一些實施例,蝕刻循環可在約20至約1200ºC、約50至約800ºC、約75至約600ºC、約300ºC至約500ºC或約350ºC至約450ºC範圍內之溫度下進行。在一些實施例中,溫度為高於約20ºC、50ºC或100ºC,但低於約1000ºC、800ºC、600ºC或500ºC。在一些實施例中,循環是在約450°C之溫度下進行。
對於一些實施例,用於蝕刻循環的反應室中的壓力通常可為約10E-9托至約760托,或約0.001至約100托。然而,在一些情況中,壓力將高於或低於此範圍,這可由本領域之技術人員在特定情況下確定。在一些實施例中,使用小於2托的壓力。在一些情況中,反應器可以等溫(諸如熱壁)或非等溫(諸如冷壁)條件操作。在一些情況中,反應器本身不與蝕刻化學物質交互作用且亦可不與基板交互作用。在一些情況中,反應器可包括熱壁、冷壁及甚至溫壁類型之反應室。
在一些實施例中,蝕刻循環具有約0.01至約5 Å/循環之平均蝕刻速率。蝕刻速率定義為在每個循環後去除的材料量或膜厚度。在一些實施例中,平均蝕刻速率為約0.01至0.1 Å/循環或0.1至約2 Å/循環或在一些情況中甚至高於2 Å/循環。在一些實施例中,平均蝕刻速率為高於約0.1 Å/循環,高於約0.5 Å/循環、高於約1.0 Å/循環、高於約2.0 Å/循環、高於約3.0 Å/循環、高於約5.0 Å/循環、高於約10 Å/循環或高於約20 Å/循環,且在一些實例中,若施行具有流率改變的連續流動或暴露時間足夠長,則蝕刻速率可為高於約30 Å/循環、高於約50 Å/循環或高於約100 Å/循環。所述預清洗製程不受任何特定形式的循環蝕刻的限制。在一些實施例中,電漿蝕刻循環可具有約~50Å /循環的蝕刻速率。在一些實施例中,改性依賴於所述製程的離子注入深度。對於H2來說,可以是幾奈米。在一些實施例中,可藉由增加基板偏壓來增加EPC。在一些實施例中,可達到100A /循環的蝕刻速率。在熱蝕刻循環(例如,ALE)中,蝕刻速率可以在0.1-3A /循環範圍內,因為這更依賴於表面反應。
在一些實施例中,蝕刻選擇性(即自期望表面/材料移除之材料(原子/分子的厚度、質量或量)相對自非期望表面/材料或表面/材料移除之材料的比率)是高於約2:1、高於約3:1、高於約5:1、高於約7:1、高於約10:1、高於約15:1、高於約20:1、高於約30:1、高於約50:1、高於約100:1、高於約1000:1、高於約10,000:1或更高。在一些實施例中,並無從非所需表面/材料中除去大量材料。在一些實施例中,所述比率取決於循環蝕刻製程。
在一些實施例中,第一或第二反應物的流量可等於或高於2 sccm,可等於或高於10 sccm,或有時甚至高於50 sccm,或可高於100 sccm或高於500 sccm。在一些實施例中,第一反應物可連續地流入至反應室中,同時第二反應物是間歇地流動。
儘管在前面的描述中參考某些實施例說明了本發明,但是本發明不限於這些實施例。實際上,除了本文所示和所述的那些之外,本發明的各種修改對於本領域技術人員而言從前面的描述將變得顯而易見並且落入所附請求項的範圍內。本文引用的所有出版物、專利和專利申請均出於所有目的通過引用整體併入本文,其程度如同每個單獨的出版物、專利或專利申請被具體和單獨地指出通過引用併入。在以下非限制性實施例中提供了本發明的進一步細節。實施例 1
將SiC介電質層添加到基板上,使得基板具有介電質頂層。在第一預清洗製程中藉由熱反應從介電質層去除表面氧化物。所述熱反應包括將晶片浸入HF溶液(100:1)中5分鐘並乾燥。在預清洗製程之後,將基板裝載到反應器中,在那裡進行第二乾蝕刻製程。乾蝕刻製程包括幾輪的H2 電漿處理和SF6 自由基處理。在乾蝕刻製程之後,基板具有優異的蝕刻輪廓和減少的側壁粗糙度。實施例 2
介電質SiCN基板具有表面氧化層。藉由涉及電漿輔助製程的預清洗製程去除所述表面氧化物層。電漿輔助製程涉及SF6 自由基處理。在預清洗製程之後,在不破壞真空的情況下,乾蝕刻製程包括藉由使用氟離子和自由基的電漿製程和電漿輻射而重複循環進行二十輪(round)的含氟膜(CxFy)膜沉積。在乾蝕刻製程之後,SiCN基板相對於氧化物具有增強的蝕刻選擇性,並且每個循環乾蝕刻具有一致的蝕刻厚度。實施例 3
使用H2 和SF6 電漿,如圖3A中所示處理介電質塗覆的基底。利用SF6 電漿處理Si3 N4 基板,第一次預清洗製程從Si3 N4 基板去除表面氧化物。SF6 電漿來自電容耦合電漿(CCP)源,並且以100 MHz,100W,2Pa,0W偏壓(bias)而施加。
在預清洗後,如圖3B所示,對材料進行乾蝕刻(利用H2 和SF6 自由基(電漿)的循環乾蝕刻製程)。乾蝕刻製程包括在第一預清洗製程之後利用H2 電漿來處理Si3 N4 基板。H2 電漿來自CCP源,以100 MHz,100W,2Pa,0W偏壓(bias)而施加。H2 電漿處理之後,利用SF6 自由基循環處理Si3 N4 基板。SF6 自由基來自遠程電漿源,且以2.45 GHz,50W和2Pa施加。
圖4示出了經過預清洗製程的和沒有經過預清洗製程的SiN蝕刻厚度的比較結果產物。當Si3 N4 基板上存在天然氧化物,在以H2 電漿處理基板後,氟自由基無法蝕刻Si3 N4 基板。然而,當Si3 N4 基板經過預清洗製程,去除表面氧化物,隨著氟自由基暴露時間的增加,使得Si3 N4 基板的蝕刻厚度增加。存在於Si3 N4 基板上的表面氧化物抑制了改性層的形成並防止Si3 N4 基板蝕刻。在去除表面氧化物之後,獲得每個循環氟暴露的恆定蝕刻厚度。圖4中未預清洗的SiN使用相同的微波電漿,這導致沒有蝕刻。
圖5示出了蝕刻各種介電質材料的厚度。將與上述圖4相同的條件用於SiC、SiN、SiCOH和SiO原子層蝕刻,並進行預清洗,以得到圖5中所示的結果。介電質材料包括SiO、SiN、SiC和SiCOH。藉由PECVD在約300℃下將所有這些介電質材料沉積在Si基板上。進行第一次預清洗製程以去除表面氧化物。接著使用H2 電漿和氟自由基在預清洗介電質表面進行循環乾蝕刻。在去除表面氧化物之後,蝕刻SiN、SiC和SiCOH基板。這些基材的選擇性不同。藉由在蝕刻製程中改變偏壓、壓力或其他條件可以改善選擇性。對於SiO基底,不可能進行循環乾蝕刻製程。這說明了去除表面氧化物後對氧化物(SiO)的選擇性。
圖6A和6B是STEM照片,其顯示在兩次重複循環蝕刻製程之後表面氧化物去除對介電質膜的均勻度和粗糙度的影響。圖6A示出未經過預清洗的Si3 N4 基板的均勻度和表面粗糙度。在循環蝕刻製程之前未去除表面氧化物。圖6B示出經過預清洗的Si3 N4 基板的均勻度和表面粗糙度。在開始循環蝕刻製程之前,藉由氟電漿蝕刻去除表面氧化物。圖6B示出經過預清洗製程的Si3 N4 基板和圖6A示出未經過預清洗製程的Si3 N4 基板相較,具有較平滑表面且具有改善的蝕刻均勻度和減少的側壁粗糙度。圖6A中的Si3 N4 基板有粗糙表面。
沒有進行預清洗的SiN,其結果如圖6A所示。 使用CCP SF6 (50W,40Pa,0W偏壓(bias))電漿代替遠程電漿,即使存在原生氧化物也能達成SiN的蝕刻,但導致非常不均勻的蝕刻。這是因為較高的壓力(大於40Pa)且SF6 低於50W CCP電漿,在去除表面氧化物方面效率不高。
在整個本發明中,除非另有明確說明,否則單數的使用包括複數。在本發明中,除非另有明確說明,否則“或”的使用包括“和/或”。此外,術語"包括"不是限制性的。
2、4‧‧‧導電平板電極 3‧‧‧反應室 5‧‧‧傳送室 6、7‧‧‧排氣管線 11、16‧‧‧內部 12‧‧‧另一側 13‧‧‧圓管 14‧‧‧分隔板 21、22‧‧‧氣體管線 24‧‧‧密封氣體管線 25‧‧‧HRF功率/基板偏壓 810、910‧‧‧表面氧化物 820‧‧‧介電質/SiC層/介電質層 830‧‧‧基板/基板層 920‧‧‧Si3N4層 930‧‧‧SiON層 940‧‧‧Si基板
圖1示出了循環乾蝕刻製程之前的預清洗製程。 圖2示出了SiN的Si鍵結配置的XPS光譜。它示出表面氧化物的存在。 圖3A示出了使用H2 和SF6 電漿的SiN循環乾蝕刻製程的預清洗製程。 圖3B示出了使用H2 和SF6 自由基(電漿)的SiN循環乾蝕刻製程的乾蝕刻製程,其可重複任意次數(“n”)。 圖4是示出了沒有預清洗製程的SiN的蝕刻厚度以及有預清洗製程的SiN的蝕刻厚度之間的比較曲線圖。 圖5是示出了不同介電質材料的蝕刻厚度的差異的曲線圖。 圖6A示出了沒有預清洗的Si3 N4 基板的均勻度和表面粗糙度。 圖6B示出了有預清洗的Si3 N4 基板的均勻度和表面粗糙度。 圖7是繪示基板的製程的一些實施例的流程圖。 圖8A至圖8C示出了根據本文提供的一些實施例的基板的剖面圖。 圖9A至圖9D示出了根據所揭露的技術的一些實施例的基板的剖面圖。 圖10是用於本文提供的各種方法的裝置的示意圖。

Claims (30)

  1. 一種循環蝕刻製程,所述製程包括: 預清洗介電質材料使表面氧化物去除,以提供經預清洗的介電質表面;以及 蝕刻所述經預清洗的介電質表面。
  2. 如申請專利範圍第1項所述的循環蝕刻製程,其中預清洗與蝕刻之間沒有真空破壞。
  3. 如申請專利範圍第2項所述的循環蝕刻製程,其中蝕刻包括循環乾蝕刻。
  4. 如申請專利範圍第3項所述的循環蝕刻製程,其中預清洗是藉由熱反應和/或電漿製程而達成。
  5. 如申請專利範圍第4項所述的循環蝕刻製程,其中預清洗足以達成以下中的至少一個:a)優異的蝕刻輪廓控制、b)增強不同介電質材料和氧化物之間的蝕刻選擇性、c)循環乾蝕刻中之每一循環的蝕刻厚度一致、d)在SiN、SiC和SiCOH的循環蝕刻中的每一蝕刻之間具有選擇性和e)減小的表面和側壁粗糙度。
  6. 如申請專利範圍第4項所述的循環蝕刻製程,其中循環蝕刻包括至少兩輪的乾蝕刻。
  7. 如申請專利範圍第4項所述的循環蝕刻製程,其中循環乾蝕刻包括一輪以上的: 提供H2 電漿;以及 提供含氟或含氯的電漿。
  8. 如申請專利範圍第4項所述的循環蝕刻製程,其中循環乾蝕刻包括: 氟化和配位體與反應物氣體進行交換的重複循環;以及 藉由與含氟或含氯氣體的熱反應來蝕刻以及藉由與反應物氣體的熱反應來改性的重複循環。
  9. 如申請專利範圍第4項所述的循環蝕刻製程,其中所述表面氧化物包括氧化矽或金屬氧化物。
  10. 如申請專利範圍第4項所述的循環蝕刻製程,其中循環乾蝕刻包括藉由電漿製程和使用惰性離子和自由基(Ar、He)的電漿輻射的重複循環的含氟膜(CxFy)沉積。
  11. 如申請專利範圍第4項所述的循環蝕刻製程,其中預清洗包括具有反應物氣體的熱反應。
  12. 如申請專利範圍第4項所述的循環蝕刻製程,其中預清洗包括電漿輔助製程,所述電漿輔助製程包括氟或氯離子或自由基。
  13. 如申請專利範圍第12項所述的循環蝕刻製程,其中所述預清洗包括電漿輔助製程,所述電漿輔助製程包括足夠能量的離子以濺射所述表面氧化物。
  14. 如申請專利範圍第4項所述的循環蝕刻製程,其中所述介電質材料的溫度為50℃或更低。
  15. 如申請專利範圍第4項所述的循環蝕刻製程,其中大氣壓力為10 Pa或更低。
  16. 如申請專利範圍第4項所述的循環蝕刻製程,更包括: 提供介電質膜。
  17. 如申請專利範圍第4項所述的循環蝕刻製程,其中所述介電質材料包括矽。
  18. 如申請專利範圍第17項所述的循環蝕刻製程,其中所述介電質材料包括以下中的至少一者:SiN、SiON、SiC、SiCN、SiCO、SiCNO和SiCOH。
  19. 如申請專利範圍第4項所述的循環蝕刻製程,其中所述介電質材料包括金屬。
  20. 如申請專利範圍第19項所述的循環蝕刻製程,其中所述介電質材料包括以下中的至少一者:TiN、TiC、HfN、HfC、WC、WN、MoC、MoN、AlN、AlC、ZrN和ZrC。
  21. 如申請專利範圍第4項所述的循環蝕刻製程,其中所述介電質材料存在於凹陷圖案上。
  22. 如申請專利範圍第21項所述的循環蝕刻製程,其中所述凹陷圖案包括具有寬度為5奈米至100奈米、長寬比為2至100的溝槽。
  23. 如申請專利範圍第1項所述的循環蝕刻製程,其中所述表面氧化物選自由下列組成的群組中的至少一種:氧化鈦、氧化鉿、氧化鎢和氧化鉬。
  24. 如申請專利範圍第11項所述的循環蝕刻製程,其中所述反應物氣體是氟氣或氯氣。
  25. 如申請專利範圍第24項所述的循環蝕刻製程,其中所述氟氣包括HF、XeF2 或NbF5 ,且所述氯氣包括BCl3 、CCl4 或SOCl2
  26. 如申請專利範圍第21項所述的循環蝕刻製程,其中所述介電質膜的厚度是20奈米或更小。
  27. 如申請專利範圍第1項所述的循環蝕刻製程,其中預清洗包括SF6 電漿處理以去除所述表面氧化物,且其中乾蝕刻包括至少一輪H2 電漿處理與SF6 自由基處理。
  28. 如申請專利範圍第12項所述的循環蝕刻製程,其中所述電漿輔助製程在其中藉由電感耦合電漿(ICP)、電子迴旋共振(ECR)、表面波持續型(SWP型)反應器和電容耦合電漿(CCP)中的至少一種來產生電漿的反應器中進行。
  29. 如申請專利範圍第1項所述的循環蝕刻製程,其中預清洗是在較低壓力和/或較高電漿功率下進行。
  30. 如申請專利範圍第4項所述的循環蝕刻製程,其中蝕刻以較低於預清洗的離子能量進行。
TW108124035A 2018-07-20 2019-07-09 循環蝕刻製程 TWI788584B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/040,859 2018-07-20
US16/040,859 US10720337B2 (en) 2018-07-20 2018-07-20 Pre-cleaning for etching of dielectric materials

Publications (2)

Publication Number Publication Date
TW202008458A true TW202008458A (zh) 2020-02-16
TWI788584B TWI788584B (zh) 2023-01-01

Family

ID=69161978

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108124035A TWI788584B (zh) 2018-07-20 2019-07-09 循環蝕刻製程

Country Status (4)

Country Link
US (1) US10720337B2 (zh)
KR (1) KR102550244B1 (zh)
CN (1) CN110739204B (zh)
TW (1) TWI788584B (zh)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10566212B2 (en) 2016-12-19 2020-02-18 Lam Research Corporation Designer atomic layer etching
US10720334B2 (en) 2018-07-20 2020-07-21 Asm Ip Holding B.V. Selective cyclic dry etching process of dielectric materials using plasma modification
US20230093011A1 (en) * 2020-03-06 2023-03-23 Lam Research Corporation Atomic layer etching of molybdenum
US20210313185A1 (en) * 2020-04-06 2021-10-07 California Institute Of Technology Atomic layer etching for smoothing of arbitrary surfaces
US11901192B2 (en) 2020-06-30 2024-02-13 Hitachi High-Tech Corporation Etching processing method and etching processing apparatus
US11864472B2 (en) 2020-07-10 2024-01-02 California Institute Of Technology Methods and systems for atomic layer etching and atomic layer deposition
KR102559095B1 (ko) * 2020-11-11 2023-07-25 주식회사 테스 기판 처리 방법
US20220267899A1 (en) * 2021-02-25 2022-08-25 Applied Materials, Inc. Microstructure control of conducting materials through surface coating of powders
US20230187204A1 (en) * 2021-12-10 2023-06-15 Applied Materials, Inc. Tungsten Fluoride Soak And Treatment For Tungsten Oxide Removal
US20230386830A1 (en) * 2022-05-27 2023-11-30 Applied Materials, Inc. Highly conformal metal etch in high aspect ratio semiconductor features

Family Cites Families (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2597606B2 (ja) * 1987-10-27 1997-04-09 株式会社東芝 シリコン窒化膜のドライエッチング方法
US5567659A (en) * 1995-05-25 1996-10-22 Northern Telecom Limited Method of etching patterns in III-V material with accurate depth control
US7111629B2 (en) * 2001-01-08 2006-09-26 Apl Co., Ltd. Method for cleaning substrate surface
US20020124867A1 (en) * 2001-01-08 2002-09-12 Apl Co., Ltd. Apparatus and method for surface cleaning using plasma
JP2003086569A (ja) * 2001-09-12 2003-03-20 Tokyo Electron Ltd プラズマ処理方法
US20050106888A1 (en) * 2003-11-14 2005-05-19 Taiwan Semiconductor Manufacturing Co. Method of in-situ damage removal - post O2 dry process
US20070080141A1 (en) * 2005-10-07 2007-04-12 Applied Materials, Inc. Low-voltage inductively coupled source for plasma processing
US7658802B2 (en) * 2005-11-22 2010-02-09 Applied Materials, Inc. Apparatus and a method for cleaning a dielectric film
US20070161214A1 (en) * 2006-01-06 2007-07-12 International Business Machines Corporation High k gate stack on III-V compound semiconductors
CN101484973A (zh) * 2006-07-03 2009-07-15 应用材料股份有限公司 用于先进前段工艺的群集设备
KR20100003483A (ko) * 2008-07-01 2010-01-11 주식회사 동부하이텍 반도체 소자의 실리사이드 형성 방법
FR3000600B1 (fr) 2012-12-28 2018-04-20 Commissariat Energie Atomique Procede microelectronique de gravure d'une couche
US9040422B2 (en) * 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
JP2014220387A (ja) * 2013-05-08 2014-11-20 東京エレクトロン株式会社 プラズマエッチング方法
US9455349B2 (en) 2013-10-22 2016-09-27 Semiconductor Energy Laboratory Co., Ltd. Oxide semiconductor thin film transistor with reduced impurity diffusion
FR3017241B1 (fr) * 2014-01-31 2017-08-25 Commissariat Energie Atomique Procede de gravure plasma
JP6230954B2 (ja) * 2014-05-09 2017-11-15 東京エレクトロン株式会社 エッチング方法
US9773683B2 (en) 2014-06-09 2017-09-26 American Air Liquide, Inc. Atomic layer or cyclic plasma etching chemistries and processes
KR102227128B1 (ko) 2014-09-03 2021-03-12 삼성전자주식회사 반도체 장치 및 이의 제조 방법
JP2018500767A (ja) * 2014-12-18 2018-01-11 ザ リージェンツ オブ ザ ユニバーシティ オブ コロラド,ア ボディー コーポレイトTHE REGENTS OF THE UNIVERSITY OF COLORADO,a body corporate 逐次的な自己制御熱反応を使用する原子層エッチング(ale)の新規の方法
US9396961B2 (en) * 2014-12-22 2016-07-19 Lam Research Corporation Integrated etch/clean for dielectric etch applications
US9431268B2 (en) * 2015-01-05 2016-08-30 Lam Research Corporation Isotropic atomic layer etch for silicon and germanium oxides
US9425041B2 (en) * 2015-01-06 2016-08-23 Lam Research Corporation Isotropic atomic layer etch for silicon oxides using no activation
US9576811B2 (en) * 2015-01-12 2017-02-21 Lam Research Corporation Integrating atomic scale processes: ALD (atomic layer deposition) and ALE (atomic layer etch)
JP6568769B2 (ja) * 2015-02-16 2019-08-28 東京エレクトロン株式会社 基板処理方法及び基板処理装置
KR102510737B1 (ko) * 2015-03-30 2023-03-15 도쿄엘렉트론가부시키가이샤 원자층 에칭 방법
JP6498022B2 (ja) * 2015-04-22 2019-04-10 東京エレクトロン株式会社 エッチング処理方法
CN106356299B (zh) * 2015-07-13 2021-04-13 联华电子股份有限公司 具有自我对准间隙壁的半导体结构及其制作方法
TWI782220B (zh) * 2015-09-22 2022-11-01 美商應用材料股份有限公司 清洗方法
US9997374B2 (en) * 2015-12-18 2018-06-12 Tokyo Electron Limited Etching method
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9972575B2 (en) * 2016-03-03 2018-05-15 Applied Materials, Inc. Hybrid wafer dicing approach using a split beam laser scribing process and plasma etch process
US20170345673A1 (en) 2016-05-29 2017-11-30 Tokyo Electron Limited Method of selective silicon oxide etching
US10381235B2 (en) 2016-05-29 2019-08-13 Tokyo Electron Limited Method of selective silicon nitride etching
US10074543B2 (en) * 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
US10276504B2 (en) * 2017-05-17 2019-04-30 Northrop Grumman Systems Corporation Preclean and deposition methodology for superconductor interconnects
US10504742B2 (en) * 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
CN108198745B (zh) * 2017-12-28 2020-12-22 信利(惠州)智能显示有限公司 源漏极成膜前处理方法

Also Published As

Publication number Publication date
KR102550244B1 (ko) 2023-06-30
US20200027746A1 (en) 2020-01-23
TWI788584B (zh) 2023-01-01
CN110739204B (zh) 2023-08-29
US10720337B2 (en) 2020-07-21
KR20200010099A (ko) 2020-01-30
CN110739204A (zh) 2020-01-31

Similar Documents

Publication Publication Date Title
TWI788584B (zh) 循環蝕刻製程
CN110739211B (zh) 使用等离子体改性的介电材料的选择性循环干式蚀刻工艺
JP7123976B2 (ja) 無水エッチングの方法
KR100519798B1 (ko) 향상된 생산성을 갖는 박막 형성 방법
TW202129708A (zh) 藉由循環電漿增強型沉積製程形成地形選擇性氧化矽膜之方法
JP6810059B2 (ja) 先進的なパターニングプロセスにおけるスペーサ堆積および選択的除去のための装置および方法
US10373828B2 (en) Method of sidewall image transfer
US20160322229A1 (en) Methods for selective deposition of metal silicides via atomic layer deposition cycles
TWI811409B (zh) 電漿處理方法及電漿處理裝置
TWI766866B (zh) 蝕刻方法
JP7401593B2 (ja) 空隙を形成するためのシステム及び方法
KR20190051817A (ko) 플라즈마 에칭 방법
TW202035775A (zh) 清潔處理腔室的方法
US20220351979A1 (en) Systems and methods for selective metal compound removal
WO2022244678A1 (ja) 基板処理方法及び基板処理装置
TW202044342A (zh) 使用犧牲性遮罩的選擇性蝕刻
JP7190940B2 (ja) 基板処理方法及び基板処理装置