KR20190051817A - 플라즈마 에칭 방법 - Google Patents

플라즈마 에칭 방법 Download PDF

Info

Publication number
KR20190051817A
KR20190051817A KR1020180131093A KR20180131093A KR20190051817A KR 20190051817 A KR20190051817 A KR 20190051817A KR 1020180131093 A KR1020180131093 A KR 1020180131093A KR 20180131093 A KR20180131093 A KR 20180131093A KR 20190051817 A KR20190051817 A KR 20190051817A
Authority
KR
South Korea
Prior art keywords
film
etching
gas
plasma
metal
Prior art date
Application number
KR1020180131093A
Other languages
English (en)
Inventor
타카유키 가츠누마
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20190051817A publication Critical patent/KR20190051817A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Manufacturing Of Printed Circuit Boards (AREA)

Abstract

금속 함유 마스크로부터 비산하는 금속에 기인한 에칭 스톱을 회피한다. 플라즈마 에칭 방법은, 에칭 대상막 상에 형성된 정해진 개구 패턴을 가지는 금속 함유막에 대하여, 제 1 처리 가스에 의해 보호막을 형성하는 보호막 형성 공정과, 보호막이 형성된 금속 함유막을 마스크로서, 제 2 처리 가스의 플라즈마에 의해 에칭 대상막을 에칭하는 에칭 공정을 포함한다.

Description

플라즈마 에칭 방법 {PLASMA ETCHING METHOD}
본 개시된 각종 측면 및 실시 형태는 플라즈마 에칭 방법에 관한 것이다.
종래, 금속 함유막을 마스크로서 에칭 대상막을 에칭하는 기술이 있다. 예를 들면, 금속 함유막으로 이루어지는 마스크를 개재하여 CF4를 포함하는 처리 가스의 플라즈마에 의해, 에칭 대상막인 SiO2막을 에칭하는 기술이 있다. 또한, CH2F2 / N2를 포함하는 가스 등의 에칭 가스를 이용하여 Low-k막을 에칭하는 기술이 있다.
일본특허공개공보 2003-282539호 일본특허공개공보 2017-098323호
그러나 상술한 종래 기술에서는, 에칭에 의해 금속 함유 마스크로부터 비산하는 금속이 그 하층의 에칭 대상막에 부착되기 때문에, 형성되는 오목부의 형상이 열화된다. 예를 들면, 에칭에 의해 형성되는 오목부의 Critical Dimension(CD)이 축소되거나, 에칭에 의해 형성되는 오목부의 측벽이 테이퍼 형상이 되거나, 에칭이 저해된다. 그 결과, 상술한 종래 기술에서는 에칭 스톱이 발생할 우려가 있다.
개시하는 플라즈마 에칭 방법은, 하나의 실시 형태에 있어서, 에칭 대상막 상에 형성된 정해진 개구 패턴을 가지는 금속 함유막에 대하여, 제 1 처리 가스에 의해 보호막을 형성하는 보호막 형성 공정과, 상기 보호막이 형성된 상기 금속 함유막을 마스크로서, 제 2 처리 가스의 플라즈마에 의해 상기 에칭 대상막을 에칭하는 에칭 공정을 포함한다.
또한 개시하는 플라즈마 에칭 방법은, 하나의 실시 형태에 있어서, 에칭 대상막 상에 형성된 정해진 개구 패턴을 가지는 금속 함유막을 마스크로서, 처리 가스의 플라즈마에 의해 상기 에칭 대상막을 에칭하는 에칭 공정과, 상기 처리 가스의 플라즈마가 생성되고 있는 기간에, 상기 플라즈마 중의 이온을 인입하기 위한 고주파 전력을 간헐적으로 인가하는 고주파 전력 인가 공정을 포함한다.
개시하는 플라즈마 에칭 방법의 하나의 태양에 따르면, 금속 함유 마스크로부터 비산하는 금속에 기인한 에칭 스톱을 회피할 수 있다고 하는 효과를 나타낸다.
도 1은 제 1 실시 형태에 따른 플라즈마 에칭 장치의 종단면의 일례를 나타내는 도이다.
도 2는 제 1 실시 형태에 있어서의 플라즈마 에칭 장치에 의한 플라즈마 에칭 처리의 흐름의 일례를 나타내는 순서도이다.
도 3은 비교예 1 및 실험예 1에 대한 처리 결과를 나타내는 도이다.
도 4는 제 2 실시 형태에 있어서의 플라즈마 에칭 장치에 의한 플라즈마 에칭 처리의 흐름의 일례를 나타내는 순서도이다.
도 5는 본 실시 형태에 있어서의 고주파 전력 인가 공정의 일례를 나타내는 도이다.
도 6은 비교예 2 및 실험예 2에 대한 처리 결과를 나타내는 도이다.
이하, 도면을 참조하여 각종 실시 형태에 대하여 상세하게 설명한다. 또한, 각 도면에서 동일 또는 상당한 부분에 대해서는 동일한 부호를 부여하는 것으로 한다.
<제 1 실시 형태>
먼저, 제 1 실시 형태에 따른 플라즈마 에칭 장치에 대하여, 도 1에 기초하여 설명한다. 도 1은 제 1 실시 형태에 따른 플라즈마 에칭 장치의 종단면의 일례를 나타내는 도이다.
본 실시 형태에 따른 플라즈마 에칭 장치(1)는 챔버(10) 내에 배치대(20)와 가스 샤워 헤드(25)를 대향 배치한 평행 평판형의 플라즈마 에칭 장치(용량 결합형 플라즈마 에칭 장치)이다. 배치대(20)는 피처리체의 일례인 반도체 웨이퍼(이하, 단순히 '웨이퍼(W)'라고 함)를 유지하는 기능을 가지고 또한 하부 전극으로서 기능한다. 가스 샤워 헤드(25)는 가스를 챔버(10) 내에 샤워 형상으로 공급하는 기능을 가지고 또한 상부 전극으로서 기능한다.
챔버(10)는 예를 들면 표면이 알루마이트 처리(양극 산화 처리)된 알루미늄으로 이루어지며, 원통 형상이다. 챔버(10)는 전기적으로 접지되어 있다. 배치대(20)는 챔버(10)의 저부에 설치되고, 웨이퍼(W)를 배치한다.
배치대(20)는 예를 들면 알루미늄(Al), 티탄(Ti), 탄화 규소(SiC) 등으로 형성되어 있다. 배치대(20)의 상면에는 웨이퍼(W)를 정전 흡착하기 위한 정전 척(106)이 마련되어 있다. 정전 척(106)은 절연체(106b)의 사이에 척 전극(106a)을 사이에 둔 구조로 되어 있다.
척 전극(106a)에는 직류 전압원(112)이 접속되고, 직류 전압원(112)으로부터 척 전극(106a)으로 직류 전압이 공급된다. 이에 의해, 쿨롱력에 의해 웨이퍼(W)가 정전 척(106)에 흡착된다.
정전 척(106)(웨이퍼(W))의 주위에는 에칭의 균일성을 향상시키는 도전성의 포커스 링(106c)이 배치되어 있다. 포커스 링(106c)은 예를 들면 실리콘으로 형성된다.
배치대(20)는 지지체(104)에 의해 지지되어 있다. 지지체(104)의 내부에는 냉매 유로(104a)가 형성되어 있다. 냉매 유로(104a)에는 냉매 입구 배관(104b) 및 냉매 출구 배관(104c)이 접속되어 있다. 칠러(107)로부터 출력된 냉각수 또는 브라인 등의 냉각 매체는 냉매 입구 배관(104b), 냉매 유로(104a) 및 냉매 출구 배관(104c)을 순환한다. 이에 의해, 배치대(20) 및 정전 척(106)이 냉각된다.
전열 가스 공급원(85)은 헬륨 가스(He) 또는 아르곤 가스(Ar) 등의 전열 가스를 가스 공급 라인(130)에 통과시켜 정전 척(106) 상의 웨이퍼(W)의 이면에 공급한다. 이러한 구성에 의해, 정전 척(106)은 냉매 유로(104a)에 순환시키는 냉각 매체와 웨이퍼(W)의 이면에 공급하는 전열 가스에 의해 온도 제어된다.
배치대(20)에는 2 주파 중첩 전력을 공급하는 전력 공급 장치(30)가 접속되어 있다. 전력 공급 장치(30)는 제 1 주파수의 제 1 고주파 전력(HF)(플라즈마 생성용의 고주파 전력)을 공급하는 제 1 고주파 전원(32)과, 제 1 주파수보다 낮은 제 2 주파수의 제 2 고주파 전력(LF)(바이어스 전압 발생용의 고주파 전력)을 공급하는 제 2 고주파 전원(34)을 가진다. 제 1 고주파 전원(32)은 제 1 정합기(33)를 개재하여 배치대(20)에 전기적으로 접속된다. 제 2 고주파 전원(34)은 제 2 정합기(35)를 개재하여 배치대(20)에 전기적으로 접속된다. 제 1 고주파 전원(32)은 예를 들면 40 MHz의 제 1 고주파 전력(HF)을 배치대(20)에 인가한다. 제 2 고주파 전원(34)은 예를 들면 13 MHz의 제 2 고주파 전력(LF)을 배치대(20)에 인가한다. 제 2 고주파 전력(LF)은 플라즈마 중의 이온을 인입하기 위한 고주파 전력이며, '바이어스 전력'이라고도 불린다. 또한 본 실시 형태에서는, 제 1 고주파 전력(HF)은 배치대(20)에 인가되지만, 상부 전극(가스 샤워 헤드(25))에 인가되어도 된다.
제 1 정합기(33)는 제 1 고주파 전원(32)의 내부(또는 출력) 임피던스에 부하 임피던스를 정합시킨다. 제 2 정합기(35)는 제 2 고주파 전원(34)의 내부(또는 출력) 임피던스에 부하 임피던스를 정합시킨다. 제 1 정합기(33)는 챔버(10) 내에 플라즈마가 생성되어 있을 때 제 1 고주파 전원(32)의 내부 임피던스와 부하 임피던스가 외관상 일치하도록 기능한다. 제 2 정합기(35)는 챔버(10) 내에 플라즈마가 생성되어 있을 때 제 2 고주파 전원(34)의 내부 임피던스와 부하 임피던스가 외관상 일치하도록 기능한다.
가스 샤워 헤드(25)는 실리콘 함유물, 예를 들면 실리콘에 의해 형성되어 있으며, 그 주연부를 피복하는 실드 링(40)을 개재하여 챔버(10)의 천장부의 개구를 폐색 하도록 장착되어 있다.
가스 샤워 헤드(25)에는 로우패스 필터(51)(LPF)를 개재하여 가변 직류 전원(52)이 전기적으로 접속되어 있다. 가변 직류 전원(52)은 음극이 가스 샤워 헤드(25)측이 되도록 접속되어 있으며, 가스 샤워 헤드(25)에 음의 직류 전압을 인가하도록 되어 있다. 가변 직류 전원(52)으로부터의 급전은 온·오프 스위치(53)에 의해 온·오프가 가능하게 되어 있다. 로우패스 필터(51)는 제 1 고주파 전원(32) 및 제 2 고주파 전원(34)으로부터의 고주파를 트랩하는 것이며, 매우 적합하게는 LR 필터 또는 LC 필터로 구성된다. 또한, 가스 샤워 헤드(25)는 가변 직류 전원(52)과 전기적으로 접속되지 않고, 전기적으로 접지되어 있어도 된다.
가스 샤워 헤드(25)에는 가스를 도입하는 가스 도입구(45)가 형성되어 있다. 가스 샤워 헤드(25)의 내부에는 가스 도입구(45)로부터 분기한 센터측의 확산실(50a) 및 엣지측의 확산실(50b)이 마련되어 있다. 가스 공급원(15)으로부터 출력된 가스는 가스 도입구(45)를 거쳐 확산실(50a, 50b)로 공급되고, 각각의 확산실(50a, 50b)에서 확산되어 다수의 가스 공급홀(55)로부터 배치대(20)를 향해 도입된다.
챔버(10)의 저면에는 배기구(60)가 형성되어 있고, 배기구(60)에 접속된 배기 장치(65)에 의해 챔버(10) 내가 배기된다. 이에 의해, 챔버(10) 내를 정해진 진공도로 유지할 수 있다. 챔버(10)의 측벽에는 게이트 밸브(G)가 마련되어 있다. 게이트 밸브(G)의 개폐에 의해 챔버(10)로부터 웨이퍼(W)의 반입 및 반출이 행해진다.
플라즈마 에칭 장치(1)에는 장치 전체의 동작을 제어하는 제어부(100)가 마련되어 있다. 제어부(100)는 CPU(Central Processing Unit)(105), ROM(Read Only Memory)(110) 및 RAM(Random Access Memory)(115) 등을 가지고 있다. CPU(105)는 이들 기억 영역에 저장된 각종의 레시피에 따라, 후술되는 플라즈마 에칭 등의 원하는 처리를 실행한다. 레시피에는 프로세스 조건에 대한 장치의 제어 정보인 프로세스 시간, 압력(가스의 배기), 고주파 전력 및 전압, 각종 가스 유량, 챔버 내 온도(상부 전극 온도, 챔버의 측벽 온도, 정전 척 온도 등), 칠러(107)의 온도 등이 기재되어 있다. 또한, 이들 프로그램 및 처리 조건을 나타내는 레시피는 하드 디스크 또는 반도체 메모리에 기억되어도 된다. 또한, 레시피는 CD-ROM, DVD 등의 가반성의 컴퓨터에 의해 판독 가능한 기억 매체에 수용된 상태로 기억 영역의 정해진 위치에 세트되도록 해도 된다.
예를 들면, 제어부(100)는 후술하는 플라즈마 에칭 처리를 행하도록 플라즈마 에칭 장치(1)의 각 부를 제어한다. 상세한 일례를 들면, 제어부(100)는 플라즈마 에칭 장치(1)의 각 부에, 에칭 대상막 상에 형성된 정해진 개구 패턴을 가지는 금속 함유막에 대하여, 제 1 처리 가스에 의해 보호막을 형성하는 보호막 형성 공정을 실행시킨다. 그리고, 제어부(100)는 플라즈마 에칭 장치(1)의 각 부에, 보호막이 형성된 금속 함유막을 마스크로서, 제 2 처리 가스의 플라즈마에 의해 에칭 대상막을 에칭하는 에칭 공정을 실행시킨다. 또한, 여기서 에칭 대상막과 금속 함유막은 웨이퍼(W)에 아래로부터 차례로 적층되어 있다. 또한, 보호막 형성 공정과 에칭 공정은 적어도 2 회 이상 교호로 반복되어도 된다.
(제 1 실시 형태에 있어서의 플라즈마 에칭 방법)
도 2는 제 1 실시 형태에 있어서의 플라즈마 에칭 장치에 의한 플라즈마 에칭 처리의 흐름의 일례를 나타내는 순서도이다. 이하에 설명하는 바와 같이, 플라즈마 에칭 장치(1)는 에칭 대상막과 정해진 개구 패턴을 가지는 금속 함유막이 차례로 적층된 웨이퍼(W)에 대하여 일련의 처리를 실행한다.
또한, 여기서 에칭 대상막은 예를 들면 실리콘 산화막(예를 들면, SiO2막), Low-k막, 실리콘 질화막(예를 들면, Si3N4막) 또는 폴리 실리콘막이다. Low-k막은 예를 들면 SiOC막 또는 SiOCH막이다. 또한, 금속 함유막은 예를 들면 WC막 또는 TiN막이다.
도 2에 나타내는 바와 같이, 플라즈마 에칭 장치(1)는 공정의 반복에 이용하는 카운트용의 변수(m), 및, 반복 횟수용의 변수(m0)에 대하여 초기값을 설정한다. 플라즈마 에칭 장치(1)는, 예를 들면 변수(m)에 '1'을 설정하고, 변수(m0)에 정해진 값으로서 예를 들면 '10'을 설정한다(단계(S101)). 또한, 변수(m0)에 설정되는 정해진 값은 임의의 값이어도 된다. 예를 들면 정해진 값이 '1'인 경우, 보호막 형성 공정과 에칭 공정이 1 회씩 행해지고, 공정의 반복은 행해지지 않는다. 변수(m0)는 예비 실험 등에 의해 구해져도 된다. 또한, 변수(m0)는 에칭 대상막의 두께를 계측함으로써 결정되어도 된다.
이어서, 플라즈마 에칭 장치(1)는 금속 함유막에 대하여, 제 1 처리 가스의 플라즈마에 의해 보호막을 형성하는 보호막 형성 공정을 행한다(단계(S102)). 제 1 처리 가스는 예를 들면 C4F6, C4F8, C5F8, CHF3, CH2F2 또는 CH4를 포함한다. 제 1 처리 가스는 바람직하게는 희가스를 더 포함한다. 희가스는 예를 들면 Ar이다. 또한, 제 1 처리 가스는 C 및 O를 함유하는 가스를 포함해도 된다. C 및 O를 함유하는 가스는 예를 들면 CO 또는 CO2여도 된다. 또한, 제 1 처리 가스는 O를 포함하는 가스를 포함해도 된다.
보다 상세한 일례를 들어 설명한다. 플라즈마 에칭 장치(1)의 제어부(100)는 가스 공급원(15)을 제어하여, CF계 가스, C 및 O를 함유하는 가스, 희가스 및 O 함유 가스를 포함하는 제 1 처리 가스를 챔버(10) 내로 공급한다. 예를 들면, 제어부(100)는 가스 공급원(15)을 제어하여, C4F6 / CO / Ar / O2를 제 1 처리 가스로서 챔버(10) 내로 공급한다. 그 다음, 제어부(100)는 제 1 고주파 전원(32)을 제어하여 플라즈마 생성용의 제 1 고주파 전력(HF)을 인가하고, 또한 제 2 고주파 전원(34)을 제어하여 이온 인입용의 제 2 고주파 전력(LF)을 인가한다. 이 때, 제어부(100)는 가스 샤워 헤드(25)에 가변 직류 전원(52)을 접속하여 정해진 직류 전압을 인가하도록 제어해도 된다. 이에 의해, 탄화불소(CF)계의 퇴적물이 보호막으로서 금속 함유막 상에 형성된다.
이어서, 플라즈마 에칭 장치(1)는 보호막이 형성된 금속 함유막을 마스크로서, 제 2 처리 가스의 플라즈마에 의해 에칭 대상막을 에칭하는 에칭 공정을 행한다(단계(S103)). 제 2 처리 가스는 에칭 대상막의 종별에 따라 상이하다. 제 2 처리 가스는 에칭 대상막이 실리콘 산화막, Low-k막 또는 실리콘 질화막인 경우, 제 1 처리 가스에 포함되는 CF계 가스보다 C에 대한 F 비율이 큰 CF계 가스, 예를 들면 CF4 또는 C2F6를 포함해도 된다. 또한, 제 2 처리 가스는 에칭 대상막이 폴리 실리콘막인 경우, 예를 들면 Cl2 또는 NF3를 포함해도 된다. 제 2 처리 가스는 희가스를 더 포함해도 된다.
보다 상세한 일례를 들어 설명한다. 플라즈마 에칭 장치(1)의 제어부(100)는 가스 공급원(15)을 제어하여, 제 1 처리 가스에 포함되는 CF계 가스보다 C에 대한 F 비율이 큰 CF계 가스를 포함하는 제 2 처리 가스를 챔버(10) 내로 공급한다. 예를 들면, 제어부(100)는 가스 공급원(15)을 제어하여, CF4 / H2 / N2를 제 2 처리 가스로서 챔버(10) 내로 공급한다. 그 다음, 제어부(100)는 제 1 고주파 전원(32)을 제어하여 플라즈마 생성용의 제 1 고주파 전력(HF)을 인가하고, 또한 제 2 고주파 전원(34)을 제어하여 이온 인입용의 제 2 고주파 전력(LF)을 인가한다. 이 때, 제어부(100)는 상부 전극(가스 샤워 헤드(25))에 접속된 가변 직류 전원(52)을 제어하여 정해진 직류 전압을 인가해도 된다. 이에 의해, 에칭 대상막이 에칭되어 오목부가 형성된다. 오목부로서는, 예를 들면 홈 또는 홀을 들 수 있다.
이어서, 플라즈마 에칭 장치(1)는 보호막 형성 공정과 에칭 공정을 미리 설정된 횟수만큼 반복했는지 여부를 판정한다. 즉, 플라즈마 에칭 장치(1)는 변수(m)가 변수(m0) 이상인지 여부를 판정한다(단계(S104)). 플라즈마 에칭 장치(1)는 변수(m)가 변수(m0) 미만인 경우에는(단계(S104) : No), 변수(m)를 1 증가시키고(단계(S105)), 처리를 단계(S102)로 되돌려 보호막 형성 공정과 에칭 공정을 반복한다. 한편, 플라즈마 에칭 장치(1)는 변수(m)가 변수(m0) 이상인 경우에는(단계(S104) : Yes), 처리를 종료한다.
(제 1 실시 형태에 있어서의 효과)
이상, 제 1 실시 형태에 따르면, 에칭 대상막 상에 형성된 정해진 개구 패턴을 가지는 금속 함유막에 대하여 제 1 처리 가스의 플라즈마에 의해 보호막을 형성하고, 보호막이 형성된 금속 함유막을 마스크로서 제 2 처리 가스의 플라즈마에 의해 에칭 대상막을 에칭한다. 이에 의해, 보호막에 의해 금속 함유막이 보호되므로, 금속 함유막으로부터의 금속의 비산 및 에칭 대상막에 대한 금속의 부착이 억제된다. 이 때문에, 금속에 의해 에칭이 저해되는 사태가 회피된다. 결과로서, 금속 함유 마스크를 이용한 에칭에 의해 형성되는 오목부의 형상을 개선할 수 있다.
또한 상기 제 1 실시 형태에서는, 보호막 형성 공정과 에칭 공정을 실행하는 예를 나타냈지만, 개시 기술은 이에 한정되지 않는다. 예를 들면, 보호막 형성 공정 전에, 정해진 개구 패턴을 가지는 마스크막을 마스크로서, 에칭 대상막 상에 형성된 금속 함유막에 정해진 개구 패턴을 형성하는 패터닝 공정을 더 실행해도 된다. 마스크막은, 예를 들면 실리콘 산화막, 실리콘 질화막, SiON막, SiC막 또는 SiOC막 등으로 이루어지는 하드 마스크여도 된다.
또한, 정해진 개구 패턴을 가지는 금속 함유막 상에 마스크막이 형성되어 있는 경우, 보호막 형성 공정 전에, 마스크막을 마스크로서, 금속 함유막의 상면 중 적어도 일부가 노출될 때까지, 또는 금속 함유막의 상면 중 적어도 일부가 노출되기 직전까지 에칭 대상막을 에칭하는 프리 에칭 공정을 실행해도 된다. 이 경우, 프리 에칭 공정에 있어서, 마스크막의 에칭 레이트는 에칭 대상막의 에칭 레이트보다 낮은 것이 바람직하다. 이에 의해, 금속 함유막의 상면이 노출될 때까지 에칭 대상막의 에칭을 진행시킬 수 있으므로, 에칭의 스루풋을 향상시킬 수 있다. 또한, 에칭 공정과 프리 에칭 공정은 동일한 챔버에서 진공을 깨지 않고 실행되어도 되며, 상이한 챔버에서 실행되어도 된다.
또한 상기 제 1 실시 형태에서는, 상부 전극으로서의 가스 샤워 헤드(25)에 직류 전압(DC)을 인가해도 된다. 이에 의해, 디포짓 레이트(퇴적 레이트)를 제어할 수 있다. 직류 전압(DC)은 음의 직류 전압(DC)이어도 된다. 또한 상기 제 1 실시 형태에서는, 직류 전압(DC)의 크기를 변경해도 된다. 또한 상기 제 1 실시 형태에서는, 제 2 고주파 전력(LF)은 연속파여도 되고, 펄스파여도 된다. 제 2 고주파 전력(LF)이 연속파인 경우, 에칭 대상막의 에칭 레이트가 향상된다. 제 2 고주파 전력(LF)이 펄스파인 경우, 마스크에 대한 데미지를 저감하고, 웨이퍼(W)의 온도의 상승을 억제할 수 있다. 또한, 제 2 고주파 전력(LF)이 펄스파인 경우, 제 2 고주파 전력(LF)을 ON / OFF 제어해도 되고, 제 2 고주파 전력(LF)을 High값과 Low값 사이에서 변조해도 된다. 또한 상기 제 1 실시 형태에서는, 제 2 고주파 전력(LF)의 주파수 및 제 2 고주파 전력(LF)의 전력값(파워) 중 적어도 하나를 변화시켜도 된다. 이에 의해, 이온 에너지를 제어하여 에칭 레이트를 조정할 수 있다. 또한 상기 제 1 실시 형태에서는, 에칭 깊이(에칭 개시부터의 시간)에 따라, 제 2 고주파 전력(LF)의 주파수 및 제 2 고주파 전력(LF)의 전력값 중 적어도 하나를 변화시켜도 된다. 또한 상기 제 1 실시 형태에서는, 웨이퍼(W)의 온도를 제어해도 된다. 예를 들면, 상기 제 1 실시 형태에서는, 웨이퍼(W)의 온도를 낮게 유지하고(20 ~ 60 ℃ 정도), 퇴적량을 많게 하여 금속의 비산량을 줄여도 된다.
또한 상기 제 1 실시 형태에서는, 에칭 대상막과 정해진 개구 패턴을 가지는 금속 함유막이 차례로 적층된 웨이퍼(W)에 대하여 일련의 처리를 실행하는 예를 나타냈지만, 웨이퍼(W)의 구조는 이에 한정되는 것은 아니다. 예를 들면, 금속 함유막 상에는 금속 함유막에 정해진 개구 패턴을 패터닝할 시 이용되는 마스크막이 잔존하고 있어도 된다.
또한 상기 제 1 실시 형태에서는, 각각의 유량이 일정한 CF계 가스, C 및 O를 함유하는 가스, 희가스 및 O 함유 가스를 포함하는 제 1 처리 가스의 플라즈마에 의해 금속 함유막에 보호막을 형성하는 예를 나타냈지만, 개시 기술은 이에 한정되지 않는다. 예를 들면, CF계 가스의 유량을 일정하게 하고, C 및 O를 함유하는 가스(예를 들면, CO)의 유량을 변경해도 된다. CO가 증가하면, CF계 가스 + CO → COF + CF 라디칼로 나타나는 반응이 일어나기 쉬워지므로, C비가 높은 라디칼이 증가하여, 금속 함유막에 대한 라디칼의 부착량이 증가한다. 한편, CO의 유량이 적어지거나, 또는 0이 되면, C비가 높은 라디칼이 감소하여, 금속 함유막에 대한 라디칼의 부착량이 줄어든다(오목부의 퇴적량이 증가한다). 또한 상기 제 1 실시 형태에서는, 제 2 고주파 전력(LF)의 주파수 또는 제 2 고주파 전력(LF)의 대소에 따라, CO의 유량을 변경해도 된다. 예를 들면, 제 2 고주파 전력(LF)의 주파수를 낮출 때 제 1 처리 가스 전체에 대한 CO의 유량비를 늘리는 것이 상정된다. 또한 예를 들면, 제 2 고주파 전력(LF)의 전력값을 높일 때 제 1 처리 가스 전체에 대한 CO의 유량비를 늘리는 것이 상정된다. 이에 의해, 금속 함유막이 보다 보호되므로, 고애스펙트비의 에칭을 행할 시 금속 함유막 마스크로부터의 금속의 비산이 보다 억제된다. 또한 상기 제 1 실시 형태에 있어서, C 및 O를 함유하는 가스의 유량을 일정하게 하여, CF계 가스의 유량을 변경해도 된다.
또한 상기 제 1 실시 형태에서는, CF계 가스를 포함하는 제 1 처리 가스의 플라즈마에 의해 CF계 퇴적물을 보호막으로서 금속 함유막 상에 형성하는 예를 나타냈지만, 개시 기술은 이에 한정되지 않는다. 예를 들면, 탄소 함유 가스를 포함하는 제 1 처리 가스의 플라즈마에 의해 탄소 함유 퇴적물을 보호막으로서 금속 함유막 상에 형성해도 된다. 탄소 함유 가스는 예를 들면 CH4 또는 C3H6이다. 또한, 예를 들면 실리콘 함유 가스를 포함하는 제 1 처리 가스의 플라즈마에 의해 실리콘 함유 퇴적물을 보호막으로서 금속 함유막 상에 형성해도 된다. 실리콘 함유 가스는 예를 들면 SiCl4 또는 SiF4이다.
또한 상기 실시 형태에서는, 보호막을 금속 함유막 상에 형성하는 방법으로서, 플라즈마 원용 화학 기상 성장(PECVD : Plasma Enhanced Chemical Vapor Deposition)법이 이용되었지만, 개시 기술은 이에 한정되지 않는다. 예를 들면, 원자층 퇴적(ALD : Atomic Layer Deposition)법이 이용되어도 된다. ALD법에서는, 가스를 흡착시킨 흡착층의 형성과 그 흡착층의 개질을 반복함으로써 성막한다. 예를 들면, 실리콘을 함유하는 전구체 가스가 챔버(10) 내에 공급됨으로써, 금속 함유막 상에 전구체 가스의 원자 또는 분자가 흡착된다. 이어서, 챔버(10) 내에 공급된 퍼지 가스에 의해, 흡착되어 있지 않은 전구체 가스, 및 금속 함유막 상에 과잉으로 흡착된 전구체 가스의 원자 또는 분자가 제거된다. 그리고, 개질 가스(예를 들면, 산소를 함유하는 반응 가스 또는 질소 함유 가스)가 챔버(10) 내에 공급되고, 반응 가스의 플라즈마가 생성됨으로써, 전구체 가스의 원자 또는 분자가 흡착된 흡착층이 반응 가스의 활성종에 노출된다. 이에 의해, 금속 함유막 상에 실리콘 함유막이 형성된다. ALD법에서는, 이러한 흡착 공정과 반응 공정을 포함하는 사이클이 복수 회 반복됨으로써, 전구체 가스에 포함되는 원자 또는 분자의 막이 금속 함유막 상에 1 층씩 적층된다.
또한, 플라즈마를 이용하지 않고 보호막을 금속 함유막 상에 형성해도 된다. 일례로서, 열CVD에 의해 보호막을 성막해도 되며, 열ALD에 의해 보호막을 형성해도 된다. 예를 들면, 열ALD에서는 가스를 흡착시켜 흡착층을 형성한 후, 그 흡착층을 가열함으로써 개질하여 보호막을 형성한다. 예를 들면, 탄소 또는 실리콘을 함유하는 전구체 가스를 금속 함유막에 흡착시킨 후, 당해 금속 함유막을 가열하여 보호막을 형성해도 된다. 금속 함유막은 예를 들면 400℃ 이하의 온도로 가열된다. 당해 전구체 가스를 금속 함유막에 흡착시킨 후, 흡착층을 가열하기 전에 흡착되어 있지 않은 가스 및 과잉으로 흡착된 가스를 불활성 가스로 퍼지해도 된다.
(실험예)
이하에, 제 1 실시 형태에 있어서의 플라즈마 에칭 방법에 대하여, 실험예를 들어 더 상세하게 설명한다. 단, 제 1 실시 형태에 있어서의 플라즈마 에칭 방법은 하기의 실험예에 한정되는 것은 아니다.
(비교예 1)
비교예 1에서는, 웨이퍼(W)를 모의한 피처리체에 대하여, 에칭 공정을 행했다. 피처리체로서, 이하의 구조를 가지는 것을 이용했다. 또한, 피처리체는 SiO2막이 형성된 테스트용 기판 상에 배치되고, 테스트용 기판에 있어서의 피처리체의 주위에는 WC의 칩이 배치되었다. 에칭 공정은 이하의 조건을 이용하여 행했다.
(피처리체)
에칭 대상막 : SiO2
금속 함유막 : WC막
마스크막 : SiO2
(에칭 공정)
처리 가스 및 유량 : CF4 / H2 / N2 = 20 / 200 / 200 sccm
압력 : 2.7 Pa(20 mTorr)
제 1 고주파 전력(HF) : 200 W, 연속파
제 2 고주파 전력(LF) : 150 W, 연속파
상부 전극(가스 샤워 헤드(25))에의 직류 전압 : -150 V, 연속파
처리 시간 : 175 초
(실험예 1)
실험예 1에서는, 웨이퍼(W)를 모의한 피처리체에 대하여, 보호막 형성 공정을 행한 다음, 에칭 공정을 행하고, 또한 보호막 형성 공정과 에칭 공정을 10 회 교호로 반복했다. 피처리체는 비교예 1과 동일한 구조를 가지는 것을 이용했다. 또한, 피처리체는 SiO2막이 형성된 테스트용 기판 상에 배치되고, 테스트용 기판에 있어서의 피처리체의 주위에는 WC의 칩이 배치되었다. 보호막 형성 공정은 이하의 조건을 이용하여 행했다. 에칭 공정은 이하에 나타내는 처리 시간을 이용한 점을 제외하고, 비교예 1과 동일한 조건을 이용하여 행했다.
(보호막 형성 공정)
처리 가스 및 유량 : C4F6 / CO / Ar / O2 = 10 / 300 / 1000 / 8 sccm
압력 : 4.0 Pa(30 mTorr)
제 1 고주파 전력(HF) : 100 W, 연속파
제 2 고주파 전력(LF) : 200 W, 연속파
상부 전극(가스 샤워 헤드(25))에의 직류 전압 : -150 W, 연속파
처리 시간 : 10 초
(에칭 공정)
처리 시간 : 10 초
(비교예 1 및 실험예 1에 대한 처리 결과)
도 3은 비교예 1 및 실험예 1에 대한 처리 결과를 나타내는 도이다. 도 3에서는, 처리 전에 있어서의 피처리체, 비교예 1에 있어서의 에칭 공정을 행한 후의 피처리체, 실험예 1에 있어서의 보호막 형성 공정과 에칭 공정을 10 회만큼 교호로 반복한 후의 피처리체에 대하여, 각각, 상면(Top view) 및 단면(X-section)을 확대한 사진의 트레이스도를 나타낸다. 또한, 도 3에서 'Initial'은 처리 전에 있어서의 피처리체를 나타낸다. 'As etch'는 비교예 1에 있어서의 에칭 공정을 행한 후의 피처리체를 나타낸다. 'As cyclic etch'는 실험예 1에 있어서의 보호막 형성 공정과 에칭 공정을 10 회 교호로 반복한 후의 피처리체를 나타낸다.
또한, 도 3에서 'Top CD'는 형성된 오목부의 개구의 폭을 나타내고, 'CD bias'는 오목부의 개구의 폭의 축소량을 나타낸다.
도 3에 나타내는 바와 같이, 보호막 형성 공정을 행하지 않는 비교예 1과 비교하여, 보호막 형성 공정을 행한 실험예 1에서는, 오목부의 개구의 폭의 축소량이 작아졌다. 또한, 비교예 1과 비교하여, 실험예 1에서는 오목부의 측벽의 각도를 보다 수직에 근접시키는 것이 가능해졌다.
<제 2 실시 형태>
제 2 실시 형태는 플라즈마 에칭 방법의 베리에이션에 관한 것이다. 또한, 제 2 실시 형태에 따른 플라즈마 에칭 장치(1)는 제 1 실시 형태에 따른 플라즈마 에칭 장치(1)와 동일한 구성을 가지므로, 여기서는 제 1 실시 형태에 따른 플라즈마 에칭 장치(1)와의 상이점만을 설명한다.
제 2 실시 형태에 따른 플라즈마 에칭 장치(1)에 있어서, 제어부(100)는 플라즈마 에칭 장치(1)의 각 부를 제어하여, 에칭 대상막 상에 형성된 정해진 개구 패턴을 가지는 금속 함유막을 마스크로서, 퇴적성을 가지는 처리 가스의 플라즈마에 의해 에칭 대상막을 에칭한다. 그리고, 제어부(100)는 플라즈마 에칭 장치(1)의 각 부를 제어하여, 처리 가스의 플라즈마가 생성되고 있는 기간에, 플라즈마 중의 이온을 인입하기 위한 고주파 전력을 간헐적으로 인가한다. 또한, 여기서 에칭 대상막과 금속 함유막은 웨이퍼(W)에 차례로 적층되어 있다.
(제 2 실시 형태에 있어서의 플라즈마 에칭 방법)
도 4는 제 2 실시 형태에 있어서의 플라즈마 에칭 장치에 의한 플라즈마 에칭 처리의 흐름의 일례를 나타내는 순서도이다. 이하에 설명하는 바와 같이, 플라즈마 에칭 장치(1)는 에칭 대상막과, 정해진 개구 패턴을 가지는 금속 함유막이 차례로 적층된 웨이퍼(W)에 대하여, 일련의 처리를 실행한다.
또한, 여기서 에칭 대상막은 예를 들면 실리콘 산화막, Low-k막, 실리콘 질화막 또는 폴리 실리콘막이다. Low-k막은 예를 들면 SiOC막 또는 SiOCH막이다. 또한, 금속 함유막은 예를 들면 WC막 또는 TiN막이다.
도 4에 나타내는 바와 같이, 플라즈마 에칭 장치(1)는 금속 함유막을 마스크로서, 퇴적성을 가지는 처리 가스의 플라즈마에 의해 에칭 대상막을 에칭하는 에칭 공정을 행한다(단계(S201)). 퇴적성을 가지는 처리 가스는 에칭 대상막의 종별에 따라 상이하다. 퇴적성을 가지는 처리 가스는 에칭 대상막이 실리콘 산화막, Low-k막 또는 실리콘 질화막인 경우, 예를 들면 C4F6, C4F8, C5F8, CHF3 또는 CH2F2를 포함해도 된다. 에칭 대상막이 폴리 실리콘막인 경우, 예를 들면 HBr를 포함해도 된다. 또한, 퇴적성을 가지는 처리 가스는 C 및 O를 함유하는 가스를 포함해도 된다. C 및 O를 함유하는 가스는 예를 들면 CO 또는 CO2여도 된다. 또한, 퇴적성을 가지는 처리 가스는 O 함유 가스를 포함해도 된다. 또한, 퇴적성을 가지는 처리 가스는 Ar 등의 희가스를 포함해도 된다.
보다 상세한 일례를 들어 설명한다. 플라즈마 에칭 장치(1)의 제어부(100)는 예를 들면 퇴적성을 가지는 처리 가스로서, CF계 가스, C 및 O를 함유하는 가스, 희가스 및 O 함유 가스를 포함하는 처리 가스를 챔버(10) 내로 공급한다. 예를 들면, 제어부(100)는 가스 공급원(15)을 제어하여, C4F6 / CO / Ar / O2를 퇴적성을 가지는 처리 가스로서 챔버(10) 내로 공급한다. 그 다음, 제어부(100)는 제 1 고주파 전원(32)으로부터 플라즈마 생성용의 제 1 고주파 전력(HF)을 인가하고, 또한 제 2 고주파 전원(34)으로부터 이온 인입용의 제 2 고주파 전력(LF)을 인가한다. 이 때, 제어부(100)는 가스 샤워 헤드(25)에 가변 직류 전원(52)을 접속하여 정해진 직류 전압을 인가해도 된다. 이에 의해, 퇴적성을 가지는 처리 가스의 플라즈마가 챔버(10) 내에 생성되고, 생성된 플라즈마에 의해 에칭 대상막이 에칭된다.
이어서, 플라즈마 에칭 장치(1)는 플라즈마가 생성되고 있는 기간에, 플라즈마 중의 이온을 인입하기 위한 고주파 전력을 간헐적으로 인가하는 고주파 전력 인가 공정을 행한다(단계(S202)).
도 5는 본 실시 형태에 있어서의 고주파 전력 인가 공정의 일례를 나타내는 도이다. 플라즈마 에칭 장치(1)의 제어부(100)는 처리 가스의 플라즈마가 생성된 상태에서, 도 5에 나타내는 바와 같이, 제 1 고주파 전원(32)으로부터 플라즈마 생성용의 제 1 고주파 전력(HF)을 인가하고, 또한 제 2 고주파 전원(34)으로부터 이온 인입용의 제 2 고주파 전력(LF)을 간헐적(펄스 형상)으로 인가한다. 이 때, 제어부(100)는 가스 샤워 헤드(25)에 정해진 직류 전압을 인가해도 된다. 제 2 고주파 전원(34)이 OFF인 경우에는, 처리 가스에 따른 퇴적물이 보호막으로서 금속 함유막 상에 퇴적된다. 제 2 고주파 전원(34)이 OFF에서 ON으로 전환되면, 플라즈마 중의 이온이 에칭 대상막에 인입되어, 에칭 대상막의 에칭이 진행된다. 이 때, 금속 함유막이 퇴적물에 의해 보호되므로, 금속 함유막으로부터의 금속의 비산이 억제된다.
또한, 여기서 제 2 고주파 전력(LF)이 인가되고 있는 시간을 온 시간 'Ton'이라고 하고, 제 2 고주파 전력(LF)의 인가가 정지되어 있는 시간을 오프 시간 'Toff'라고 한다. 이 경우, 고주파 전력 인가 공정에 있어서, 제 2 고주파 전력(LF)은 1 / (Ton + Toff)의 주파수를 가지는 펄스파로서 인가된다. 또한, 고주파 전력 인가 공정에 있어서, 제 2 고주파 전력(LF)의 듀티비는 Ton / (Ton + Toff)에 의해 나타난다.
또한, 상기 고주파 전력 인가 공정에서는 오목부의 깊이(에칭 개시부터의 시간)에 따라, 제 2 고주파 전력(LF)의 주파수를 변화시켜도 된다. 또한, 상기 고주파 전력 인가 공정에서는 제 2 고주파 전력(LF)의 값을 변경해도 된다. 이에 의해, 이온 에너지 및 이온의 직진성을 향상시킬 수 있다. 예를 들면, 애스펙트비가 높아짐에 따라, 제 2 고주파 전력(LF)의 주파수를 낮추거나, 제 2 고주파 전력(LF)의 전력값을 증가시켜도 된다. 또한, 상기 고주파 전력 인가 공정에서는 제 2 고주파 전력(LF)의 펄스 주기를 변경해도 된다. 제 2 고주파 전력(LF)의 펄스 주기를 변경하는 방법으로서는, 예를 들면 개구의 치수가 작을수록 주기를 짧게 하는(즉, 펄스 주파수를 높이는) 것을 들 수 있다. 즉, 에칭의 중기 및 후기에서는 개구의 치수가 설계 치수에 비해 작아진다.
(제 2 실시 형태에 있어서의 효과)
이상, 제 2 실시 형태에 따르면, 에칭 대상막 상에 형성된 정해진 개구 패턴을 가지는 금속 함유막을 마스크로서 퇴적성을 가지는 처리 가스의 플라즈마에 의해 에칭 대상막을 에칭하고, 처리 가스의 플라즈마가 존재하고 있는 기간에 플라즈마 중의 이온을 인입하기 위한 고주파 전력(즉, 제 2 고주파 전력(LF))을 간헐적으로 인가한다. 즉, 제 2 고주파 전력(LF)이 인가되지 않은 경우에 처리 가스에 따른 퇴적물이 금속 함유막 상에 퇴적되고, 제 2 고주파 전력(LF)이 인가되는 경우에 처리 가스의 플라즈마에 의해 에칭 대상막이 에칭된다. 이에 의해, 처리 가스에 따른 퇴적물에 의해 금속 함유막이 보호된 상태에서 에칭 대상막이 에칭되므로, 금속 함유막으로부터의 금속의 비산이 억제되어, 에칭 대상막에 부착되는 금속에 의해 에칭이 저해되는 사태가 회피된다. 결과로서, 금속 함유 마스크를 이용한 에칭에 의해 형성되는 오목부의 형상을 개선할 수 있다.
또한 상기 제 2 실시 형태에서는, 에칭 공정과 고주파 전력 인가 공정을 실행하는 예를 나타냈지만, 개시 기술은 이에 한정되지 않는다. 예를 들면, 에칭 공정 전에, 정해진 개구 패턴을 가지는 마스크막을 마스크로서, 에칭 대상막 상에 형성된 금속 함유막에 정해진 개구 패턴을 형성하는 패터닝 공정을 더 실행해도 된다. 마스크막은 예를 들면 실리콘 산화막, 실리콘 질화막, SiON막, SiC막 또는 SiOC막이다.
또한, 정해진 개구 패턴을 가지는 금속 함유막 상에 마스크막이 형성되어 있는 경우, 에칭 공정 전에, 마스크막을 마스크로서, 금속 함유막의 상면 중 적어도 일부가 노출될 때까지, 에칭 대상막을 에칭하는 프리 에칭 공정을 더 실행해도 된다. 이 경우, 프리 에칭 공정에 있어서, 마스크막의 에칭 레이트는 에칭 대상막의 에칭 레이트와 동등 이하인 것이 바람직하다. 이에 의해, 마스크막의 하층인 금속 함유막으로부터의 금속의 비산을 가능한 한 억제하는 것이 가능해진다. 프리 에칭 공정에 있어서는, 제 2 고주파 전력(LF)은 연속파여도 되며, 펄스파여도 된다. 제 2 고주파 전력(LF)이 펄스파인 경우, 제 2 고주파 전력(LF)을 ON / OFF 제어해도 되고, 제 2 고주파 전력(LF)을 High값과 Low값 사이에서 변조해도 된다. 또한, 프리 에칭 공정과 에칭 공정 사이에서 듀티비를 변경해도 된다. 듀티비가 변경되는 경우, 프리 에칭 공정의 듀티비가 에칭 공정의 듀티비보다 커도 된다. 즉, 금속 함유막 상에 마스크막이 있는 경우에는, 금속 함유막이 마스크막에 의해 보호되므로, 제 2 고주파 전력(LF)을 인가하는 비율을 크게 해도 된다.
또한 상기 제 2 실시 형태에서는, 에칭 대상막과 정해진 개구 패턴을 가지는 금속 함유막이 차례로 적층된 웨이퍼(W)에 대하여 일련의 처리를 실행하는 예를 나타냈지만, 웨이퍼(W)의 구조는 이에 한정되는 것은 아니다. 예를 들면, 금속 함유막 상에는, 금속 함유막에 정해진 개구 패턴이 패터닝될 시 이용되는 마스크막이 잔존하고 있어도 된다.
또한 상기 제 2 실시 형태에서는, 상부 전극(가스 샤워 헤드(25))에 직류 전압(DC)을 인가해도 된다. 직류 전압(DC)은 음의 직류 전압(DC)이어도 된다. 또한, 상기 제 2 실시 형태에서는 직류 전압(DC)의 연속파를 공급해도 되고, 펄스 형상으로 공급해도 된다. 또한 상기 제 2 실시 형태에 있어서, 다른 펄스파에 대하여 동기하도록 제 2 고주파 전력(LF)을 펄스 형상으로 인가해도 된다. 다른 펄스파는 제 2 고주파 전력의 펄스파(이하 적절히 'LF 펄스'라고 부름)여도 된다. 상기 제 2 실시 형태에서는, 예를 들면 LF 펄스가 인가되어 있지 않을 때 음의 직류 전압(DC)을 공급해도 된다. 예를 들면 LF 펄스가 인가되어 있지 않을 때(즉, 보호막을 형성하는 타이밍에서), 아르곤 등의 희가스의 양이온이 상부 전극을 스퍼터하고, 상부 전극으로부터 방출된 실리콘이 불소를 포함하는 반응종과 반응하여 챔버 밖으로 배출된다. 이에 의해, 불소를 포함하는 반응종이 감소하여 보호막 퇴적 모드가 효과적으로 발현된다. 또한 상기 제 2 실시 형태에 있어서, 직류 전압(DC)의 절대값을 시간적으로 변화시켜도 된다. 예를 들면, 상기 제 2 실시 형태에 있어서, 에칭 공정 시에는 프리 에칭 공정 시보다 절대값이 큰 직류 전압(DC)을 공급해도 된다.
또한 상기 제 2 실시 형태에 있어서, 제 2 고주파 전력(LF)의 듀티비 및 제 2 고주파 전력(LF)의 주파수 중 적어도 하나를 변경해도 된다. 예를 들면, 프리 에칭 공정에 있어서, 어느 주파수를 가지는 제 2 고주파 전력(LF)을 공급하고, 에칭 공정에 있어서는 프리 에칭 공정 시보다 큰 주파수를 가지는 제 2 고주파 전력(LF)을 공급해도 된다.
또한 상기 제 2 실시 형태에서는, 제 1 고주파 전력(HF)을 펄스 형상으로 공급해도 된다. 예를 들면 상기 제 2 실시 형태에서는, 제 1 고주파 전력(HF)을 ON / OFF 제어해도 되고, 제 1 고주파 전력(HF)을 High값과 Low값 사이에서 변조해도 된다. 또한 상기 제 2 실시 형태에서는, 제 1 고주파 전력(HF)의 펄스파와 제 2 고주파 전력(LF)의 펄스파를 동기시켜도 된다. 또한 상기 제 2 실시 형태에서는, 제 1 고주파 전력(HF)의 펄스파와 제 2 고주파 전력(LF)의 펄스파를 동기시키지 않아도 된다.
또한 예를 들면 상기 제 2 실시 형태에서는, 고주파 전력 인가 공정에 있어서, 가스 샤워 헤드(25)에 가변 직류 전원(52)을 접속하여 정해진 직류 전압을 간헐적으로 인가해도 된다. 구체적으로, 제어부(100)는 제 2 고주파 전원(34) 및 가변 직류 전원(52) 중 적어도 하나를 펄스 제어해도 된다. 예를 들면, 제어부(100)는 제 2 고주파 전원(34)과 가변 직류 전원(52)을 제어하여 제 2 고주파 전원(34)이 OFF인 경우에 가변 직류 전원(52)이 ON이 되고, 제 2 고주파 전원(34)이 ON인 경우에 가변 직류 전원(52)이 OFF가 되도록, 정해진 직류 전압을 간헐적으로 인가해도 된다. 제 2 고주파 전원(34)이 OFF인 경우에 가변 직류 전원(52)이 ON이 되면, 가스 샤워 헤드(25)에 대한 이온의 충돌에 의해 가스 샤워 헤드(25)에 포함되는 실리콘의 스퍼터량이 증가하여, 실리콘 함유 퇴적물이 보호막으로서 금속 함유막 상에 퇴적된다. 또한, 가스 샤워 헤드(25)에 대한 이온의 충돌에 의해 방출된 실리콘과 불소를 포함하는 반응종이 반응하여 챔버(10) 밖으로 배출되고, 탄소 함유 퇴적물이 보호막으로서 금속 함유막 상에 퇴적된다. 그 결과, 실리콘 함유 퇴적물 및 탄소 함유 퇴적물에 의해 금속 함유막이 보호되므로, 금속 함유막으로부터의 금속의 비산이 보다 한층 억제된다.
또한 상기 제 2 실시 형태에서는, 고주파 전력 인가 공정에 있어서, 각각의 유량이 일정한 CF계 가스, C 및 O를 함유하는 가스, 희가스 및 O 함유 가스를 포함하는 처리 가스의 플라즈마에 의해 금속 함유막에 보호막을 형성하는 예를 나타냈지만, 개시 기술은 이에 한정되지 않는다. 예를 들면, CF계 가스의 유량을 일정하게 하고, C 및 O를 함유하는 가스(예를 들면, CO)의 유량을 변경해도 된다. CO가 증가하면, CF계 가스 + CO → COF + CF 라디칼로 나타나는 반응이 일어나기 쉬워지므로, C비가 높은 라디칼이 증가하여, 금속 함유막에 대한 라디칼의 부착량이 증가한다. 한편, CO의 유량이 적어지면 또는 0이 되면, C비가 높은 라디칼이 감소하여, 금속 함유막에 대한 라디칼의 부착량이 줄어든다(오목부의 퇴적량이 증가한다). 또한 상기 제 2 실시 형태에서는, 제 2 고주파 전력(LF)의 주파수, 제 2 고주파 전력(LF)의 대소, 직류 전압(DC)의 펄스파의 주기에 따라 CO의 유량을 변경해도 된다. 예를 들면, 제 2 고주파 전력(LF)의 주파수를 낮출 때 제 1 처리 가스 전체에 대한 CO의 유량비를 늘리는 것이 상정된다. 또한, 예를 들면 제 2 고주파 전력(LF)의 전력값을 높일 때 제 1 처리 가스 전체에 대한 CO의 유량비를 늘리는 것이 상정된다. 이에 의해, 금속 함유막이 보다 보호되므로, 고애스펙트비의 에칭을 행할 시 금속 함유막 마스크로부터의 금속의 비산이 보다 억제된다. 또한, 예를 들면 직류 전압(DC)이 High값인 경우에 CO의 유량비를 크게 하면, F 제거 효과가 커져 금속 함유막 상의 퇴적량이 커진다. 직류 전압(DC)이 Low값인 경우에 CO의 유량비를 작게 하면, F 제거 효과가 작아져 오목부의 퇴적량이 커진다. 또한, 상기 제 2 실시 형태에 있어서 C 및 O를 함유하는 가스의 유량을 일정하게 하여, CF계 가스의 유량을 변경해도 된다.
(실험예)
이하에, 제 2 실시 형태에 있어서의 플라즈마 에칭 방법에 대하여 실험예를 들어 더 상세하게 설명한다. 단, 제 2 실시 형태에 있어서의 플라즈마 에칭 방법은 하기의 실험예에 한정되는 것은 아니다.
(비교예 2)
비교예 2에서는, 웨이퍼(W)를 모의한 피처리체에 대하여 에칭 공정을 행했다. 피처리체는 이하의 구조를 가지는 것을 이용했다. 또한, 피처리체는 SiO2막이 형성된 테스트용 기판 상에 배치되고, 테스트용 기판에 있어서의 피처리체의 주위에는 WC의 칩이 배치되었다. 에칭 공정은 이하의 조건을 이용하여 행했다.
(피처리체)
에칭 대상막 : SiO2
금속 함유막 : WC막
마스크막 : SiO2
(에칭 공정)
처리 가스 및 유량 : C4F6 / CO / Ar / O2 = 10 / 300 / 1000 / 8 sccm
압력 : 4.0 Pa(30 mTorr)
제 1 고주파 전력(HF) : 100 W, 연속파
제 2 고주파 전력(LF) : (200) W, 연속파
상부 전극(가스 샤워 헤드(25))에의 직류 전압 : -150 V, 연속파
처리 시간 : 180 초
(실험예 2)
실험예 2에서는, 웨이퍼(W)를 모의한 피처리체에 대하여 에칭 공정을 행하고, 또한 에칭 공정의 실행 중에, 제 2 고주파 전력(LF)을 간헐적으로 인가하는 고주파 전력 인가 공정을 행했다. 에칭 공정(및 고주파 전력 인가 공정)은 이하의 조건을 이용하여 행했다.
(에칭 공정)
처리 가스 및 유량 : C4F6 / CO / Ar / O2 = 10 / 300 / 1000 / 8 sccm
압력 : 4.0 Pa(30 mTorr)
제 1 고주파 전력(HF) : 100 W, 연속파
제 2 고주파 전력(LF) : 1180 W, 펄스파(주파수 : 0.1 kHz, 듀티비 : 17 %)
상부 전극(가스 샤워 헤드(25))에의 직류 전압 : -150 V, 연속파
처리 시간 : 235 초
(비교예 2 및 실험예 2에 대한 처리 결과)
도 6은 비교예 2 및 실험예 2에 대한 처리 결과를 나타내는 도이다. 도 6에서는, 처리 전에 있어서의 피처리체, 비교예 2에 있어서의 에칭 공정을 행한 후의 피처리체, 실험예 2에 있어서의 에칭 공정(및 고주파 전력 인가 공정)을 행한 후의 피처리체에 대하여, 각각, 상면(Top view) 및 단면(X-section)을 확대한 사진의 트레이스도를 나타낸다. 또한, 도 6에서 'Initial'은 처리 전에 있어서의 피처리체를 나타낸다. 'As LF CW etch'는 비교예 2에 있어서의 에칭 공정을 행한 후의 피처리체를 나타낸다. 'As LF pulse etch'는 실험예 2에 있어서의 에칭 공정(및 고주파 전력 인가 공정)을 행한 후의 피처리체를 나타낸다.
또한, 도 6에서 'Top CD'는 형성된 오목부의 개구의 폭을 나타내고, 'CD bias'는 오목부의 개구의 폭의 축소량을 나타낸다.
도 6에 나타내는 바와 같이, 에칭 공정의 실행 중에 제 2 고주파 전력(LF)을 연속적으로 인가한 비교예 2와 비교하여, 에칭 공정의 실행 중에 제 2 고주파 전력(LF)을 간헐적으로 인가한 실험예 2에서는, 오목부의 개구의 폭의 축소량이 작아졌다. 또한, 비교예 2와 비교하여 실험예 2에서는, 오목부의 측벽의 각도를 보다 수직에 근접시키는 것이 가능해졌다.
이상의 각 실시 형태에 관하여, 이하의 부기를 더 개시한다.
(부기 1)
에칭 대상막 상에 형성된 정해진 개구 패턴을 가지는 금속 함유막에 대하여, 제 1 처리 가스에 의해 보호막을 형성하는 보호막 형성 공정과,
상기 보호막이 형성된 상기 금속 함유막을 마스크로서, 제 2 처리 가스의 플라즈마에 의해 상기 에칭 대상막을 에칭하는 에칭 공정을 포함하는 것을 특징으로 하는 플라즈마 에칭 방법.
(부기 2)
상기 보호막 형성 공정과 상기 에칭 공정은 적어도 2 회 이상 교호로 반복되는 것을 특징으로 하는 부기 1에 기재된 플라즈마 에칭 방법.
(부기 3)
정해진 개구 패턴을 가지는 상기 금속 함유막 상에는 마스크막이 형성되어 있고,
상기 보호막 형성 공정 전에, 상기 마스크막을 마스크로서, 상기 금속 함유막의 상면 중 적어도 일부가 노출될 때까지, 상기 에칭 대상막을 에칭하는 프리 에칭 공정을 더 포함하는 부기 1에 기재된 플라즈마 에칭 방법.
(부기 4)
상기 보호막 형성 공정 전에, 정해진 개구 패턴을 가지는 마스크막을 마스크로서, 상기 에칭 대상막 상에 형성된 금속 함유막에 상기 정해진 개구 패턴을 패터닝하는 패터닝 공정을 더 포함하는 것을 특징으로 하는 부기 1 또는 2에 기재된 플라즈마 에칭 방법.
(부기 5)
상기 프리 에칭 공정에 있어서, 상기 마스크막의 에칭 레이트는 상기 에칭 대상막의 에칭 레이트보다 낮은 것을 특징으로 하는 부기 3에 기재된 플라즈마 에칭 방법.
(부기 6)
상기 에칭 대상막은 실리콘 산화막, Low-k막 또는 실리콘 질화막이며,
상기 제 1 처리 가스는 C4F6, C4F8, C5F8, CHF3, CH2F2 또는 CH4를 포함하고,
상기 제 2 처리 가스는 CF4 또는 C2F6를 포함하는 것을 특징으로 하는 부기 1 ~ 5 중 어느 한 항에 기재된 플라즈마 에칭 방법.
(부기 7)
상기 에칭 대상막은 폴리 실리콘막이며,
상기 제 1 처리 가스는 C4F6, C4F8, C5F8, CHF3, CH2F2 또는 CH4를 포함하고,
상기 제 2 처리 가스는 Cl2 또는 NF3를 포함하는 것을 특징으로 하는 부기 1 ~ 5 중 어느 한 항에 기재된 플라즈마 에칭 방법.
(부기 8)
에칭 대상막 상에 형성된 정해진 개구 패턴을 가지는 금속 함유막을 마스크로서, 퇴적성을 가지는 처리 가스의 플라즈마에 의해 상기 에칭 대상막을 에칭하는 에칭 공정과,
상기 처리 가스의 플라즈마가 생성되고 있는 기간에, 상기 플라즈마 중의 이온을 인입하기 위한 고주파 전력을 간헐적으로 인가하는 고주파 전력 인가 공정
을 포함하는 것을 특징으로 하는 플라즈마 에칭 방법.
(부기 9)
정해진 개구 패턴을 가지는 상기 금속 함유막 상에는 마스크막이 형성되어 있고,
상기 에칭 공정 전에, 상기 마스크막을 마스크로서, 상기 금속 함유막의 상면 중 적어도 일부가 노출될 때까지, 상기 에칭 대상막을 에칭하는 프리 에칭 공정을 더 포함하는 부기 8에 기재된 플라즈마 에칭 방법.
(부기 10)
상기 에칭 공정 전에, 정해진 개구 패턴을 가지는 마스크막을 마스크로서, 상기 에칭 대상막 상에 형성된 금속 함유막에 상기 정해진 개구 패턴을 패터닝하는 패터닝 공정을 더 포함하는 것을 특징으로 하는 부기 8에 기재된 플라즈마 에칭 방법.
(부기 11)
상기 프리 에칭 공정에 있어서, 상기 마스크막의 에칭 레이트는 상기 에칭 대상막의 에칭 레이트보다 낮은 것을 특징으로 하는 부기 9에 기재된 플라즈마 에칭 방법.
(부기 12)
상기 에칭 대상막은 실리콘 산화막, Low-k막 또는 실리콘 질화막이며,
상기 처리 가스는 C4F6, C4F8, C5F8, CHF3, CH2F2를 포함하는 것을 특징으로 하는 부기 8 ~ 11 중 어느 한 항에 기재된 플라즈마 에칭 방법.
(부기 13)
상기 에칭 대상막은 폴리 실리콘막이며,
상기 처리 가스는 HBr를 포함하는 것을 특징으로 하는 부기 8 ~ 11 중 어느 한 항에 기재된 플라즈마 에칭 방법.
(부기 14)
상기 마스크막은 실리콘 산화막, 실리콘 질화막, SiON막, SiC막 또는 SiOC막인 것을 특징으로 하는 부기 3 ~ 5, 9 ~ 11 중 어느 한 항에 기재된 플라즈마 에칭 방법.
(부기 15)
상기 금속 함유막은 WC막 또는 TiN막인 것을 특징으로 하는 부기 1 ~ 14 중 어느 한 항에 기재된 플라즈마 에칭 방법.
(부기 16)
에칭 대상막과, 정해진 개구 패턴을 가지는 금속 함유막이 차례로 적층된 피처리체에 대하여 플라즈마 에칭 처리를 행하기 위한 챔버와,
상기 챔버 내를 감압하기 위한 배기부와,
상기 챔버 내에 처리 가스를 공급하기 위한 가스 공급부와,
상기 금속 함유막에 대하여, 제 1 처리 가스에 의해 보호막을 형성하는 보호막 형성 공정과,
상기 보호막이 형성된 상기 금속 함유막을 마스크로서, 제 2 처리 가스의 플라즈마에 의해 상기 에칭 대상막을 에칭하는 제 1 에칭 공정을 실행하는 제어부
를 가지는 것을 특징으로 하는 플라즈마 에칭 장치.
(부기 17)
에칭 대상막과, 정해진 개구 패턴을 가지는 금속 함유막이 차례로 적층된 피처리체에 대하여 플라즈마 에칭 처리를 행하기 위한 챔버와,
상기 챔버 내를 감압하기 위한 배기부와,
상기 챔버 내에 처리 가스를 공급하기 위한 가스 공급부와,
상기 금속 함유막을 마스크로서, 퇴적성을 가지는 처리 가스의 플라즈마에 의해 상기 에칭 대상막을 에칭하는 제 2 에칭 공정과, 상기 에칭 대상막이 에칭되고 있는 기간에, 상기 플라즈마 중의 이온을 인입하기 위한 바이어스 전력을 간헐적으로 인가하는 바이어스 전력 인가 공정을 실행하는 제어부
를 가지는 것을 특징으로 하는 플라즈마 에칭 장치.
1 : 플라즈마 에칭 장치
10 : 챔버
15 : 가스 공급원
20 : 배치대
25 : 가스 샤워 헤드
32 : 제 1 고주파 전원
34 : 제 2 고주파 전원
52 : 가변 직류 전원
65 : 배기 장치
100 : 제어부

Claims (8)

  1. 에칭 대상막 상에 형성된 정해진 개구 패턴을 가지는 금속 함유막에 대하여, 제 1 처리 가스에 의해 보호막을 형성하는 보호막 형성 공정과,
    상기 보호막이 형성된 상기 금속 함유막을 마스크로서, 제 2 처리 가스의 플라즈마에 의해 상기 에칭 대상막을 에칭하는 에칭 공정
    을 포함하는 플라즈마 에칭 방법.
  2. 제 1 항에 있어서,
    상기 보호막 형성 공정과 상기 에칭 공정은 적어도 2 회 이상 교호로 반복되는 플라즈마 에칭 방법.
  3. 제 1 항에 있어서,
    정해진 개구 패턴을 가지는 상기 금속 함유막 상에는 마스크막이 형성되어 있고,
    상기 보호막 형성 공정 전에, 상기 마스크막을 마스크로서, 상기 금속 함유막의 상면 중 적어도 일부가 노출될 때까지 상기 에칭 대상막을 에칭하는 프리 에칭 공정을 더 포함하는 플라즈마 에칭 방법.
  4. 제 1 항 또는 제 2 항에 있어서,
    상기 보호막 형성 공정 전에, 정해진 개구 패턴을 가지는 마스크막을 마스크로서, 상기 에칭 대상막 상에 형성된 금속 함유막에 상기 정해진 개구 패턴을 패터닝하는 패터닝 공정을 더 포함하는 플라즈마 에칭 방법.
  5. 에칭 대상막 상에 형성된 정해진 개구 패턴을 가지는 금속 함유막을 마스크로서, 처리 가스의 플라즈마에 의해 상기 에칭 대상막을 에칭하는 에칭 공정과,
    상기 처리 가스의 플라즈마가 생성되고 있는 기간에, 상기 플라즈마 중의 이온을 인입하기 위한 고주파 전력을 간헐적으로 인가하는 고주파 전력 인가 공정
    을 포함하는 플라즈마 에칭 방법.
  6. 제 5 항에 있어서,
    정해진 개구 패턴을 가지는 상기 금속 함유막 상에는 마스크막이 형성되어 있고,
    상기 에칭 공정 전에, 상기 마스크막을 마스크로서, 상기 금속 함유막의 상면 중 적어도 일부가 노출될 때까지 상기 에칭 대상막을 에칭하는 프리 에칭 공정을 더 포함하는 플라즈마 에칭 방법.
  7. 제 5 항에 있어서,
    상기 에칭 공정 전에, 정해진 개구 패턴을 가지는 마스크막을 마스크로서, 상기 에칭 대상막 상에 형성된 금속 함유막에 상기 정해진 개구 패턴을 패터닝하는 패터닝 공정을 더 포함하는 플라즈마 에칭 방법.
  8. 제 3 항 또는 제 6 항에 있어서,
    상기 프리 에칭 공정에 있어서, 상기 마스크막의 에칭 레이트는 상기 에칭 대상막의 에칭 레이트보다 낮은 플라즈마 에칭 방법.
KR1020180131093A 2017-11-07 2018-10-30 플라즈마 에칭 방법 KR20190051817A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JPJP-P-2017-214313 2017-11-07
JP2017214313A JP6833657B2 (ja) 2017-11-07 2017-11-07 基板をプラズマエッチングする方法

Publications (1)

Publication Number Publication Date
KR20190051817A true KR20190051817A (ko) 2019-05-15

Family

ID=66328877

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020180131093A KR20190051817A (ko) 2017-11-07 2018-10-30 플라즈마 에칭 방법

Country Status (5)

Country Link
US (2) US10854470B2 (ko)
JP (1) JP6833657B2 (ko)
KR (1) KR20190051817A (ko)
CN (2) CN109755123B (ko)
TW (1) TWI789449B (ko)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7308110B2 (ja) * 2019-09-17 2023-07-13 東京エレクトロン株式会社 シリコン酸化膜をエッチングする方法及びプラズマ処理装置
GB201919220D0 (en) * 2019-12-23 2020-02-05 Spts Technologies Ltd Method of plasma etching
CN111739795B (zh) * 2020-06-24 2023-08-18 北京北方华创微电子装备有限公司 刻蚀方法
CN117223091A (zh) * 2022-04-11 2023-12-12 株式会社日立高新技术 等离子处理方法
CN117546276A (zh) * 2022-04-18 2024-02-09 株式会社日立高新技术 等离子体处理方法

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003282539A (ja) 2002-03-25 2003-10-03 Tokyo Electron Ltd プラズマエッチング方法
JP2017098323A (ja) 2015-11-19 2017-06-01 東京エレクトロン株式会社 プラズマエッチング方法

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4153606B2 (ja) * 1998-10-22 2008-09-24 東京エレクトロン株式会社 プラズマエッチング方法およびプラズマエッチング装置
US6942813B2 (en) * 2003-03-05 2005-09-13 Applied Materials, Inc. Method of etching magnetic and ferroelectric materials using a pulsed bias source
JP2012142495A (ja) * 2011-01-05 2012-07-26 Ulvac Japan Ltd プラズマエッチング方法及びプラズマエッチング装置
JP6127535B2 (ja) * 2012-02-03 2017-05-17 大日本印刷株式会社 ナノインプリント用テンプレートの製造方法
JP2014225501A (ja) * 2013-05-15 2014-12-04 東京エレクトロン株式会社 プラズマエッチング方法及びプラズマエッチング装置
JP6185305B2 (ja) * 2013-06-28 2017-08-23 東京エレクトロン株式会社 プラズマエッチング方法およびプラズマエッチング装置
JP6512962B2 (ja) * 2014-09-17 2019-05-15 東京エレクトロン株式会社 プラズマ処理装置
US9806252B2 (en) * 2015-04-20 2017-10-31 Lam Research Corporation Dry plasma etch method to pattern MRAM stack
US9543148B1 (en) * 2015-09-01 2017-01-10 Lam Research Corporation Mask shrink layer for high aspect ratio dielectric etch
US9824896B2 (en) * 2015-11-04 2017-11-21 Lam Research Corporation Methods and systems for advanced ion control for etching processes
US20170178899A1 (en) * 2015-12-18 2017-06-22 Lam Research Corporation Directional deposition on patterned structures
US9991128B2 (en) * 2016-02-05 2018-06-05 Lam Research Corporation Atomic layer etching in continuous plasma
US10629435B2 (en) * 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US10128116B2 (en) * 2016-10-17 2018-11-13 Lam Research Corporation Integrated direct dielectric and metal deposition
US11062897B2 (en) * 2017-06-09 2021-07-13 Lam Research Corporation Metal doped carbon based hard mask removal in semiconductor fabrication

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003282539A (ja) 2002-03-25 2003-10-03 Tokyo Electron Ltd プラズマエッチング方法
JP2017098323A (ja) 2015-11-19 2017-06-01 東京エレクトロン株式会社 プラズマエッチング方法

Also Published As

Publication number Publication date
TW201923900A (zh) 2019-06-16
CN109755123A (zh) 2019-05-14
JP6833657B2 (ja) 2021-02-24
TWI789449B (zh) 2023-01-11
US20210050222A1 (en) 2021-02-18
US10854470B2 (en) 2020-12-01
US20190139781A1 (en) 2019-05-09
JP2019087626A (ja) 2019-06-06
CN116705602A (zh) 2023-09-05
CN109755123B (zh) 2023-08-11

Similar Documents

Publication Publication Date Title
TWI723049B (zh) 原子層次解析度與電漿處理控制的方法
US10692729B2 (en) Etching process method
TWI450328B (zh) Plasma etch methods and computer-readable memory media
TWI610363B (zh) 被處理體之處理方法
KR20190026589A (ko) 에칭 방법
KR20190051817A (ko) 플라즈마 에칭 방법
KR101223819B1 (ko) 플라즈마 에칭 방법 및 플라즈마 에칭 장치
TWI584374B (zh) Plasma etching method and plasma etching device
US20140342569A1 (en) Near surface etch selectivity enhancement
TWI665726B (zh) 電漿蝕刻方法及電漿蝕刻裝置
TWI618145B (zh) 電漿蝕刻方法及電漿蝕刻裝置
US20150279687A1 (en) Halogen-free gas-phase silicon etch
KR20160129769A (ko) 에칭 방법 및 에칭 장치
KR20150033570A (ko) 피처리체를 플라즈마 처리하는 방법
KR102663567B1 (ko) 플라즈마 에칭 방법
TWI766866B (zh) 蝕刻方法
US20220165579A1 (en) Plasma processing method and plasma processing apparatus
TWI685014B (zh) 蝕刻方法及蝕刻裝置
JP2016086046A (ja) プラズマ処理方法
JP7418632B2 (ja) プラズマ処理装置
JP2023531203A (ja) 希ガスによる極低温原子層エッチング
US11328909B2 (en) Chamber conditioning and removal processes
JP7190940B2 (ja) 基板処理方法及び基板処理装置
JP2015106587A (ja) 静電チャックのコーティング方法及びプラズマ処理装置

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E90F Notification of reason for final refusal