TWI450328B - Plasma etch methods and computer-readable memory media - Google Patents

Plasma etch methods and computer-readable memory media Download PDF

Info

Publication number
TWI450328B
TWI450328B TW095149252A TW95149252A TWI450328B TW I450328 B TWI450328 B TW I450328B TW 095149252 A TW095149252 A TW 095149252A TW 95149252 A TW95149252 A TW 95149252A TW I450328 B TWI450328 B TW I450328B
Authority
TW
Taiwan
Prior art keywords
plasma
voltage
plasma etching
electrode
upper electrode
Prior art date
Application number
TW095149252A
Other languages
English (en)
Other versions
TW200739719A (en
Inventor
Manabu Sato
Yoshiki Igarashi
Yoshimitsu Kon
Masanobu Honda
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of TW200739719A publication Critical patent/TW200739719A/zh
Application granted granted Critical
Publication of TWI450328B publication Critical patent/TWI450328B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)

Description

電漿蝕刻方法及電腦可讀取之記憶媒體
本發明是關於對半導體基板等之被處理基板施予電漿蝕刻之電漿蝕刻方法,及電腦可讀取之記憶媒體。
例如,在半導體裝置之製造過程中,為了在被形成於被處理基板之半導體晶圓上的特定層上形成特定圖案,多使用將光阻當作罩幕藉由電漿予以蝕刻之電漿蝕刻處理。
當作用以執行如此電漿蝕刻之電漿蝕刻裝置,雖然使用各種裝置,但是其中以電容耦合型平行平板電漿處理裝置為主流。
電容耦合型平行平板電漿蝕刻裝置是在反應室內配置一對平行平板電極(上部及下部電極),將處理氣體導入至反應室內,並且對電極之一方施加高頻而在電極間形成高頻電場,藉由該高頻電場,形成處理氣體之電漿而對半導體晶圓之特定層施予電漿蝕刻。
具體而言,所知的有藉由對上部電極施加電漿形成用之高頻而形成電漿,並對下部電極施加引入離子用之高頻,形成適當之電漿狀態的電漿蝕刻裝置,依此可以高選擇比執行再現性高之蝕刻處理(例如,參照專利文獻1)。
使用如此之電容耦合型平行板電漿蝕刻裝置,將無機系材料膜當作罩幕蝕刻有機系材料膜之時,當作用以執行高蝕刻率並且相對於無機系材料膜為高蝕刻選擇比之蝕刻 的手法,所知的有將施加於下部電極之高頻電力之頻率設為50至150MHz(例如專利文獻2)。
但是,即使為專利文獻2所揭示之技術中,為了實現有機系材料膜之更高蝕刻率,當對下部電極施加高的高頻電力時,則產生無機系材料之肩部損耗(Shoulder Loss),有可能無法實現高蝕刻選擇比。
〔專利文獻1〕日本特開2000-173993號公報
〔專利文獻2〕日本特開2003-234331號公報
本發明是鑒於如此之事情所創作出者,其目的為提供一種於使用含有矽之罩幕蝕刻被處理基板上之有機膜或是非晶碳膜之時,可以執行高蝕刻率並且高蝕刻選擇比之蝕刻的電漿蝕刻方法。
為了解決上述課題,本發明之第1觀點是提供一種電漿蝕刻方法,是屬於使用電漿蝕刻裝置之被處理基板的電漿蝕刻方法,該電漿蝕刻裝置具備:收容被處理基板,可真空排氣之處理容器;在處理容器內相向被配置之第1電極及支撐被處理基板之第2電極;對上述第2電極施加相對性頻率高之第1高頻電力的第1高頻電力施加單元;對上述第2電極施加相對性頻率低之第2高頻電力的第2高頻電力 施加單元;對上述第1電極施加直流電壓之直流電源;和將處理氣體供給至上述處理容器內之處理氣體供給單元,其特徵為:於使用含有矽之罩幕蝕刻上述被處理基板上之有機膜或是非晶碳膜之時,對上述第2電極施加上述第1高頻電力及第2高頻電力,使自上述處理氣體供給單元吐出之不含有CF系氣體的處理氣體予以電漿化,並且對上述第1電極施加直流電壓,藉此執行上述被處理基板之電漿蝕刻。
此時,可以使用O2 ;O2 、N2 之組合;O2 、N2 、CO之組合;O2 、CO之組合;O2 、CO2 之組合;O2 、CH4 之組合;及O2 、NH3 之組合中之任一者,當作不含有CF系氣體之處理氣體。再者,來自上述直流電源之直流電壓值是以-100V至-1500V之範圍為佳,-100V至-1000V為更佳,-100V至-600V為最佳。再者,上述第1電極相對於接地電位為直流性浮動狀態為佳。
本發明之第2觀點是提供一種電腦可讀取之記憶媒體,是屬於記憶有在電腦上動作之控制程式的電腦記憶媒體,其特徵為:上述控制程式於實行時,以執行上述第1觀點所記載之電漿蝕刻方法之方式,控制電漿處理裝置。
若藉由本發明,於使用包含矽之罩幕,蝕刻被處理基板上之有機膜或是非晶碳膜之時,可以執行高蝕刻率並且高蝕刻選擇比之蝕刻。
以下,參照附件圖式,針對本發明之形態具體說明。
第1圖是表示本發明之一實施形態所涉及之電漿蝕刻裝置之概略剖面圖。
即是,屬於自第1高頻電源88將電漿生成用之例如40MHz之高頻(RF)電力施加至屬於下部電極之承載器(Susceptor)16,並且自第2高頻電源90施加引入離子用之例如2MHz之高頻(RF)電力的下部RF2頻率施加型之電漿蝕刻裝置,如圖示般,為將可變直電源流50連接於上部電極34,施加特定直流(DC)電壓之電漿蝕刻裝置。針對該電漿蝕刻裝置,使用第2圖更詳細說明。
該電漿蝕刻裝置是構成電容耦合型平行平板電漿蝕刻裝置,例如由表面被陽極氧化處理之鋁所構成之略圓筒狀之反應室(處理容器)10。該反應室10是安全接地。
在反應室10之底部經由陶瓷等所構成之絕緣板12配置有圓柱狀之承載器支撐台14,在該承載器支撐台14上設置有由鋁所構成之承載器16。承載器16是構成下部電極,在該上面載置有屬於被處理基板之半導體晶圓W。
在承載器16之上面,設置有以靜電力吸附保持半導體晶圓W之靜電夾具18。該靜電夾具18是以一對絕緣層或是絕緣薄片夾著由導電膜所構成之電極20之構成,於電極20電性連接有直流電源22。然後,藉由來自直流電流22之直流電壓所產生之庫倫力等之靜電力,於靜電夾具18吸附保 持半導體晶圓W。
在靜電夾具18(半導體晶圓W)之周圍,承載器16之上面,配置有用以使蝕刻之均勻性提升之例如由矽所構成之導電性之聚焦環(補正環)24。在承載器16及承載器支撐台14之側面,設置有例如由石英所構成之圓筒狀之內壁構件26。
在承載器支撐台14之內部例如於圓周上設置有冷煤室28。在該冷煤室由設置在外部之無圖式的冷卻單元經配管30a、30b而循環供給特定溫度之冷煤,例如冷卻水,藉由冷煤之溫度可以控制承載器上之半導體晶圓W之處理溫度。
並且經由氣體供給管線32將來自無圖式之傳熱氣體供給機構之傳熱氣體供給至靜電夾具18之上面和半導體晶圓W之背面之間。
在屬於下部電極之承載器16之上方,以與承載器16相向之方式,平行設置有上部電極34。然後,上部及下部電極34、16間之空間成為電漿生成空間。上部電極34是形成與屬於下部電極之承載器16上之半導體晶圓W相向而和電漿生成空間相接之面,即是對向面。
該上部電極34是藉由經由絕緣性遮蔽構件42,被支持於反應室10之上部,構成與承載器16之對向面,並且具有多數吐出孔37之電極板36;拆裝自如支撐該電極板36,由導電性材料例如表面被陽極氧化處理之鋁所構成之水冷構造之電極支撐體38所構成。電極板36是以焦耳熱少之低電 阻的導電體或是半導體為佳,再者,如後述般,由強化光阻之觀點來看,以含有矽物質為佳。由如此之觀點來看,電極板36是由矽或SiC構成為佳。在電極支撐體38之內部設置氣體擴散室40,自該氣體擴散室40貫通於氣體吐出孔37之多數氣體通流孔41是沿著下方。
在電極支撐體38形成有導引處理氣體至氣體擴散室40之氣體導入口62。在該氣體導入口62連接有氣體供給管64,氣體供給管64連接有處理氣體供給源66。在氣體供給管64由上流側順序設置有質量流量控制器(MFC)68及開關閥70。然後,自處理氣體供給源66,當作用以蝕刻之處理氣體的例如C4 F8 氣體般之氟碳(Floro Carbon)氣體(Cx Fy ),從氣體供給管64到達至氣體擴散室,經由氣體通流孔41及氣體吐出孔37噴淋狀被吐出至電漿生成空間。即是,上部電極34是當作用以供給處理氣體之噴淋頭而發揮功能。
上述上部電極34是經低通過濾器(LPF)48電性連接有可變直流電源50。可變直流電源50即使為雙極電源亦可。該可變直流電源50是可藉由接通/斷開開關52使供電接通/斷開。可變直流電源50之極性及電流、電壓以及接通/斷開開關50之接通/斷開是藉由控制器(控制裝置)51被控制。
低通過濾器(LPF)48為後述截流來自第1及第2高頻電源之高頻波,最佳為由LR過濾器或是LC過濾器所構成。
以自反應室10之側壁延伸於比上部電極34之高度位置更上方之方式,設置有圓筒狀之接地導體10a。該圓筒狀接地導體10a在該上部具有天壁。
在屬於下部電極之承載器16,經整合器87電性連接第1高頻電源88,再者,經整合器89連接有第2高頻電源90。第1高頻電源88是輸出27MHz以上之頻率,例如40MHz之高頻電力。第2高頻電源90是輸出13.56MHz以下之頻率,例如2MHz之高頻電力。
整合器87、89分別用以使第1及第2高頻電源88、89之內部(或是輸出)阻抗整合負荷阻抗者,於在反應室10內生成電漿時,發揮使第1及第2高頻電源88、90之內部阻抗和負荷阻抗外觀上為一致之功能。
反應室10之底部室設置有排氣口80,在該排氣口80經由排氣管82連接有排氣裝置84,可將反應室10內減壓至所欲之真空度。再者,在反應室10之側壁設置有半導體晶圓W之搬入搬出口85,該搬入搬出口85是藉由閘閥86可開關。再者,拆裝自如地設置有用以防止沿著反應室10之內壁蝕刻副產物(附著物)之附著物屏障11。即是,附著物屏障11構成反應室壁。再者,附著物屏障11也設置在內壁構件26之外圍。在反應室10之底部之反應室壁側之附著物屏障11和內壁構件26側之附著物屏障11之間,設置有排氣板83。可以適合使用將Y2 O3 等之陶瓷被覆在鋁材當作附著物屏障11及排氣板83。
在與構成附著物屏障11之反應室內壁之部份的晶圓W 幾乎相同高度之部份,設置有DC性連接於地面之導電性構件(GND區塊)91,藉由此發揮後述般之異常放電防止效果。
電漿蝕刻裝置之各構成部,是成為連接於控制部(全體控制裝置)95而被控制之構成。再者,控制部95連接有工程管理者為了管理電漿蝕刻裝置而執行指令輸入操作等之鍵盤,或使電漿處理裝置之運轉情形可視化而予以顯示之顯示器等所構成之使用者介面96。
並且,控制部95是連接有儲存以控制部95之控制實現在電漿蝕刻裝置中所實行之各種處理的控制程式,或因應處理條件使電漿蝕刻裝置之各構成部實行處理之程式,即是配方之記憶部97。配方即使記憶於半導體記憶體中亦可,即使成為在被收容於CDROM、DVD等之可移動性之藉由電腦可讀取之記憶媒體的狀態下,設置在記憶部97之特定位置亦可。
然後,因應所需,藉由利用來自使用者介面96之指示等,自記憶部97叫出任意之配方而使控制部95實行,在控制部95之控制下,執行電漿蝕刻裝置之所欲處理。並且,在本發明之實施形態中所敘述之電漿處理裝置(電漿蝕刻裝置),是成為含有該控制部95。
如此所構成之電漿裝置中,於執行蝕刻處理時,首先,使閘閥86成為打開狀態,將由搬入搬出口85將屬於蝕刻對象之半導體晶圓W搬入至反應室10內,並載置在承載器16上。然後,自處理氣體供給源66將蝕刻用之處理氣體以 特定流量供給至氣體擴散室40,經由氣體流通孔41及氣體吐出孔37而供給至反應室10內,並且藉由排氣裝置84將反應室10內予以排氣,將其中之壓力設為0.1至150Pa之範圍內的設定值。在此,可以採用以往所使用之各種氣體當作處理氣體,例如可以適合使用如C4 F8 般之氟碳(Floro Carbon)氣體(Cx Fy )所代表之含有鹵元素之氣體。並且,即使含有Ar氣體或O2 氣體等之其他氣體亦可。
如此一來在反應室內10內導入蝕刻氣體之狀態下,以特定功率自第1高頻電源88施加電漿生成用之高頻電力至屬於下部電極之承載器16,並且藉由第2高頻電源90以特定功率施加引入離子用之高頻電力。然後,自可變直流電源50將特定之直流電壓施加至上部電極34。並且,自靜電夾具18用之直流電源22施加直流電壓至靜電夾18之電極22,將半導體晶圓W固定至承載器16。
自被形成在上部電極34之電極板36之氣體吐出孔37所吐出之處理氣體,是在藉由高頻電力所產生之上部電極34和屬於下部電極之承載器16間之輝光放電中電漿化,藉由以該電漿所生成之自由基或離子,蝕刻半導體晶圓W之被處理面。
在該電漿蝕刻裝置中,因自第1高頻電源供給高頻率區域(例如,10MHz以上)之高頻電力至屬於下部電極之承載器16,故可以最佳狀態使電漿予以高密度化,即使在更低壓之條件下,亦可以形成高密度電漿。
在本實施形態中,如此形成電漿之時,則自可變直流 電源50施加特定極性及大小之直流電壓至上部電極34。此時,以對屬於施加電極之上部電極34之表面即是對電極板36之表面取得特定(適當)之濺鍍效果之程度,該表面的自給偏流電壓Vdc變深之方式,即是上部電極34表面之Vdc之絕對值變大之方式,藉由控制器51控制來自可變直流電源50之施加電壓為佳。於自第1高頻電源88施加高頻而生成電漿之時,雖然在上部電極34附著聚合物,但是藉由自可變直流電源50施加適當直流電壓,則可以濺鍍附著於上部電極34之聚合物而使上部電極34之表面清淨化。並且,將最適量之聚合物供給至半導體晶圓W上而可以解除光阻膜之表面粗糙。再者,調整來自可變直流電源50之電壓而濺鍍上部電極34本體而將電極材料本體供給至半導體晶圓W表面,依此形成電漿中之F比減少,光阻膜則難以被蝕刻。電極板36為矽或SiC等之含有矽物質之時,在電極板36表面被濺鍍之矽是與聚合物反應而在光阻膜表面形成SiC,成為光阻膜極強固者,而且Si因與F容易反應,上述效果特別大。因此,以含有矽物質當作電極板3之材料為佳。並且,此時,即使控制施加電流或施加電壓,來取代控制來自可變直流電源50之施加電壓亦可。
如此,於將直流電壓施加至上部電極34,自給偏流電壓Vdc變深之時,則如第3圖所示般,形成在上部電極34側之電漿外皮之厚度變大。然後,當電漿外皮變厚時,僅該部份使電漿縮小化。例如,於對上部電極34不施加直流電壓之時,上部電極側之Vdc例如為-100V,如第3圖(a)所 示般,電漿為具有薄外皮厚do之狀態。但是,當對上部電極34施加-900V之直流電壓時,上部電極側之Vdc則成為-90V,電漿外皮之厚度由於與Vdc之絕對值之3/4呈比例,故如第3圖之(b)所示般,形成更厚之電漿外皮d1,該部份電漿則縮小化。如此形成厚電漿外皮,適當使電漿縮小化,依此減少半導體晶圓W上之時效留駐時間,並且電漿集中於晶圓W上,抑制擴散,減少解離空間。依此,抑制來自可變直流電源50之施加電壓,是以藉由控制器51,控制成上部電極34之電漿外皮厚度變成形成被期許縮小化之電漿左右的厚度為佳。於此時,即使控制施加電流或是施加電力,以取代來自可變直流電源50之施加電壓亦可。
再者,於形成電漿之時,在上部電極34附近生成電子。當自可變直流電源50施加直流電壓至上部電極34之時,藉由所施加之直流電壓值和電漿電位之電位差,電子是朝處理空間之垂直方向加速。藉由將可變直流電源50之極性、電壓值、電流值設成所期待者,電子則被照射至半導體晶圓W上。被照射之電子是使當作罩幕之光阻膜組成變質,光阻膜則被強化。因此,藉由可變直流電源50之施加電壓值及施加電流值,控制在上部電極34附近所生成之電子量,和如此電子之朝晶圓W加速電壓,依此則可以對光阻膜達成特定強化。
尤其,半導體晶圓W上之光阻膜為ArF準分子雷射(波長193nm)用之光阻膜(以下,記載為ArF光阻膜)之時,ArF光阻膜之聚合物構造,則經由以下化學式(1)、( 2)所示之反應,照射電子而成為化學式(3)之右邊的構造。即是,當照射電子時,則如化學式(3)之d部所示般,引起ArF光阻膜之組成的變質(光阻之架橋反應)。該d部因具有使蝕刻耐性(電漿耐性)非常增強之動作,故ArF光阻膜之蝕刻耐性跳躍性增大。因此,可以抑制ArF光阻膜之表面粗糙,可以對ArF光阻膜提高蝕刻對象層之蝕刻選擇比。
因此,來自可變直流電源50之施加電壓值、電流值,是以藉由控制器51控制成藉由照射電子,來增強光阻膜(尤其ArF光阻膜)之蝕刻耐性為佳。
再者,如上述般,當對上部電極34施加直流電壓時,雖然於形成電漿時,生成在上部電極34附近之電子朝處理空間之垂直方向加速,但是藉由將可變直流電源50之極性、電壓值、電流值設為所欲者,則可以使電子到達半導體晶圓W之孔內,可以抑制陰影效果,取得無溝壁內凹之良好加工形狀。
於使用藉由直流電壓所產生之電子流量IDC ,當作控制加速電壓之電子射入至晶圓W之電子量之時,當設為自電漿射入至晶圓的離子電流量Iion時,則以滿足IDC >(1/2)Iion為佳。Iion=Z ρ Vione(在此,Z:荷數,ρ:流速密度,Vion:離子速度,e:電子之電荷量1.6×10-19 C),ρ由於與電子密度Ne成比例,故Iion與Ne成比例。
如此一來,控制施加於上部電極34之直流電壓,發揮上述上部電極34之濺鍍功能或是電漿之縮小化功能,並且發揮在上述上部電極34所生成之多量電子之對半導體體晶圓W供給的功能,依此謀求強化光阻膜、供給最佳聚合物或抑制處理氣體之解離等,可以抑制光阻之表面粗糙,並可以對光阻膜提高蝕刻對象層之蝕刻選擇比,還有可以抑制光阻之開口部中的CD擴展,並可以實現更高精度之圖案形成。尤其,藉由控制直流電壓,使該些濺鍍功能及電漿 縮小化功能及電子供給功能之3個適當發揮,則可以更提高如此之效果。
並且,上述各功能中之任一者如何產生優勢皆依處理條件等不同而所不同,以發揮該些功能之一個以上,有效發揮上述效果之方式,藉由控制器51控制自可變質流電源50所施加之電壓為佳。
再者,藉由調整施加至上部電極34之直流電壓,則可以控制電漿電位。依此,具有抑制蝕刻副產物附著至構成上部電極34或反應室壁之附著物屏障11、內壁構件26、絕緣性遮蔽構件42之功能。
當蝕刻物副產物附著於構成上部電極34或構成反應室壁的附著物屏障11等時,則必須擔心製程特性變化或顆粒之問題。尤其,連續多層膜予以蝕刻時,連將順序在半導體晶圓W疊層Si系有機膜(SiOC)、SiN膜、SiO2 膜、光阻的多層膜而予以蝕刻時,由於各膜蝕刻條件不同,則產生殘留上一次處理的影響而導致下一次處理受到壞影響的記憶效果。
因如此蝕刻副產物之附著是藉由電漿電位和上部電極34或反應室壁等之間的電位差而受影響,故可以控制電漿電位,則可以抑制如此蝕刻生成物之附著。
以上,藉由自可變直流電源50控制施加至上部電極34之電壓,則可以使電漿電位下降,並可以抑制蝕刻副產物附著於構成上部電極34或反應室壁之附著物屏障11、還有反應室10內之絕緣材(構件26、42)。當作電漿電位Vp值 是以80V≦Vp≦200V為佳。
◎並且,藉由將直流電壓施加至上部電極34所產生之其他效果,可舉出藉由所施加之直流電壓形成電漿,提高電漿密度而使蝕刻率上升。
◎該是由於當施加負之直流電壓至上部電極時,電子則難以進入至上部電極,電子之消滅被抑制,和當離子加速進入至上部電極時,電子則可以從電極出來,該電子以電漿電位和施加電壓值之差高速被加速,電離中性氣體(電漿化),依此增加電子密度(電漿密度)之故。
根據實驗結果說明此。
第4圖是表示在將施加於為下部電極之承載器16的第1高頻電力之頻率設為40MHz,將第2高頻電力之頻率設為3.2MHz,壓力設為4Pa之HARC蝕刻條件下,使施加於上部電極之負直流電壓之絕對值變化至0V、300V、600V、900V之時,各高頻電力和電子密度分部之關係圖。再者,第5圖是表示施加相同之頻率的兩個高頻電力,在將壓力設為6.7Pa之Via蝕刻條件下,’同樣使施加至上部電極之直流電壓之絕對值變化成0V、300V、600V、900V之時,各高頻電力之輸出和電子密度分布之關係圖。如該些圖所示般,可知隨著施加之直流電壓之絕對值變大,電子密度(電漿密度)上昇。第6圖是表示以上述HARC蝕刻,將第1高頻電力設為3000W,將第2高頻電力設為4000W之時的晶圓徑方向之電子密度分布圖。如該圖所示般,可知所施加之直流電壓之絕對值越大,電子密度則越高。
並且,於形成電漿之時,由於自可變直流電源50施加直流電壓至上部電極34,於溝渠蝕刻時,尤其可以使中心部之電漿密度上昇。於溝渠蝕刻時之條件般,反應室10內之壓力高,並且所使用之蝕刻氣體為負性氣體之時,雖然反應室10內之中心部之電漿密度有變低之傾向,但是藉由如此將直流電壓施加至上部電極34而使中心部之電漿密度上昇,則可以控制電漿密度使電漿密度予以均勻化。
藉由實驗結果說明此。
在第2圖之裝置中,將半導體晶圓裝入反應室內而載置在承載器上,將當作處理氣體之CF4 氣體、CHF3 氣體、Ar氣體、N2 氣體導入至反應室內,將反應室內之壓力設為26.6Pa,將第1高頻電力設為在40MHz功率300W,將第2高頻電力設為在3.2MHz功率1000W,施加至屬於下部電極之承載器的溝渠蝕刻條件下,當不施加直流電壓至上部電極之時和施加-600W之時,測量晶圓徑方向之電子密度(電漿密度)。將該結果表示在第7圖。如該圖所示般,確認出於不施加直流電流之時,晶圓中心部之電子密度比其他部份低,對此藉由施加直流電壓,使晶圓中心部之電子密度上昇,電子密度則均勻化。再者,藉由施加直流電壓,電子密度全體性上昇。
如上述般,藉由控制施加至上部電極34之直流電壓,可有效發揮上述上部電極34之濺鍍功能、電漿之縮小化功能、電子之供給功能、電漿電位控制功能、電子密度(電漿密度)上昇功能及電漿密度控制功能之至少一個。
以上,針對將直流(DC)電壓施加至上部電極34時之廣意中的作用效果予以說明。
在本實施形態中,當作將直流電壓施加至上部電極之電漿蝕刻裝置,雖然使用將電漿形成用之第1高頻(RF)電力及引入離子用之第2高頻(RF)電力,施加至下部電極之下部RF二頻施加型之電漿蝕刻裝置,但是針對下部RF二頻型之電漿蝕刻裝置之其他電容耦合型電漿蝕刻裝置之優點,可舉出以下所示之點。
首先如本實施形態般,因藉由將電漿形成用之高頻電力施加至下部電極,可以在比晶圓更近之處形成電漿,再者可以抑制電漿不擴散至寬廣區域,處理氣體解離之情事,故即使為處理容器之壓力高,電漿密度低之條件,亦可以使相對於晶圓之蝕刻率予以上昇。再者,即使電漿形成用之高頻電力之頻率為高之時,因可以確保比較大之離子能,故為高效率。對此,對上部電極施加電漿形成用之高頻電力之類型的裝置,因在上部電極附近,生成電漿,故在處理容器內之壓力高,電漿密度低之條件下,要使相對於晶圓之蝕刻率上昇則有困難。
再者,如本實施型態般,藉由分別施加電漿形成用之高頻電力和引入離子用之高頻電力,則可獨立控制電漿蝕刻所需之電漿形成之功能和引入離子之功能。對此,施加一頻率之高頻電力至下部電極之類型的裝置中,不可獨立控制電漿形成功能和引入離子之功能,要滿足要求高微細加工性之蝕刻條件為困難。
如上述般,在接近晶圓之處,可形成電漿,電漿不擴散至寬廣區域,並且因藉由施加直流電壓至上部電極,可獨立控制電漿形成功能和引入離子功能之下部RF二頻施加型之電漿蝕刻裝置,可持有上部電極之濺鍍功能、電漿之縮小化功能、對晶圓供給電子之功能、電漿電位之控制功能、電漿密度之上昇功能、電漿密度控制功能中之至少一個,故可以提供具有適合於近年蝕刻微細加工之更高性能之電漿蝕刻裝置。
並且,對上部電極34施加直流電壓即使為選擇性亦可。在對上部電極34施加直流電壓所需之蝕刻條件中,使可變直流電源50及第2圖所示之中繼開關52呈接通,在對上部電極34施加直流電壓尤其不需要之蝕刻條件中,若將可變直流電源50及中繼開關52設為斷開即可。
再者,於將直流電壓施加至上部電極34時,當上部電極34接地時,因直流電壓施加之功能消失,故上部電極34必須為DC性浮動。以模式圖表示於第8圖,於第8圖中,形成有電容器501、502、503之處,實際上加入介電體,上部電極34是經由介電體對處理容器10及接地導體10a成為DC性浮動。並且,自高頻電源88、89被施加至下部電極16之高頻電力,是經處理空間而到達至上部電極34,經由電容器501、502、503,而到達被接地之處理容器10及接地導體10a。
然後,使可變直流電源50及中繼開關52斷開,不對上部電極34施加直流電壓之時,即使將上部電極34可變成接 地狀態或是DC性浮動狀態中之任一者亦可。在第9圖之例中,於部將直流電壓施加至上部電極34之時,雖然藉由開關(可調裝置)504使接地導體10a和上部電極34短路,使上部電極34成為接地狀態,但是即使使開關(可調裝置)504成為斷開,將上部電極34設成DC性浮動狀態亦可。
再者,如第10圖所示般,即使將電性形成有電容器501之處,構成電容器為電性可改變亦可。依此,可以改變上部電極之電位。
再者,如第11圖所示般,設置例如從電漿檢測窗10a檢測出電漿之狀態的檢測器55,根據該檢測訊號控制器51控制可變直流電源50,依此可將有效發揮上述功能之直流電壓自動性施加至上部電極34。再者,即使設置檢測出外皮厚度之檢測器或室檢測出電子密度之檢測器,根據該檢測訊號,控制器51控制可變直流電源50亦可。
然而,當將直流電壓施加至上部電極時,在上部電極34積存電子,有在反應室10內壁之間產生異常放電之可能。為了抑制如此之異常放電,在本實施形態中,於反應室壁側之附著物屏障11設置有被DC性接地之零件的GND區塊(導電性構件)91。該GND區塊91是露出於電漿面,電性連接於附著物屏障11之內部之導電部,自可變直流電源50被施加至上部電極34之直流電壓電流,是經處理空間而到達GND區塊91,經附著物屏障11而接地。GND區塊91為導電體,以Si、SiC等之含矽物質為佳。以可以適合使用C。藉由該GND區塊91,可以使積存於上述上部電極34之電子 散開,可以防止異常放電。GND區塊91之突出長度是以10mm以上為佳。
再者,為了防止異常放電,於對上部電極34施加直流電壓時,藉由適當手段重疊於直流電壓,週期性給予第12圖所示般之極短的逆極性之脈衝,而中和電子之方法也有效。
上述GND區塊91若設置於電漿形成區域時,該位置則不限於第1圖之位置,例如第13圖所示般,即使設置在承載器16之周圍等,承載器16側亦可,再者如第14圖所示般,即使在上部電極34之外側設置環狀等,設置在上部電極34附近亦可。但是,於形成電漿時,被附著物屏障11等被覆之Y2 O3 或聚合物飛濺,當該附著於GND區塊91時,因無被DC性接地,難以發揮異常放電防止效果,故該些難以附著之事態則為重要。因此,GND區塊91是位於離開被覆蓋之構件的位置為佳,以Si或石英(SiO2 )等之Si物質當作鄰接零件為佳。例如,如第15圖(a)所示般,以在GND區塊91之周圍設置有含有Si構件93為佳。此時,含有Si構件93之GND區塊91之下的部份長度L是以GND區塊91之突出長度以上為佳。再者,為了抑制由於Y2 O3 或聚合物附著所引起之功能降低,如第15圖(b)所示般,以設置附著飛翔物之凹處91a當作GND區塊91為有效。再者,增大GND區塊91之表面積,難以被Y2 O3 或聚合物覆蓋之方法也為有效。並且,為了抑制附著物,雖然提高溫度為有效,但是因對上部電極34供給電漿形成用之高頻電力,該附近 之溫度上昇,故以上昇溫度不使附著物附著之觀點來看,如上述第14圖所示般,以設置在上部電極34之附近為佳。此時,尤其,如上述第14圖所示般,環狀設置在上部電極34之外側為佳。
接著,針對使用施加電漿形成用之第1高頻(RF)電力及引入離子用之第2高頻(RF)電力之上述下部RF二頻施加型之電漿蝕刻裝置,當作將直流電壓施加至上部電極之電漿蝕刻裝置,並使用含有矽之罩幕,蝕刻晶圓W上之有機膜或是非晶碳膜之方法具體性予以說明。
第16圖為適用本發明之電漿蝕刻之晶圓W之剖面構造圖。該晶圓W是如第16圖(a)所示般,從下方依當作無機系材料膜之矽氧氮化膜(SiON膜)403、當作反射防止膜之BARC404之順序疊層,並且,在該上層形成當作被圖案製作成特定形狀之蝕刻罩幕的ArF光阻膜405。
並且,有機膜402即使置換成非晶碳亦可。再者,即使如含有矽之膜,例如矽氧化膜(SiO2 )或矽氮化膜(Si3 N4 膜)般,當作一般硬罩幕使用之材料亦可。並且,即使在矽基板401和有機膜402之間存在有矽氧化膜或矽氮化膜亦可。
對該晶圓W,即使自處理氣體供給源66將CF4 氣體供給至反應室10內,並且,自第1高頻電源88以特定功率施加電漿生成用之第1高頻電力,並且自第2高頻電源90以特定功率施加引入離子用之第2高頻電力,蝕刻BARC404及矽氧氮化膜403。依此,如第16圖(b)所示般,形成對應 於ArF光阻膜405之凹部(溝或孔)。
此時典型之蝕刻條件是如同下述般。
反應室內壓力=10Pa
高頻電力(第1/第2)=400W/400W
處理氣體流量CF4 =180sccm(mL/min)
處理時間=30sec
回壓(氦:中央部/端部)=2000Pa/4000Pa
上部電極34之溫度=120℃
反應室10側必之溫度=120℃
承載器16之溫度=20℃
然後,對於第16圖(b)所示之晶圓構造,一面自處理氣體供給源66將不含有CF系氣體之處理氣體,例如O2 氣體供給至反應室10內,一面以特定功率施加第1高頻電力和第2高頻電力至反應室10內,並且施加來自直流電源50之直流電壓至上部電極34。此時,當作施加至上部電極34之直流電壓值,是設為-100V至-1500V之範圍內為佳,又以在-100V至-1000V之範圍內為更佳,-100V至-600V之範圍內為最佳。如此一來,藉由蝕刻處理,上述凹部內之有機膜402被蝕刻,並且Ar光阻膜405和BAC404也同時被蝕刻,矽氧氮化膜403當作停止蝕刻層而發揮功能,其結果為第16圖(c)所示之晶圓構造。
當作於使用不含有矽之罩幕,蝕刻有機膜或是非晶碳膜之時的F系氣體的處理氣體,除上述O2 單氣體之外,可以舉出例如(O2 、N2 )、(O2 、N2 、CO)、(O2 、CO) 、(O2 、CO2 )、(O2 、NC4 )或是(O2 、NH3 )般之O2 氣體和其他氣體之組合,此時可以發揮與O2 氣體相同效果。
使用含有矽之罩幕,蝕刻有機膜或是非晶碳膜之時的典型件則如下述般。
反應室內壓力=1Pa
高頻電力(第1/第2)=400W/400W
處理氣體流量=180sccm(mL/min)
處理時間O2 =150sec
回壓(氦:中央部/端部)=2000Pa/4000Pa
上部電極34之溫度=120℃
反應室10側壁之溫度=120℃
承載器16之溫度=20℃
對上部電極34的直流電壓值=-250
如此一來,對於第16圖(b)般之晶圓構造,於一面蝕刻有機膜402,一面將矽氧化膜403當作罩幕使用之時,將不含有O2 氣體般之CF系氣體之處理氣體供給至反應室10內,各以特定功率施加第1高頻電力和第2高頻電力至下部電極16,並且當施加來自直流電源50之直流電壓至上部電極34時,則可以使有機膜402之蝕刻率上升,同時可以防止矽氧氮化膜403之肩部損耗(Shoulder Loss),並可以提高有機膜402對矽氧氮化膜403之蝕刻選擇比。
在此,對於第16圖(b)般之晶圓構造,考慮有對上部電極34不施加來自直流電源50之直流電壓之情形。為了使有機膜402之蝕刻率上昇,必須提高第1高頻電力之值。 但是,當提高第1高頻電力之值時,因電漿密度上昇,故可以提高有機膜402之蝕刻率,但是同時下部電極16上之自給偏流電壓(Vdc)也上昇。自給偏壓電壓上昇,換言之因晶圓W和電漿空間之間的電位差變大,故電漿中之離子的朝矽氧化氮膜403射入能量變高,矽氧化氮化膜403之損傷變大。如此一來,如第17圖所示般,接近於矽氧氮化膜403之凹部的部份尤其損耗,矽氧氮化膜403之形狀成為山形狀,產生所謂的肩部損耗(Shoulder Loss)之現象。即是,當提高第1高頻電力之值時,雖然有機膜402之蝕刻率上昇,但是有機膜402對矽氧氮化膜403之蝕刻選擇比則下降。
對此,於對上部電極34施加來自直流電源50之直流電壓時,因可以藉由與第19頁◎所述之原理相同之原理,使電漿密度上昇,故可以不提高第1高頻電力之值,提升電漿密度。再者,因不提高第1高頻電力之值,故可以防止自給偏流電壓(Vdc)上昇,而電漿中之離子的朝矽氧氮化膜403射入能量變高而產生之矽氧氮化膜403之肩部損耗之現象。
接著,藉由實驗表示上述蝕刻率上昇效果及蝕刻選擇比上昇(防止肩部損耗)之效果。
第18圖是將橫軸設為晶圓位置(mm),縱軸設為蝕刻率(nm/min),表示蝕刻光阻膜之時的蝕刻率之圖示。再者,第19圖是將橫軸設為晶圓位置(mm),將縱軸設為濺鍍率(nm/min),表示濺鍍矽氧化膜(蝕刻)之時的 濺鍍率之圖示。在該些中,(a)表示無施加直流電壓至上部電極之時,(b)表示施加-250V之直流電壓至上部電極之時。並且,第19圖(b)中負值之濺鍍率是表示不濺鍍矽氧化膜,相反的在矽氧化膜上堆疊堆積物之情形。
第18圖和第19圖之蝕刻條件除處理時間以外其他為相同。以下表示此時之蝕刻條件。
[蝕刻條件]
反應室內壓力=1Pa
高頻電力(第1/第2)=400W/400W
處理氣體流量O2 =150sccm(mL/min)
處理時間(第18圖)=60sec
處理時間(第19圖)=120sec
回壓(氦:中央部/端部)=2000Pa/4000Pa
上部電極34之溫度=120℃
反應室10側壁之溫度=120℃
承載器16之溫度=20℃
由第18圖可知,藉由對上部電極34施加直流電壓,光阻膜之蝕刻率上昇。再者,由第19圖可知,即使對上部電極34施加直流電壓,矽氧化膜之濺鍍率也不上昇(不被濺鍍,相反堆疊)。
在此,第18圖和第19圖之蝕刻條件因除處理時間以外其他為相同),故應可以將第18圖中之光阻膜置換成上述第16圖中所說明之有機膜402,將第19圖中之矽氧化膜置 換成上述第16圖中所說明之矽氧氮化膜403,因此,在上述第16圖所示之具體性情況中,藉由實驗驗證利用對上部電極34施加直流電壓,則可以使有機膜402之蝕刻率上昇,並且可以提高有機膜402對矽氧氮化膜403之蝕刻比。
並且,當舉出上述第1高頻電力及第2高頻電力所採用之頻率時,則可以舉出13.56MHz、27MHz、40MHz、60MHz、80MHz、100MHz、160MHz,當作第1高頻電力。可以舉出380MHz、800MHz、1MHz、2MHz、3.2MHz、13.56MHz,當作第2高頻電力,並可以因應製裎適當組合使用。
10‧‧‧反應室
16‧‧‧承載器(下部電極)
34‧‧‧上部電極
48‧‧‧低通過濾器
50‧‧‧可變直流電源
51‧‧‧控制器
52‧‧‧接通斷開開關
66‧‧‧處理氣體供給源
84‧‧‧排氣裝置
88‧‧‧第1高頻電源
90‧‧‧第2高頻電源
91‧‧‧GND區塊
W‧‧‧半導體晶圓(被處理基板)
第1圖是表示本發明之一實施形態所涉及之電漿蝕刻裝置的概略剖面圖。
第2圖是表示本發明之一實施形態所涉及之電漿蝕刻裝置的概略剖面圖。
第3圖是表示第2圖之電漿蝕刻裝置中,對上部電極施加直流電壓之時的Vdc及電漿外皮厚度之變化之圖式。
第4圖是表示第2圖之電漿蝕刻裝置中,使用HARC蝕刻之條件,使所施加之直流電壓變化之時的電子密度之變化圖。
第5圖是表示第2圖之電漿蝕刻裝置中,使用Via蝕刻之條件,使所施加之直流電壓變化之時的電子密度之變化圖。
第6圖是表示上述HARC中蝕刻中,將第1高頻電力設為3000W,將第2高頻電力設為4000W之時的晶圓徑方向之電子密度之圖式。
第7圖是表示使用溝渠蝕刻之條件,在施加直流電壓之時和不施加直流電壓之時,測量晶圓徑方向之電子密度分佈之結果的圖式。
第8圖是表示第2圖之電漿蝕刻裝置中,上部電極之電性狀態之圖式。
第9圖是表示第2圖之電漿蝕刻裝置中,上部電極之電性狀態之圖式。
第10圖是表示第2圖之電漿蝕刻裝置中,上部電極之電性狀態之圖式。
第11圖是表示第2圖之電漿蝕刻裝置中,檢測出電漿之檢測器之狀態的剖面圖。
第12圖是表示第1圖之電漿蝕刻中,於對上部電極施加直流電壓之時,用以抑制異常放電之波形的圖式。
第13圖是表示GND區塊之其他配置例的概略圖。
第14圖是表示GND區塊之又一配置例的概略圖。
第15圖是用以說明GND區塊之附著物防止例之圖式。
第16圖是表示執行本發明之蝕刻方法之工程的過程之晶圓構造的剖面構造圖。
第17圖是表示對第16圖(b)之構造之晶圓,不對上部電極施加直流電壓,執行蝕刻之蝕的晶圓之狀態的剖面構造圖。
第18圖是表示不對上部電極施加直流電壓之時和對上部電極施加-250V之直流電壓之時,蝕刻光阻膜時的蝕刻率之圖式。
第19圖是表示不對上部電極施加直流電壓之時和對上部電極施加-250V之直流電壓之時,濺鍍矽氧化膜之時的濺鍍率之圖式。
401‧‧‧矽基板
402‧‧‧有機膜
403‧‧‧矽氧氮化膜
404‧‧‧BARC
405‧‧‧ArF光阻膜

Claims (10)

  1. 一種電漿蝕刻方法,是屬於使用電漿蝕刻裝置之被處理基板的電漿蝕刻方法,該電漿蝕刻裝置具備:收容被處理基板,可真空排氣之處理容器;在處理容器內相向被配置之第1電極及支撐被處理基板之第2電極;對上述第2電極施加相對性頻率高之第1高頻電力的第1高頻電力施加單元;對上述第2電極施加相對性頻率低之第2高頻電力的第2高頻電力施加單元;對上述第1電極施加直流電壓之直流電源;和將處理氣體供給至上述處理容器內之處理氣體供給單元,其特徵為:於使用含有矽之罩幕蝕刻上述被處理基板上之有機膜或是非晶碳膜之時,對上述第2電極施加上述第1高頻電力及第2高頻電力,使自上述處理氣體供給單元吐出之不含有CF系氣體的處理氣體予以電漿化,並且對直流(DC)性浮動的上述第1電極施加直流電壓,藉此執行上述被處理基板之電漿蝕刻。
  2. 如申請專利範圍第1項所記載之電漿蝕刻方法,其中,作為不含有CF系氣體之處理氣體,是使用O2 ;O2 、N2 之組合;O2 、N2 、CO之組合;O2 、CO之組合;O2 、CO2 之組合;O2 、CH4 之組合;及O2 、NH3 之組合中之任一者。
  3. 如申請專利範圍第1項或第2項所記載之電漿蝕刻方法,其中,來自上述直流電源之直流電壓值為-100V至-1500V之範圍內。
  4. 如申請專利範圍第3項所記載之電漿蝕刻方法,其中,來自上述直流電源之直流電壓值為-100V至-1000V之範圍內。
  5. 如申請專利範圍第4項所記載之電漿蝕刻方法,其中,來自上述直流電源之直流電壓值為-100V至-600V之範圍內。
  6. 如申請專利範圍第1或2項所記載之電漿蝕刻方法,其中,為了使根據被施加於上述第1電極之直流電壓的電流經電漿而予以洩放,在上述處理容器內具有平時被接地之導電性構件。
  7. 如申請專利範圍第6項所記載之電漿蝕刻方法,其中,具有將被連接於上述處理容器之排氣口的上述處理容器內予以真空排氣之排氣裝置,和介於上述處理容器內之處理空間和上述排氣口之間整理排氣氣流的排氣板,上述導電性構件在較上述處理容器內之上述排氣板上側具有被設置在露出於電漿之位置的部分。
  8. 如申請專利範圍第1或2項所記載之電漿蝕刻方法,其中,上述含有矽之膜為無機系之絕緣膜。
  9. 如申請專利範圍第1項所記載之電漿蝕刻方法,其中,作為不含有CF系氣體之處理氣體,是使用O2 、N2 、 CO之組合;O2 、CO之組合;O2 、CO2 之組合;O2 、CH4 之組合;及O2 、NH3 之組合中之任一者。
  10. 一種電腦可讀取之記憶媒體,是屬於記憶有在電腦上動作之控制程式的電腦記憶媒體,其特徵為:上述控制程式於實行時,以執行申請專利範圍第1至9項中之任一項所記載之電漿蝕刻方法之方式,控制電漿處理裝置。
TW095149252A 2005-12-28 2006-12-27 Plasma etch methods and computer-readable memory media TWI450328B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2005378608A JP4827081B2 (ja) 2005-12-28 2005-12-28 プラズマエッチング方法およびコンピュータ読み取り可能な記憶媒体

Publications (2)

Publication Number Publication Date
TW200739719A TW200739719A (en) 2007-10-16
TWI450328B true TWI450328B (zh) 2014-08-21

Family

ID=38214319

Family Applications (1)

Application Number Title Priority Date Filing Date
TW095149252A TWI450328B (zh) 2005-12-28 2006-12-27 Plasma etch methods and computer-readable memory media

Country Status (5)

Country Link
US (1) US8128831B2 (zh)
JP (1) JP4827081B2 (zh)
KR (1) KR100810773B1 (zh)
CN (1) CN1992164B (zh)
TW (1) TWI450328B (zh)

Families Citing this family (56)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7840448B2 (en) 2003-05-07 2010-11-23 Cbs Interactive Inc. System and method for automatically generating a narrative product summary
US7951262B2 (en) 2004-06-21 2011-05-31 Tokyo Electron Limited Plasma processing apparatus and method
WO2008021609A1 (en) * 2006-08-07 2008-02-21 Tokyo Electron Limited Method of treating a mask layer prior to performing an etching process
CN101153396B (zh) * 2006-09-30 2010-06-09 中芯国际集成电路制造(上海)有限公司 等离子刻蚀方法
JP5047644B2 (ja) * 2007-01-31 2012-10-10 東京エレクトロン株式会社 プラズマエッチング方法、プラズマエッチング装置、制御プログラム及びコンピュータ記憶媒体
JP2009032947A (ja) * 2007-07-27 2009-02-12 Hitachi High-Technologies Corp 記録媒体
JP5514413B2 (ja) * 2007-08-17 2014-06-04 東京エレクトロン株式会社 プラズマエッチング方法
JP4905304B2 (ja) * 2007-09-10 2012-03-28 東京エレクトロン株式会社 プラズマ処理装置、プラズマ処理方法及び記憶媒体
JP4983575B2 (ja) * 2007-11-30 2012-07-25 パナソニック株式会社 プラズマ処理装置およびプラズマ処理方法
JP2009193988A (ja) * 2008-02-12 2009-08-27 Tokyo Electron Ltd プラズマエッチング方法及びコンピュータ記憶媒体
US8133819B2 (en) * 2008-02-21 2012-03-13 Applied Materials, Inc. Plasma etching carbonaceous layers with sulfur-based etchants
JP2009239012A (ja) * 2008-03-27 2009-10-15 Tokyo Electron Ltd プラズマ処理装置及びプラズマエッチング方法
JP5213496B2 (ja) 2008-03-31 2013-06-19 東京エレクトロン株式会社 プラズマエッチング方法及びコンピュータ読み取り可能な記憶媒体
US8263499B2 (en) 2008-03-31 2012-09-11 Tokyo Electron Limited Plasma processing method and computer readable storage medium
JP5578782B2 (ja) * 2008-03-31 2014-08-27 東京エレクトロン株式会社 プラズマ処理方法及びコンピュータ読み取り可能な記憶媒体
JP5064319B2 (ja) * 2008-07-04 2012-10-31 東京エレクトロン株式会社 プラズマエッチング方法、制御プログラム及びコンピュータ記憶媒体
JP5128421B2 (ja) 2008-09-04 2013-01-23 東京エレクトロン株式会社 プラズマ処理方法およびレジストパターンの改質方法
JP5171683B2 (ja) * 2009-02-18 2013-03-27 東京エレクトロン株式会社 プラズマ処理方法
US9117769B2 (en) 2009-08-27 2015-08-25 Tokyo Electron Limited Plasma etching method
JP2011049360A (ja) * 2009-08-27 2011-03-10 Tokyo Electron Ltd プラズマエッチング方法
JP5486883B2 (ja) * 2009-09-08 2014-05-07 東京エレクトロン株式会社 被処理体の処理方法
JP5563860B2 (ja) 2010-03-26 2014-07-30 東京エレクトロン株式会社 基板処理方法
US8846451B2 (en) * 2010-07-30 2014-09-30 Applied Materials, Inc. Methods for depositing metal in high aspect ratio features
JP5674375B2 (ja) 2010-08-03 2015-02-25 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
CN103081074B (zh) * 2010-08-27 2015-08-26 东京毅力科创株式会社 基板处理方法、图案形成方法、半导体元件的制造方法及半导体元件
CN102403219B (zh) * 2010-09-14 2015-10-07 中微半导体设备(上海)有限公司 一种铜制程等离子刻蚀方法
JP2012204644A (ja) * 2011-03-25 2012-10-22 Tokyo Electron Ltd プラズマ処理装置及びプラズマ処理方法
KR101330516B1 (ko) * 2012-04-24 2013-11-18 주식회사 테스 비정질 탄소막의 형성방법
JP6035117B2 (ja) * 2012-11-09 2016-11-30 東京エレクトロン株式会社 プラズマエッチング方法及びプラズマエッチング装置
JP6017928B2 (ja) 2012-11-09 2016-11-02 東京エレクトロン株式会社 プラズマエッチング方法及びプラズマエッチング装置
JP6029522B2 (ja) * 2013-04-16 2016-11-24 東京エレクトロン株式会社 パターンを形成する方法
US8980758B1 (en) 2013-09-17 2015-03-17 Applied Materials, Inc. Methods for etching an etching stop layer utilizing a cyclical etching process
JP6423706B2 (ja) * 2014-12-16 2018-11-14 東京エレクトロン株式会社 プラズマ処理装置
JP6545053B2 (ja) * 2015-03-30 2019-07-17 東京エレクトロン株式会社 処理装置および処理方法、ならびにガスクラスター発生装置および発生方法
JP6542053B2 (ja) * 2015-07-15 2019-07-10 株式会社東芝 プラズマ電極構造、およびプラズマ誘起流発生装置
JP2017212361A (ja) * 2016-05-26 2017-11-30 東京エレクトロン株式会社 プラズマ処理装置及びパーティクル付着抑制方法
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
JP7203531B2 (ja) * 2018-08-08 2023-01-13 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
CN118315254A (zh) 2019-01-22 2024-07-09 应用材料公司 用于控制脉冲电压波形的反馈回路
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
US20210210355A1 (en) * 2020-01-08 2021-07-08 Tokyo Electron Limited Methods of Plasma Processing Using a Pulsed Electron Beam
US11848176B2 (en) 2020-07-31 2023-12-19 Applied Materials, Inc. Plasma processing using pulsed-voltage and radio-frequency power
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US11984306B2 (en) 2021-06-09 2024-05-14 Applied Materials, Inc. Plasma chamber and chamber component cleaning methods
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11776788B2 (en) 2021-06-28 2023-10-03 Applied Materials, Inc. Pulsed voltage boost for substrate processing
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
US11694876B2 (en) 2021-12-08 2023-07-04 Applied Materials, Inc. Apparatus and method for delivering a plurality of waveform signals during plasma processing
US11972924B2 (en) 2022-06-08 2024-04-30 Applied Materials, Inc. Pulsed voltage source for plasma processing applications

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200401365A (en) * 2002-06-27 2004-01-16 Tokyo Electron Ltd Plasma processing method
TW200405463A (en) * 2002-08-05 2004-04-01 Tokyo Electron Ltd Etching method
TW200501253A (en) * 2003-02-07 2005-01-01 Tokyo Electron Ltd Plasma processing apparatus, ring component and plasma processing method

Family Cites Families (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06104898B2 (ja) * 1988-01-13 1994-12-21 忠弘 大見 減圧表面処理装置
JPH09111460A (ja) * 1995-10-11 1997-04-28 Anelva Corp チタン系導電性薄膜の作製方法
JP3319285B2 (ja) 1996-06-05 2002-08-26 株式会社日立製作所 プラズマ処理装置及びプラズマ処理方法
US20020076935A1 (en) * 1997-10-22 2002-06-20 Karen Maex Anisotropic etching of organic-containing insulating layers
US6074569A (en) * 1997-12-09 2000-06-13 Hughes Electronics Corporation Stripping method for photoresist used as mask in Ch4 /H2 based reactive ion etching (RIE) of compound semiconductors
US6284149B1 (en) * 1998-09-18 2001-09-04 Applied Materials, Inc. High-density plasma etching of carbon-based low-k materials in a integrated circuit
KR100880767B1 (ko) * 1999-05-06 2009-02-02 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치
JP4382926B2 (ja) * 1999-09-29 2009-12-16 東京エレクトロン株式会社 プラズマ処理方法
EP1134303B1 (en) * 2000-03-13 2010-06-09 Canon Kabushiki Kaisha Thin film production process
JP2001308175A (ja) * 2000-04-21 2001-11-02 Nec Corp 半導体装置及びその製造方法
US6779481B2 (en) * 2000-04-27 2004-08-24 Tokyo Electron Limited Electrical coupling between chamber parts in electronic device processing equipment
US6962879B2 (en) * 2001-03-30 2005-11-08 Lam Research Corporation Method of plasma etching silicon nitride
US6630407B2 (en) * 2001-03-30 2003-10-07 Lam Research Corporation Plasma etching of organic antireflective coating
US6770166B1 (en) * 2001-06-29 2004-08-03 Lam Research Corp. Apparatus and method for radio frequency de-coupling and bias voltage control in a plasma reactor
JP2003234331A (ja) * 2001-12-05 2003-08-22 Tokyo Electron Ltd プラズマエッチング方法およびプラズマエッチング装置
US6828241B2 (en) 2002-01-07 2004-12-07 Applied Materials, Inc. Efficient cleaning by secondary in-situ activation of etch precursor from remote plasma source
US6744212B2 (en) * 2002-02-14 2004-06-01 Lam Research Corporation Plasma processing apparatus and method for confining an RF plasma under very high gas flow and RF power density conditions
CN1249789C (zh) 2002-11-28 2006-04-05 东京毅力科创株式会社 等离子体处理容器内部件
US7988816B2 (en) 2004-06-21 2011-08-02 Tokyo Electron Limited Plasma processing apparatus and method
US7740737B2 (en) 2004-06-21 2010-06-22 Tokyo Electron Limited Plasma processing apparatus and method
US7951262B2 (en) 2004-06-21 2011-05-31 Tokyo Electron Limited Plasma processing apparatus and method
US20060037704A1 (en) * 2004-07-30 2006-02-23 Tokyo Electron Limited Plasma Processing apparatus and method
JP4515950B2 (ja) * 2005-03-31 2010-08-04 東京エレクトロン株式会社 プラズマ処理装置、プラズマ処理方法およびコンピュータ記憶媒体
US7993489B2 (en) * 2005-03-31 2011-08-09 Tokyo Electron Limited Capacitive coupling plasma processing apparatus and method for using the same
US8129282B2 (en) * 2006-07-19 2012-03-06 Tokyo Electron Limited Plasma etching method and computer-readable storage medium
JP2009239012A (ja) * 2008-03-27 2009-10-15 Tokyo Electron Ltd プラズマ処理装置及びプラズマエッチング方法
US8263499B2 (en) * 2008-03-31 2012-09-11 Tokyo Electron Limited Plasma processing method and computer readable storage medium
JP5213496B2 (ja) * 2008-03-31 2013-06-19 東京エレクトロン株式会社 プラズマエッチング方法及びコンピュータ読み取り可能な記憶媒体
JP5128421B2 (ja) * 2008-09-04 2013-01-23 東京エレクトロン株式会社 プラズマ処理方法およびレジストパターンの改質方法
JP5221403B2 (ja) * 2009-01-26 2013-06-26 東京エレクトロン株式会社 プラズマエッチング方法、プラズマエッチング装置および記憶媒体
US8383001B2 (en) * 2009-02-20 2013-02-26 Tokyo Electron Limited Plasma etching method, plasma etching apparatus and storage medium
JP2010205967A (ja) * 2009-03-04 2010-09-16 Tokyo Electron Ltd プラズマエッチング方法、プラズマエッチング装置及びコンピュータ記憶媒体

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200401365A (en) * 2002-06-27 2004-01-16 Tokyo Electron Ltd Plasma processing method
TW200405463A (en) * 2002-08-05 2004-04-01 Tokyo Electron Ltd Etching method
TW200501253A (en) * 2003-02-07 2005-01-01 Tokyo Electron Ltd Plasma processing apparatus, ring component and plasma processing method

Also Published As

Publication number Publication date
TW200739719A (en) 2007-10-16
US8128831B2 (en) 2012-03-06
KR20070070098A (ko) 2007-07-03
CN1992164B (zh) 2012-02-08
CN1992164A (zh) 2007-07-04
US20070165355A1 (en) 2007-07-19
KR100810773B1 (ko) 2008-03-06
JP2007180358A (ja) 2007-07-12
JP4827081B2 (ja) 2011-11-30

Similar Documents

Publication Publication Date Title
TWI450328B (zh) Plasma etch methods and computer-readable memory media
KR102460164B1 (ko) 에칭 방법
KR101895437B1 (ko) 플라즈마 에칭 방법
KR101916459B1 (ko) 플라즈마 에칭 방법 및 기억 매체
KR102358732B1 (ko) 플라즈마 에칭 방법 및 플라즈마 에칭 장치
JP6431557B2 (ja) プラズマ処理装置及びプラズマ処理方法
JP2017098478A (ja) エッチング方法
TWI436419B (zh) A plasma etch method and a computer readable memory medium
JP2008078515A (ja) プラズマ処理方法
KR101858324B1 (ko) 플라즈마 에칭 방법
US10854470B2 (en) Plasma etching method
KR20070089618A (ko) 플라즈마 에칭 방법 및 컴퓨터 판독 가능한 기억 매체
JP2023053351A (ja) プラズマ処理装置
US20220139719A1 (en) Etching method and plasma processing apparatus
US11328934B2 (en) Etching method and substrate processing apparatus

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees