KR100810773B1 - 플라즈마 에칭 방법 및 컴퓨터 판독 가능한 기억 매체 - Google Patents

플라즈마 에칭 방법 및 컴퓨터 판독 가능한 기억 매체 Download PDF

Info

Publication number
KR100810773B1
KR100810773B1 KR1020060134818A KR20060134818A KR100810773B1 KR 100810773 B1 KR100810773 B1 KR 100810773B1 KR 1020060134818 A KR1020060134818 A KR 1020060134818A KR 20060134818 A KR20060134818 A KR 20060134818A KR 100810773 B1 KR100810773 B1 KR 100810773B1
Authority
KR
South Korea
Prior art keywords
plasma
high frequency
electrode
upper electrode
frequency power
Prior art date
Application number
KR1020060134818A
Other languages
English (en)
Other versions
KR20070070098A (ko
Inventor
마나부 사토
요시키 이가라시
요시미츠 곤
마사노부 혼다
Original Assignee
동경 엘렉트론 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 동경 엘렉트론 주식회사 filed Critical 동경 엘렉트론 주식회사
Publication of KR20070070098A publication Critical patent/KR20070070098A/ko
Application granted granted Critical
Publication of KR100810773B1 publication Critical patent/KR100810773B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks

Abstract

본 발명의 과제는 피처리 기판 상의 유기막 또는 어모퍼스 카본막을, 실리콘을 포함하는 마스크를 이용하여 에칭할 때에, 고에칭레이트로 또한 고선택비로 에칭할 수 있는 플라즈마 에칭 방법을 제공하는 것이다.
본 발명의 에칭방법에 따르면, 챔버(10)내의 웨이퍼 지지용의 하부 전극(16)에, 제 1 고주파 전원(88)에서의 상대적으로 주파수가 높은 제 1 고주파 전력과, 제 2 고주파 전원(90)에서의 상대적으로 주파수가 낮은 제 2 고주파 전력을 인가하고, 하부 전극(16)과 대향하여 배치되는 상부 전극(34)에 가변 직류 전원(50)으로부터의 직류 전압을 인가하여, 챔버(10)내에 CF 계 가스를 포함하지 않는 처리 가스를 공급하여 플라즈마화하고, 피처리 기판 상의 유기막 또는 어모퍼스 카본막을, 실리콘을 포함하는 마스크를 이용하여 플라즈마 에칭한다.

Description

플라즈마 에칭 방법 및 컴퓨터 판독 가능한 기억 매체{PLASMA ETCHING METHOD AND COMPUTER-READABLE STORAGE MEDIUM}
도 1은 본 발명의 일 실시형태에 따른 플라즈마 에칭 장치를 도시하는 개략 단면도.
도 2는 본 발명의 일 실시형태에 따른 플라즈마 에칭 장치를 도시하는 개략 단면도.
도 3은 도 2의 플라즈마 에칭 장치에 있어서, 상부 전극에 직류 전압을 인가했을 때의 Vdc 및 플라즈마 시스 두께의 변화를 도시한 도면.
도 4는 도 2의 플라즈마 에칭 장치에 있어서, HARC 에칭의 조건을 이용하여, 인가하는 직류 전압을 변화시킨 경우의 전자 밀도의 변화를 도시한 도면.
도 5는 도 2의 플라즈마 에칭 장치에 있어서, Via 에칭의 조건을 이용하여, 인가하는 직류 전압을 변화시킨 경우의 전자 밀도의 변화를 도시한 도면.
도 6은 상기 HARC 에칭에서, 제 1 고주파 전력을 3000W, 제 2 고주파 전력을 4000W로 한 경우의 웨이퍼 직경 방향의 전자 밀도 분포를 도시한 도면.
도 7은 트랜치 에칭의 조건을 이용하여, 직류 전압을 인가한 경우와 인가하지 않는 경우에서 웨이퍼 직경 방향의 전자 밀도 분포를 측정한 결과를 도시한 도 면.
도 8은 도 2의 플라즈마 에칭 장치에 있어서의, 상부 전극의 전기적 상태를 도시한 도면.
도 9는 도 2의 플라즈마 에칭장치에 있어서의, 상부 전극의 전기적 상태를 도시한 도면.
도 10은 도 2의 플라즈마 에칭 장치에 있어서의, 상부 전극의 전기적 상태를 도시하는 도면.
도 11은 도 2의 플라즈마 에칭 장치에 있어서, 플라즈마를 검출하는 검출기를 마련한 상태를 도시하는 단면도.
도 12는 도 2의 플라즈마 에칭 장치에 있어서, 상부 전극에 직류 전압을 인가할 때에 이상 방전을 억제하기 위한 파형을 도시한 도면.
도 13은 GND 블럭의 다른 배치예를 도시하는 개략도.
도 14는 GND 블럭의 또 다른 배치예를 도시하는 개략도.
도 15는 GND 블럭의 부착물 방지예를 설명하기 위한 도면.
도 16은 본 발명의 에칭 방법의 공정을 실행하고 있는 과정의 웨이퍼의 구조를 도시하는 단면 구조도.
도 17은 도 16의 (b)의 구조의 웨이퍼에 대하여, 상부 전극에 직류 전압을 인가하지 않고서 에칭을 실행했을 때의 웨이퍼의 상태를 나타내는 단면 구조도.
도 18은 상부 전극에 직류 전압을 인가하지 않는 경우와 상부 전극에 -250V의 직류 전압을 인가한 경우의, 포토레지스트막을 에칭했을 때의 에칭레이트를 나 타내는 도면.
도 19는 상부 전극에 직류 전압을 인가하지 않는 경우와 상부 전극에 -250V의 직류 전압을 인가한 경우의, 실리콘 산화막을 스퍼터했을 때의 스퍼터레이트를 나타내는 도면.
(도면의 주요부분에 대한 부호의 설명)
10: 챔버(처리 용기) 16: 서셉터(하부 전극)
34: 상부 전극 48: 로우패스 필터
50: 가변 직류 전원 51: 컨트롤러
52: 온·오프 스위치 66: 처리 가스 공급원
84: 배기 장치 88: 제 1 고주파 전원
90: 제 2 고주파 전원 91: GND 블록
W: 반도체 웨이퍼(피처리 기판)
특허문헌 1: 일본 특허 공개 2000-173993호 공보
특허문헌 2: 일본 특허 공개 2003-234331호 공보
본 발명은, 반도체 기판 등의 피처리 기판에 플라즈마 에칭 처리를 실시하는 플라즈마 에칭 방법, 및 컴퓨터 판독 가능한 기억 매체에 관한 것이다.
예컨대 반도체 디바이스의 제조 프로세스에 있어서는, 피처리 기판인 반도체 웨이퍼에 형성된 소정의 층에 소정의 패턴을 형성하기 위해서, 레지스트를 마스크로서 플라즈마에 의해 에칭하는 플라즈마 에칭 처리가 다용되고 있다.
이러한 플라즈마 에칭을 실행하기 위한 플라즈마 에칭 장치로서는, 여러가지가 이용되고 있지만, 그중에서도 용량 결합형 평행 평판 플라즈마 처리 장치가 주류이다.
용량 결합형 평행 평판 플라즈마 에칭 장치는, 챔버내에 한 쌍의 평행 평판 전극(상부 및 하부 전극)을 배치하여, 처리 가스를 챔버내에 도입함과 동시에, 전극의 한쪽에 고주파를 인가하여 전극간에 고주파 전기장을 형성하고, 이 고주파 전기장에 의해 처리 가스의 플라즈마를 형성하여 반도체 웨이퍼의 소정의 층에 대하여 플라즈마 에칭을 실시한다.
구체적으로는, 상부 전극에 플라즈마 형성용의 고주파를 인가하여 플라즈마를 형성하고, 하부 전극에 이온 인입용의 고주파를 인가하는 것에 의해, 적절한 플라즈마 상태를 형성하는 플라즈마 에칭 장치가 알려져 있어, 이에 의해, 고선택비로 재현성이 높은 에칭 처리가 가능하다(예컨대 특허문헌 1).
이러한 용량 결합형 평행 평판 플라즈마 에칭 장치를 이용하여, 무기계(無機系) 재료막을 마스크로서 유기계 재료막을 에칭할 때에, 고에칭 레이트로 또한 무기계 재료막에 대하여 고에칭 선택비의 에칭을 실행하기 위한 수법으로서, 하부 전 극에 인가하는 고주파 전력의 주파수를 50∼150MHz로 하는 것이 알려져 있다(예컨대 특허문헌 2).
그러나, 특허문헌 2에 개시되어 있는 기술이더라도, 유기계 재료막의 한층 더 높은 에칭 레이트를 실현하기 위해서, 하부 전극에 높은 고주파 전력을 인가하면, 무기계 재료막의 숄더 손상(숄더 로스)이 발생해 버려, 고에칭 선택비를 실현할 수 없을 가능성이 있다.
본 발명은 이러한 사정에 비추어 이루어진 것으로서, 피처리 기판 상의 유기막 또는 어모퍼스 카본막을, 실리콘을 포함하는 마스크를 이용하여 에칭할 때에, 고 에칭 레이트로 또한 고 에칭 선택비의 에칭을 실행할 수 있는 플라즈마 에칭 방법을 제공하는 것을 목적으로 한다.
상기 과제를 해결하기 위해서, 본 발명의 제 1 관점에서는, 피처리 기판이 수용되어, 진공배기 가능한 처리 용기와, 처리 용기내에 대향하여 배치되는 제 1 전극 및 피처리 기판을 지지하는 제 2 전극과, 상기 제 2 전극에 상대적으로 주파수가 높은 제 1 고주파 전력을 인가하는 제 1 고주파 전력 인가 유닛과, 상기 제 2 전극에 상대적으로 주파수가 낮은 제 2 고주파 전력을 인가하는 제 2 고주파 전력 인가 유닛과, 상기 제 1 전극에 직류 전압을 인가하는 직류 전원과, 상기 처리 용 기내에 처리 가스를 공급하는 처리 가스 공급 유닛을 구비하는 플라즈마 에칭 장치를 이용한 상기 피처리 기판의 플라즈마 에칭 방법에 있어서, 상기 피처리 기판 상의 유기막 또는 어모퍼스 카본막을, 실리콘을 포함하는 마스크를 이용하여 에칭할 때, 상기 제 2 전극에 상기 제 1 고주파 전력 및 제 2 고주파 전력을 인가하여, 상기 처리 가스 공급 유닛으로부터 토출되는 CF계 가스를 포함하지 않는 처리 가스를 플라즈마화하고, 또한 상기 제 1 전극에 직류 전압을 인가함으로써, 상기 피처리 기판의 플라즈마 에칭을 실행하는 것을 특징으로 하는 플라즈마 에칭 방법을 제공한다.
이 경우에, 상기 CF계 가스를 포함하지 않는 처리 가스로서, O2, 및 O2, N2의 조합, 및 O2, N2, CO의 조합, 및 O2, CO의 조합, 및 O2, CO2의 조합, 및 O2, CH4의 조합, 및 O2, NH3의 조합 중 어느 하나를 사용할 수 있다. 또한, 상기 직류 전원에서의 직류 전압값은, -100V∼-1500V의 범위내로 하는 것이 바람직하고, -100V∼-1000V인 것이 보다 바람직하고, -100V∼-600V인 것이 보다 한 층 바람직하다. 또한, 상기 제 1 전극은, 접지 전위에 대하여 직류적으로 플로팅 상태인 것이 바람직하다.
본 발명의 제 2 관점에서는, 컴퓨터상에서 동작하는 제어 프로그램이 기억된 컴퓨터 기억 매체로에 있어서, 상기 제어 프로그램은, 실행시에, 상기 제 1 관점의 플라즈마 에칭 방법이 실행되도록, 플라즈마 처리 장치를 제어하는 것을 특징으로 하는 컴퓨터 판독 가능한 기억 매체를 제공한다.
(실시예)
이하, 첨부 도면을 참조하여 본 발명의 실시의 형태에 대하여 구체적으로 설명한다.
도 1은, 본 발명의 1실시형태에 따른 플라즈마 에칭 장치를 나타내는 개략 단면도이다.
즉, 하부 전극인 서셉터(16)에 제 1 고주파 전원(88)으로부터 플라즈마 생성용인 예컨대 40 MHz의 고주파(RF) 전력을 인가함과 동시에, 제 2 고주파 전원(90)으로부터 이온 인입용의 예컨대 2 MHz의 고주파(RF) 전력을 인가하는 하부 RF 2주파 인가 타입의 플라즈마 에칭 장치로서, 도시와 같이 상부 전극(34)에 가변 직류 전원(50)을 접속하여 소정의 직류(DC) 전압이 인가되는 플라즈마 에칭 장치이다. 이 플라즈마 에칭 장치에 대하여, 도 2를 사용하여 또한 상세히 기술한다.
이 플라즈마 에칭 장치는, 용량 결합형 평행 평판 플라즈마 에칭 장치로서 구성되어 있고, 예컨대 표면이 양극 산화 처리된 알루미늄으로 이루어지는 대략 원통형의 챔버(처리 용기)(10)를 갖고 있다. 이 챔버(10)는 보안 접지되어 있다.
챔버(10)의 바닥부에는, 세라믹 등으로 이루어지는 절연판(12)을 거쳐서 원주 형상의 서셉터 지지대(14)가 배치되어, 이 서셉터 지지대(14) 상에 예컨대 알루미늄으로 이루어지는 서셉터(16)가 마련되어 있다. 서셉터(16)는 하부 전극을 구성하고, 그 위에 피처리 기판인 반도체 웨이퍼(W)가 탑재된다.
서셉터(16)의 상면에는, 반도체 웨이퍼(W)를 정전력으로 흡착 유지하는 정전 척(18)이 마련되어 있다. 이 정전척(18)은, 도전막으로 이루어지는 전극(20)을 한 쌍의 절연층 또는 절연 시트로 끼운 구조를 가지는 것으로, 전극(20)에는 직류 전원(22)이 전기적으로 접속되어 있다. 그리고, 직류 전원(22)부터의 직류 전압에 의해 발생한 쿨롱힘 등의 정전력에 의해 반도체 웨이퍼(W)가 정전척(18)에 흡착 유지된다.
정전척(18)(반도체 웨이퍼(W))의 주위에서 서셉터(16)의 상면에는, 에칭의 균일성을 향상시키기 위한, 예컨대 실리콘으로 이루어지는 도전성의 포커스링(보정링)(24)이 배치되어 있다. 서셉터(16) 및 서셉터 지지대(14)의 측면에는, 예컨대 석영으로 이루어지는 원통형의 내벽 부재(26)가 마련되어 있다.
서셉터 지지대(14)의 내부에는, 예컨대 원주 상에 냉매실(28)이 마련되어 있다. 이 냉매실에는, 외부에 마련된 도시하지 않는 칠러 유닛으로부터 배관(30a, 30b)을 거쳐서 소정 온도의 냉매, 예컨대 냉각수가 순환 공급되어, 냉매의 온도에 의해서 서셉터 상의 반도체 웨이퍼(W)의 처리 온도를 제어할 수 있다.
또한, 도시하지 않는 열전도 가스 공급 기구로부터의 열전도 가스, 예컨대 He 가스가 가스 공급 라인(32)을 거쳐서 정전척(18)의 상면과 반도체 웨이퍼(W)의 이면과의 사이에 공급된다.
하부 전극인 서셉터(16)의 상방에는, 서셉터(16)와 대향하도록 평행하게 상부 전극(34)이 마련되어 있다. 그리고, 상부 및 하부 전극(34, 16)간의 공간이 플라즈마 생성 공간이 된다. 상부 전극(34)은, 하부 전극인 서셉터(16) 상의 반도체 웨이퍼(W)와 대향하여 플라즈마 생성 공간과 접하는 면, 즉 대향면을 형성한다.
이 상부 전극(34)은, 절연성 차폐 부재(42)를 거쳐서, 챔버(10)의 상부에 지지되어 있고, 서셉터(16)와의 대향면을 구성하고 또한 다수의 토출 구멍(37)을 갖는 전극판(36)과, 이 전극판(36)을 장착 및 분리가 자유롭도록 지지하여, 도전성 재료, 예컨대 표면이 양극 산화 처리된 알루미늄으로 이루어지는 수냉 구조의 전극 지지체(38)에 의해서 구성되어 있다. 전극판(36)은, 주울 열이 적은 저저항의 도전체 또는 반도체가 바람직하고, 또한, 후술하는 바와 같이 레지스트를 강화하는 관점에서는 실리콘 함유 물질이 바람직하다. 이러한 관점에서, 전극판(36)은 실리콘이나 SiC로 구성되는 것이 바람직하다. 전극 지지체(38)의 내부에는, 가스 확산실(40)이 마련되고, 이 가스 확산실(40)로부터는 가스 토출 구멍(37)에 연통하는 다수의 가스 통류 구멍(41)이 하방으로 연장하고 있다.
전극 지지체(38)에는 가스 확산실(40)로 처리 가스를 유도하는 가스 도입구(62)가 형성되어 있고, 이 가스 도입구(62)에는 가스 공급관(64)이 접속되고, 가스 공급관(64)에는 처리 가스 공급원(66)이 접속되어 있다. 가스 공급관(64)에는, 상류측으로부터 순서대로 매스플로우 컨트롤러(MFC)(68) 및 개폐 밸브(70)가 마련되어 있다. 그리고, 처리 가스 공급원(66)에서, 에칭을 위한 처리 가스로서, 예컨대 C4F8 가스와 같은 플루오르카본 가스(CxFy)가 가스 공급관(64)으로부터 가스 확산실(40)에 도달하여, 가스 통류 구멍(41) 및 가스 토출 구멍(37)을 거쳐서 샤워 형상으로 플라즈마 생성 공간에 토출된다. 즉, 상부 전극(34)은 처리 가스를 공급하기 위한 샤워헤드로서 기능한다.
상기 상부 전극(34)에는, 로우패스 필터(LPF)(48)를 거쳐서 가변 직류 전원(50)이 전기적으로 접속되어 있다. 가변 직류 전원(50)은 바이폴라 전원이더라도 좋다. 이 가변 직류 전원(50)은, 온·오프 스위치(52)에 의해 급전의 온·오프가 가능해지고 있다. 가변 직류 전원(50)의 극성 및 전류· 전압 및 온·오프 스위치(52)의 온·오프는 컨트롤러(제어 장치)(51)에 의해 제어되도록 되어 있다.
로우패스 필터(LPF)(48)는, 후술하는 제 1 및 제 2 고주파 전원으로부터의 고주파를 트랩하기 위한 것으로, 적합하게는 LR 필터 또는 LC 필터로 구성된다.
챔버(10)의 측벽으로부터 상부 전극(34)의 높이 위치보다도 상방으로 연장하도록 원통 형상의 접지도체(10a)가 마련되어 있다. 이 원통 형상 접지 도체(10a)는, 그 상부에 천벽을 가지고 있다.
하부 전극인 서셉터(16)에는, 정합기(87)를 거쳐서 제 1 고주파 전원(88)이 전기적으로 접속되고, 또한, 정합기(89)를 거쳐서 제 2 고주파 전원(90)이 접속되어 있다. 제 1 고주파 전원(88)은, 27 MHz 이상의 주파수, 예컨대 40 MHz의 고주파 전력을 출력한다. 제 2 고주파 전원(90)은, 13.56 MHz 이하의 주파수, 예컨대 2 MHz의 고주파 전력을 출력한다.
정합기(87, 89)는, 각각 제 1 및 제 2 고주파 전원(88, 90)의 내부(또는 출력) 임피던스에 부하 임피던스를 정합시키기 위한 것으로, 챔버(10)내에 플라즈마가 생성되어 있을 때에 제 1 및 제 2 고주파 전원(88, 90)의 내부 임피던스와 부하 임피던스가 외견상 일치하도록 기능한다.
챔버(10)의 바닥부에는 배기구(80)가 마련되어, 이 배기구(80)에 배기관(82) 을 거쳐서 배기 장치(84)가 접속되어 있다. 배기 장치(84)는, 터보 분자 펌프 등의 진공 펌프를 가지고 있고, 챔버(10)내를 원하는 진공도까지 감압이 가능하도록 되어 있다. 또한, 챔버(10)의 측벽에는 반도체 웨이퍼(W)의 반출입구(85)가 마련되어 있고, 이 반출입구(85)는 게이트 밸브(86)에 의해 개폐가 가능하도록 되어 있다. 또한, 챔버(10)의 내벽을 따라 챔버(10)에 에칭 부생성물(데포)이 부착하는 것을 방지하기 위한 데포 실드(11)가 장착 및 분리가 자유롭도록 마련되어 있다. 즉, 데포 실드(11)가 챔버벽을 구성하고 있다. 또한, 데포 실드(11)는, 내벽부재(26)의 외주에도 마련되어 있다. 챔버(10)의 바닥부의 챔버 벽측의 데포 실드(11)와 내벽부재(2a)측의 데포 실드(11)와의 사이에는 배기 플레이트(83)가 마련되어 있다. 데포 실드(11) 및 배기 플레이트(83)로서는, 알루미늄재에 Y2O3 등의 세라믹을 피복한 것을 적합하게 이용할 수 있다.
데포 실드(11)의 챔버 내벽을 구성하는 부분의 웨이퍼(W)와 거의 동일한 높이 부분에는, 그라운드에 DC적으로 접속된 도전성 부재(GND 블럭)(91)가 마련되어 있고, 이에 의해 후술하는 것 같은 이상 방전 방지 효과를 발휘한다.
플라즈마 에칭 장치의 각 구성부는, 제어부(전체 제어 장치)(95)에 접속되어 제어되는 구성으로 되어있다. 또한, 제어부(95)에는, 공정 관리자가 플라즈마 에칭 장치를 관리하기 위해서 커맨드의 입력 조작 등을 실행하는 키보드나, 플라즈마 처리 장치의 가동 상황을 가시화하고 표시하는 디스플레이 등으로 이루어지는 유저 인터페이스(96)가 접속되어 있다.
또한, 제어부(95)에는, 플라즈마 에칭 장치에서 실행되는 각종 처리를 제어부(95)의 제어에 의해 실현하기 위한 제어 프로그램이나, 처리 조건에 따라 플라즈마 에칭 장치의 각 구성부에 처리를 실행시키기 위한 프로그램 즉 레시피가 저장된 기억부(97)가 접속되어 있다. 레시피는 하드 디스크나 반도체 메모리에 기억되어 있어도 좋고, CDROM, DVD 등의 가반성의 컴퓨터에 의해 판독 가능한 기억 매체에 수용된 상태에서 기억부(97)의 소정 위치에 세트하도록 되어 있어도 좋다.
그리고, 필요에 따라서, 유저 인터페이스(90)로부터의 지시 등에 의하여 임의의 레시피를 기억부(97)로부터 불러내어 제어부(95)에서 실행시킴으로써 제어부(95)의 제어하에서, 플라즈마 에칭 장치에서의 원하는 처리가 실행된다. 또한, 본 발명의 실시의 형태에서 말하는 플라즈마 처리 장치(플라즈마 에칭 장치)는, 이 제어부(95)를 포함하는 것으로 한다.
이와 같이 구성되는 플라즈마 에칭 장치에 있어서 에칭 처리를 실행할 때는, 우선, 게이트 밸브(86)를 열린 상태로 하여, 반출입구(85)를 거쳐서 에칭 대상인 반도체 웨이퍼(W)를 챔버(10)내에 반입하고, 서셉터(16) 상에 탑재한다. 그리고, 처리 가스 공급원(66)으로부터 에칭을 위한 처리 가스를 소정의 유량으로 가스 확산실(40)에 공급하고, 가스 통류 구멍(41) 및 가스 토출 구멍(37)을 거쳐서 챔버(10)내로 공급하면서, 배기 장치(84)에 의해 챔버(10)내를 배기하고, 챔버내의 압력을 예컨대 0.1∼150Pa 범위내의 설정값으로 한다. 여기서, 처리 가스로서는, 종래 이용되고 있는 여러 가지의 것을 채용할 수 있어, 예컨대 C4F8 가스와 같은 플 루오르카본 가스(CxFy)로 대표되는 할로겐 원소를 함유하는 가스를 적합하게 이용할 수 있다. 또한, Ar 가스나 O2 가스 등의 다른 가스가 포함되어 있더라도 좋다.
이와 같이 챔버(10)내에 에칭 가스를 도입한 상태에서, 하부 전극인 서셉터(16)에, 제 1 고주파 전원(88)으로부터 플라즈마 생성용인 고주파 전력을 소정의 파워로 인가함과 동시에, 제 2 고주파 전원(90)으로부터 이온 인입용의 고주파 전력을 소정의 파워로 인가한다. 그리고, 가변 직류 전원(50)에서 소정의 직류 전압을 상부 전극(34)에 인가한다. 또한, 정전척(18)을 위한 직류 전원(22)으로부터 직류 전압을 정전척(18)의 전극(20)에 인가하여, 반도체 웨이퍼(W)를 서셉터(16)에 고정한다.
상부 전극(34)의 전극판(36)에 형성된 가스 토출 구멍(37)으로부터 토출된 처리 가스는, 고주파 전력에 의해 발생한 상부 전극(34)과 하부 전극인 서셉터(16)간의 글로 방전중에 플라즈마화하여, 이 플라즈마에서 생성되는 래디컬이나 이온에 의해서 반도체 웨이퍼(W)의 피처리면이 에칭된다.
이 플라즈마 에칭 장치에서는, 하부 전극인 서셉터(16)에 제 1 고주파 전원(88)으로부터 높은 주파수 영역(예컨대, 10 MHz 이상)의 고주파 전력을 공급하고 있기 때문에, 플라즈마를 바람직한 상태로 고밀도화할 수 있어, 보다 저압의 조건하에서도 고밀도 플라즈마를 형성할 수 있다.
본 실시형태에서는, 이렇게 하여 플라즈마가 형성될 때에, 상부 전극(34)에 가변 직류 전원(50)으로부터 소정의 극성 및 크기의 직류 전압이 인가된다. 이 때, 인가 전극인 상부 전극(34)의 표면 즉 전극판(36)의 표면에 대한 소정의(적절한) 스퍼터 효과를 얻을 수 있는 정도로 그 표면의 자기 바이 어스 전압(Vdc)이 커지도록 즉 상부 전극(34) 표면에서의 Vdc의 절대값이 커지도록, 가변 직류 전원(50)으로부터의 인가전압을 컨트롤러(51)에 의해 제어하는 것이 바람직하다. 제 1 고주파 전원(88)으로부터 고주파를 인가하여 플라즈마를 생성한 경우에, 상부 전극(34)에 폴리머가 부착하는 경우가 있지만, 가변 직류 전원(50)으로부터 적절한 직류 전압을 인가하는 것에 의해, 상부 전극(34)에 부착한 폴리머를 스퍼터하여 상부 전극(34)의 표면을 청정화할 수 있다. 그와 함께, 반도체 웨이퍼(W) 상에 최적의 량의 폴리머를 공급하여 포토레지스트막의 표면 거칠함을 해소할 수 있다. 또한, 가변 직류 전원(50)으로부터의 전압을 조정하여 상부 전극(34)자체를 스퍼터하여 전극 재료 자체를 반도체 웨이퍼(W) 표면에 공급하도록 하는 것에 의해, 포토레지스트막 표면에서 카바이드를 형성하여 포토레지스트막이 강화되고, 또한 스퍼터된 전극 재료가 플루오르카본계의 처리 가스중의 F와 반응하여 배기되는 것에 의해 플라즈마중의 F 비율이 감소하여 포토레지스트막이 에칭되기 어려워진다. 전극판(36)이 실리콘이나 SiC 등의 실리콘 함유 물질인 경우에는, 전극판(36) 표면에서 스퍼터된 실리콘이 폴리머와 반응하여 포토레지스트막 표면에 SiC가 형성되고, 포토레지스트막이 지극히 강고한 것이 되고, 또한, Si는 F와 반응하기 쉽기 때문에 상기 효과가 특히 크다. 따라서, 전극판(36)의 재료로서는 실리콘 함유물질이 바람직하다. 또한, 이 경우에, 가변 직류 전원(50)으로부터의 인가전압을 제어하는 대신에, 인가 전류 또는 인가 전력을 제어하도록 해도 좋다.
이와 같이 상부 전극(34)에 직류 전압을 인가하여 자기 바이 어스 전압(Vdc)이 커진 경우에는, 도 3에 도시하는 바와 같이 상부 전극(34)측에 형성되는 플라즈마 시스의 두께가 커진다. 그리고, 플라즈마 시스가 두꺼워지면, 그만큼만 플라즈마가 축소화된다. 예컨대, 상부 전극(34)에 직류 전압을 인가하지 않는 경우에는 상부 전극측의 Vdc가 예컨대 -100 V 이며, 도 3의 (a)에 도시하는 바와 같이 플라즈마는 얇은 시스 두께(d0)를 갖는 상태이다. 그러나, 상부 전극(34)에 -900 V의 직류 전압을 인가하면 상부 전극측의 Vdc가 -900 V가 되어, 플라즈마 시스의 두께는, Vdc의 절대값의 3/4에 비례하기 때문에, 도 3의 (b)에 도시하는 바와 같이 보다 두꺼운 플라즈마 시스(d1)가 형성되어, 그 만큼 플라즈마가 축소화한다. 이와 같이 두꺼운 플라즈마 시스를 형성하여, 플라즈마를 적절히 축소화함으로써, 반도체 웨이퍼(W) 상의 실효 레지던스 타임이 감소하고, 또한 플라즈마가 웨이퍼(W) 상에 집중하고 확산이 억제되어 해리 공간이 감소한다. 이로 의해, 플루오르카본계의 처리 가스의 해리가 억제되어, 포토레지스트막이 에칭되기 어려워진다. 따라서, 가변 직류 전원(50)으로부터의 인가전압은, 상부 전극(34)에 있어서의 플라즈마 시스의 두께가 원하는 축소화된 플라즈마가 형성될 정도로 두꺼워지도록 컨트롤러(51)에 의해 제어하는 것이 바람직하다. 이 경우에도, 가변 직류 전원(50)으로부터의 인가전압을 제어하는 대신에, 인가 전류 또는 인가 전력을 제어하도록 해도 좋다.
또한, 플라즈마가 형성될 때에는, 상부 전극(34) 근방에 전자가 생성된다. 상부 전극(34)에 가변 직류 전원(50)으로부터 직류 전압을 인가하면, 인가한 직류 전압값과 플라즈마 전위와의 전위차에 의해, 전자는 처리 공간의 연직 방향으로 가속된다. 가변 직류 전원(50)의 극성, 전압값, 전류값을 원하는 것으로 하는 것에 의해, 전자는 반도체 웨이퍼(W)에 조사된다. 조사된 전자는, 마스크로서의 포토레지스트막의 조성을 개질시켜, 포토레지스트막은 강화된다. 따라서, 가변 직류 전원(50)의 인가 전압값 및 인가 전류값에 의해 상부 전극(34) 근방에서 생성하는 전자의 량과, 이러한 전자의 웨이퍼(W)로의 가속 전압을 제어함으로써, 포토레지스트막에 대한 소정의 강화를 도모할 수 있다.
특히, 반도체 웨이퍼(W) 상의 포토레지스트막이 ArF 엑시머 레이저(파장 193nm)용의 포토레지스트막(이하, ArF 레지스트막이라고 함)인 경우, ArF 레지스트막의 폴리머 구조는, 이하의 화학식(1), (2)에 나타내는 것 같은 반응을 거쳐, 전자가 조사되어 화학식(3)의 우변과 같은 구조가 된다. 즉, 전자가 조사되면 화학식(3)의“d”부에 도시하는 바와 같이 ArF 레지스트막의 조성의 개질이 일어난다(레지스트의 가교 반응). 이“d”부는, 에칭 내성(플라즈마 내성)을 매우 강하게 하는 기능을 갖기 때문에, ArF 레지스트막의 에칭 내성은 비약적으로 증대한다. 이 때문에, ArF 레지스트막의 표면 거칠함을 억제할 수 있어, ArF 레지스트막에 대한 에칭 대상층의 에칭 선택비를 높일 수 있다.
[화학식]
Figure 112006096915583-pat00001
따라서, 가변 직류 전원(50)로부터의 인가 전압값 · 전류값은, 전자의 조사에 의해서 포토레지스트막(특히 ArF 레지스트막)의 에칭 내성이 강해지도록, 컨트롤러(51)에 의해 제어하는 것이 바람직하다.
또한, 상술한 바와 같이, 상부 전극(34)에 직류 전압을 인가하면, 플라즈마가 형성될 때에 상부 전극(34) 근방에 생성된 전자가 처리 공간의 연직 방향으로 가속되지만, 가변 직류 전원(50)의 극성, 전압값, 전류값을 원하는 것으로 하는 것에 의해, 전자를 반도체 웨이퍼(W)의 홀내에 도달시킬 수 있어, 셰이딩 효과를 억제하여 보잉(bowing)이 없는 양호한 가공 형상을 얻을 수 있어, 가공 형상의 균일성을 양호하게 할 수 있다.
가속 전압이 제어된 전자가 웨이퍼(W)에 입사하는 전자량으로서, 직류 전압에 의한 전자 전류량 IDC를 이용한 경우에, 플라즈마로부터 웨이퍼에 입사하는 이온 전류량 Iion이라고 하면, IDC > (1/2)Iion을 만족시키는 것이 바람직하다. Iion = Z·ρ·Vion·e(단, Z: 하수(荷數), ρ: 유속 밀도, Vion: 이온 속도, e: 전자의 전하량 1.6 × 10-19C)이고, ρ는 전자 밀도 Ne에 비례하기 때문에 Iion은 Ne에 비례한다.
이와 같이, 상부 전극(34)에 인가하는 직류 전압을 제어하여, 상기 상부 전극(34)의 스퍼터 기능 또는 플라즈마의 축소화 기능, 또한 상기 상부 전극(34)에서 생성되는 다량의 전자의 반도체 웨이퍼(W)로의 공급 기능이 발휘되는 것에 의해, 포토레지스트막의 강화나 최적 폴리머의 공급, 처리 가스의 해리 억제 등이 도모되 어, 포토레지스트의 표면 거칠어짐 등을 억제할 수 있어, 포토레지스트막에 대한 에칭 대상층의 에칭 선택비를 높일 수 있다. 그와 함께, 포토레지스트의 개구부에서의 CD의 확대를 억제할 수 있어, 보다 고밀도의 패턴 형성을 실현할 수 있다. 특히, 이들 스퍼터 기능 및 플라즈마의 축소화 기능 및 전자의 공급 기능 3가지가 적절히 발휘되도록 직류 전압을 제어하는 것에 의해, 이러한 효과를 보다 높일 수 있다.
또한, 상기 각 기능 중 어느 것이 우세하게 발생할지는 처리 조건 등에 따라 다르고, 이들 기능의 하나 이상이 발휘되어, 상기 효과를 유효하게 달성하도록, 가변 직류 전원(50)으로부터 인가되는 전압을 컨트롤러(51)에 의해 제어하는 것이 바람직하다.
또한, 상부 전극(34)에 인가하는 직류 전압을 조정하는 것에 의해, 플라즈마 포텐셜을 제어할 수 있다. 이에 의해, 상부 전극(34)이나 챔버벽을 구성하는 데포 실드(11), 내벽부재(26), 절연성 차폐부재(42)에의 에칭부생물의 부착을 억제하는 기능을 갖는다.
에칭 부생성물이 상부 전극(34)이나 챔버벽을 구성하는 데포 실드(11)등에 부착하면, 프로세스 특성의 변화나 파티클의 우려가 있다. 특히, 다층막을 연속하여 에칭할 경우, Si계 유기막(SiOC), SiN막, SiO2막, 포토레지스트를 반도체 웨이퍼(W) 상에 순차적으로 적층한 다층막을 연속하여 에칭할 경우에는, 각 막에 따라서 에칭 조건이 다르기 때문에, 앞의 처리의 영향이 잔존하여 다음 처리에 악영향 을 끼치는 메모리 효과가 발생해 버린다.
이러한 에칭 부생성물의 부착은 플라즈마 포텐셜과 상부 전극(34)이나 챔버벽 등간의 포텐셜차에 의해서 영향을 받기 때문에, 플라즈마 포텐셜을 제어할 수 있으면, 이러한 에칭 부생성물의 부착을 억제할 수 있다.
이상, 가변 직류 전원(50)으로부터 상부 전극(34)에 인가하는 전압을 제어하는 것에 의해, 플라즈마 포텐셜을 저하시킬 수 있고, 상부 전극(34)이나 챔버벽을 구성하는 데포 실드(11), 또한 챔버(10)내의 절연재(부재(26, 42))에의 에칭부생물의 부착을 억제할 수 있다. 플라즈마 포텐셜(Vp)의 값으로서는, 80V ≤ Vp ≤ 200V의 범위가 바람직하다.
또한, 상부 전극(34)에 직류 전압을 인가하는 것에 의한 다른 효과로서, 인가한 직류 전압에 의해서 플라즈마가 형성되는 것에 의해, 플라즈마 밀도를 높여 에칭 레이트를 상승시키는 것을 들 수 있다.
이것은, 상부 전극에 음의 직류 전압을 인가하면, 전자가 상부 전극에 들어가기 어려워져 전자의 소멸이 억제되는 것과, 이온이 상부 전극에 가속되어 들어가면 전자가 전극으로부터 나갈 수 있어, 그 전자가 플라즈마 전위와 인가 전압값의 차로 고속으로 가속되어 중성 가스를 전리(電離)(플라즈마화)함으로써, 전자 밀도(플라즈마 밀도)가 증가하기 때문이다.
이것을 실험 결과에 근거하여 설명한다.
도 4는, 하부 전극인 서셉터(16)에 인가하는 제 1 고주파 전력의 주파수를 40 MHz, 제 2 고주파 전력의 주파수를 3.2 MHz로 하고, 압력: 4 Pa로 한 HARC(high aspect ratio contact) 에칭의 조건에서, 상부 전극에 인가하는 음의 직류 전압의 절대값을 0V, 300V, 600V, 900V로 변화시켰을 때에 있어서의, 각 고주파 전력의 출력과 전자 밀도 분포와의 관계를 도시하는 도면이다. 또한, 도 5는, 동일한 주파수의 2개의 고주파 전력을 인가하고, 압력을 6.7 Pa의 Via 에칭의 조건에서, 동일하게 상부 전극에 인가하는 직류 전압의 절대값을 0V, 300V, 600V, 900V로 변화시켰을 때에 있어서의, 각 고주파 전력의 출력과 전자 밀도 분포와의 관계를 도시하는 도면이다. 이들 도면에 도시하는 바와 같이, 인가하는 직류 전압의 절대값이 커짐에 따라서, 전자 밀도(플라즈마 밀도)가 상승하고 있는 것을 알 수 있다. 도 6은, 상기 HARC 에칭에서, 제 1 고주파 전력을 3000 W, 제 2 고주파 전력을 4000 W로 한 경우의 웨이퍼 직경 방향의 전자 밀도 분포를 도시하는 도면이다. 이 도면에 도시하는 바와 같이, 인가하는 직류 전압의 절대값이 커질수록 전자 밀도가 높아지는 것을 알 수 있다.
또한, 플라즈마가 형성된 경우에, 상부 전극(34)에 가변 직류 전원(50)으로부터 직류 전압을 인가하는 것에 의해, 트랜치 에칭시에 특히 중심부의 플라즈마 밀도를 상승시킬 수 있다. 트랜치 에칭시의 조건과 같은, 챔버(10)내의 압력이 높게 또한 사용하는 에칭 가스가 음성 가스인 경우에는, 챔버(10)내의 중심부의 플라즈마 밀도가 낮게 되는 경향에 있지만, 이와 같이 상부 전극(34)에 직류 전압을 인가하여 중심부의 플라즈마 밀도를 상승시키는 것에 의해, 플라즈마 밀도가 균일화하도록 플라즈마 밀도를 컨트롤할 수 있다.
이것을 실험 결과에 의해서 설명한다.
도 2의 장치에 있어서, 반도체 웨이퍼를 챔버내에 장입하여 서셉터 상에 탑재하고, 처리 가스로서 CF4 가스, CHF3 가스, Ar 가스, N2 가스를 챔버내에 도입하여, 챔버내의 압력을 26.6 Pa로 하고, 제 1 고주파 전력을 40 MHz에서 300 W, 제 2 고주파 전력을 3.2 MHz에서 1000 W로 하여 하부 전극인 서셉터에 인가한다는 트랜치 에칭의 조건에서, 상부 전극으로의 직류 전압을 인가하지 않는 경우와 -600 W 인가한 경우에서 웨이퍼 직경 방향의 전자 밀도(플라즈마 밀도) 분포를 측정했다. 그 결과를 도 7에 도시한다. 이 도면에 도시하는 바와 같이, 직류 전압을 인가하지 않는 경우에는, 웨이퍼 중심부의 전자 밀도가 다른 부분보다도 낮은 데 대하여, 직류 전압을 인가하는 것에 의해, 웨이퍼 중심부의 전자 밀도를 상승시켜 전자 밀도가 균일화되어 있는 것이 확인되었다. 또한, 직류 전압을 인가하는 것에 의해, 전자 밀도가 전체적으로 상승했다.
이상과 같이, 상부 전극(34)에 인가하는 직류 전압을 제어하는 것에 의해, 상술한 상부 전극(34)의 스퍼터 기능, 플라즈마의 축소화 기능, 전자의 공급 기능, 플라즈마 포텐셜 제어 기능, 전자 밀도(플라즈마 밀도) 상승 기능, 및 플라즈마 밀도 컨트롤 기능 중 적어도 하나를 유효하게 발휘시키는 것이 가능하다.
이상, 상부 전극(34)에 직류(DC) 전압을 인가한 경우의 넓은 의미에 있어서의 작용 효과에 대하여 설명했다.
본 실시형태에서는, 상부 전극에 직류 전압을 인가하는 플라즈마 에칭 장치로서, 하부 전극에 플라즈마 형성용의 제 1 고주파(RF) 전력 및 이온 인입용의 제 2 고주파(RF) 전력을 인가하는 하부 RF 2주파 인가형의 플라즈마 에칭 장치를 이용하고 있지만, 하부 RF 2주파 인가형의 플라즈마 에칭 장치의 다른 용량 결합형 플라즈마 에칭 장치에 대한 우위점으로서는, 이하에 나타내는 점을 들 수 있다.
우선, 본 실시형태와 같이 하부 전극에 플라즈마 형성용의 고주파 전력을 인가함으로써, 웨이퍼에 보다 가까운 곳에서 플라즈마를 형성할 수 있기 때문에, 또한 플라즈마가 넓은 영역으로 확산하지 않고 처리 가스의 해리를 억제할 수 있기 때문에, 처리 용기내의 압력이 높고 플라즈마 밀도가 낮은 조건이더라도, 웨이퍼에 대한 에칭레이트를 상승시킬 수 있다. 또한, 플라즈마 형성용의 고주파 전력의 주파수가 높은 경우라도, 비교적 큰 이온 에너지를 확보할 수 있기 때문에 고효율적이다. 이에 대하여, 상부 전극에 플라즈마 형성용의 고주파 전력을 인가하는 타입의 장치에서는, 상부 전극 근방에 플라즈마가 생성되기 때문에, 처리 용기내의 압력이 높고 플라즈마 밀도가 낮은 조건에서는, 웨이퍼에 대한 에칭레이트를 상승시키기 어렵다.
또한, 본 실시형태와 같이 하부 전극에 플라즈마 형성용의 고주파 전력과 이온 인입용의 고주파 전력을 따로따로 인가함으로써, 플라즈마 에칭에 필요한 플라즈마 형성의 기능과 이온 인입의 기능을 독립적으로 제어하는 것이 가능해진다. 이에 대하여, 하부 전극에 1주파의 고주파 전력을 인가하는 타입의 장치에서는, 플라즈마 형성의 기능과 이온 인입의 기능을 독립으로 제어하는 것이 불가능하며, 높은 미세 가공성이 요구되는 에칭의 조건을 만족시키기 어렵다.
이상과 같이, 웨이퍼에 가까운 곳에서 플라즈마를 형성하는 것이 가능하고 플라즈마가 넓은 영역으로 확산하지 않고, 또한 플라즈마 형성의 기능과 이온 인입의 기능을 독립으로 제어하는 것이 가능한, 하부 RF 2주파 인가형의 플라즈마 에칭 장치에, 상부 전극에 직류 전압을 인가하는 것에 의해, 또한 상부 전극의 스퍼터 기능, 플라즈마의 축소화 기능, 웨이퍼로의 전자의 공급 기능, 플라즈마 포텐셜의 제어 기능, 플라즈마 밀도의 상승 기능, 플라즈마 밀도 컨트롤 기능 중 적어도 하나를 더불어 가질 수 있게 되기 때문에, 최근의 에칭 미세 가공에 적합한 보다 높은 퍼포먼스를 갖는 플라즈마 에칭 장치를 제공할 수 있다.
또한, 상부 전극(34)으로의 직류 전압 인가는 선택적이어도 좋다. 상부 전극(34)으로의 직류 전압 인가가 필요한 에칭 조건에 있어서는, 가변 직류 전원(50) 및 도 2에 나타낸 릴레이 스위치(52)를 온으로 하고, 상부 전극(34)으로의 직류 전압 인가가 특히 필요 없는 에칭 조건에 있어서는, 가변 직류 전원(50) 및 릴레이 스위치(52)를 오프로 하면 좋다.
또한, 상부 전극(34)에 직류 전압을 인가할 때, 상부 전극(34)이 접지되어 있으면 직류 전압 인가의 기능이 없어지기 때문에, 상부 전극(34)은 DC적으로 플로팅일 필요가 있다. 모식도로서 도 8에 도시한다. 도 8에 있어서 전기적으로 커패시터(501, 502, 503)를 형성하고 있는 부분은, 실제로는 유전체가 들어가게 되어, 상부 전극(34)은 유전체를 거쳐서 처리 용기(10) 및 접지 도체(10a)에 대하여 DC적인 플로팅으로 되어있다. 또한, 고주파 전원(88, 90)으로부터 하부 전극(16)에 인가된 고주파 전력은, 처리 공간을 거쳐서 상부 전극(34)에 도달하여, 커패시터(501, 502, 503)를 거쳐서, 접지된 처리 용기(10) 및 접지 도체(10a)에 도달한 다.
그리고, 가변 직류 전원(50) 및 릴레이 스위치(52)를 오프로 하여, 상부 전극(34)에 직류 전압을 인가하지 않는 경우는, 상부 전극(34)을 접지 상태 또는 DC 적으로 플로팅 상태 중 어느 한 상태로 가변 가능하게 해도 좋다. 도 9의 예에서는, 상부 전극(34)에 직류 전압을 인가하지 않는 경우는, 접지 도체(10a)와 상부 전극(34)을 스위치(가변 장치)(504)에 의해 단락시켜 상부 전극(34)을 접지 상태로 하고 있지만, 스위치(가변 장치)(504)를 오프로 하여 상부 전극(34)을 DC적으로 플로팅 상태로 하여도 좋다.
또한, 도 10과 같이, 전기적으로 커패시터(501)를 형성하고 있는 부분을, 전기적으로 캐패시턴스가 가변할 수 있도록 구성하더라도 좋다. 이에 의해, 상부 전극의 포텐셜을 가변할 수 있다.
또한, 도 11에 도시하는 바와 같이 예컨대 플라즈마 검출창(13)으로부터 플라즈마의 상태를 검출하는 검출기(55)를 마련하여, 그 검출 신호에 근거하여 컨트롤러(51)가 가변 직류 전원(50)을 제어하도록 하는 것에 의해, 상술한 기능을 유효하게 발휘하는 직류 전압을 자동적으로 상부 전극(34)에 인가하는 것이 가능하다. 또한, 시스 두께를 검출하는 검출기 혹은 전자 밀도를 검출하는 검출기를 마련하여, 그 검출 신호에 근거하여 컨트롤러(51)가 가변 직류 전원(50)을 제어하도록 해도 좋다.
그런데, 상부 전극(34)에 직류 전압을 인가하면, 상부 전극(34)에 전자가 쌓여, 챔버(10)의 내벽과의 사이 등에 이상 방전이 발생할 우려가 있다. 이러한 이 상 방전을 억제하기 위해서, 본 실시형태에서는 DC 적으로 접지된 파트인 GND 블럭(도전성 부재)(91)을 챔버벽측의 데포 실드(11)에 마련하고 있다. 이 GND 블럭(91)은 플라즈마면에 노출하고 있고, 데포 실드(11) 내부의 도전부에 전기적으로 접속되어 있어, 가변 직류 전원(50)으로부터 상부 전극(34)에 인가된 직류 전압 전류는, 처리 공간을 지나서 GND 블럭(91)에 도달하여, 데포 실드(11)를 거쳐서 접지된다. GND 블럭(91)은 도전체이며, Si, SiC 등의 실리콘 함유 물질인 것이 바람직하다. C도 적합하게 이용할 수 있다. 이 GND 블럭(91)에 의해, 상기 상부 전극(34)에 쌓이는 전자를 놓아줄 수 있어, 이상 방전을 방지할 수 있다. GND 블럭(91)의 돌출 길이는 10mm 이상인 것이 바람직하다.
또한, 이상 방전을 방지하기 위해서, 상부 전극(34)에 직류 전압을 인가할 경우에, 적절한 수단에 의해 직류 전압에 중첩하여 도 12에 도시하는 매우 짧은 반대 극성의 펄스를 주기적으로 부여하여 전자를 중화하는 방법도 유효하다.
상기 GND 블럭(91)은, 플라즈마 형성 영역에 마련되어 있으면, 그 위치는 도 2의 위치에 한하지 않고, 예컨대, 도 13에 도시하는 바와 같이 서셉터(16)의 주위에 마련하는 등, 서셉터(16)측에 마련해도 좋고, 또한 도 14에 도시하는 바와 같이 상부 전극(34)의 외측에 링 형상으로 마련하는 등, 상부 전극(34) 근방에 마련해도 좋다. 단지, 플라즈마를 형성했을 때에, 데포 실드(11) 등에 피복되어 있는 Y2O3나 폴리머가 비상하여, 그것이 GND 블럭(91)에 부착하면, DC적으로 접지되지 않게 되어, 이상 방전 방지 효과를 발휘하기 어려워지기 때문에, 이들이 부착하기 어려운 것이 중요해진다. 그것을 위해서는, GND 블럭(91)이 Y2O3 등으로 피복된 부재로부터 떨어진 위치인 것이 바람직하고, 인접 파트로서는 Si나 석영(SiO2) 등의 Si 함유물질인 것이 바람직하다. 예컨대, 도 15의 (a)에 도시하는 바와 같이, GND 블럭(91)의 주위에 Si 함유부재(93)를 마련하는 것이 바람직하다. 이 경우에, Si 함유 부재(93)의 GND 블럭(91)의 아래 부분의 길이(L)는 GND 블럭(91)의 돌출 길이(M) 이상인 것이 바람직하다. 또한, Y2O3나 폴리머의 부착에 의한 기능 저하를 억제하기 위해서, 도 15의 (b)에 도시하는 바와 같이 GND 블록(91)에 비상물이 부착하기 어려운 오목부(91a)를 마련하는 것이 유효하다. 또한, GND 블럭(91)의 표면적을 크게하여, Y2O3나 폴리머에 덮어지기 어렵게 하는 것도 유효하다. 또한, 부착물을 억제하기 위해서는 온도가 높은 것이 유효하지만, 상부 전극(34)에는 플라즈마 형성용의 고주파 전력이 공급되어, 그 근방의 온도가 상승하기 때문에, 온도를 상승시켜 부착물을 부착시키지 않는 관점에서 상기 도 14와 같이 상부 전극(34)의 근방에 마련하는 것도 바람직하다. 이 경우, 특히, 상기 도 14와 같이, 상부 전극(34)의 외측에 링 형상으로 마련하는 것이 보다 바람직하다.
다음에, 상부 전극에 직류 전압을 인가하는 플라즈마 에칭 장치로서, 하부 전극에 플라즈마 형성용의 제 1 고주파(RF) 전력 및 이온 인입용의 제 2 고주파(RF) 전력을 인가하는 상기 하부 RF 2주파 인가형의 플라즈마 에칭 장치를 이용하여, 웨이퍼(W) 상의 유기막 또는 어모퍼스 카본막을, 실리콘을 포함하는 마스크를 이용하여 에칭하는 방법에 대하여 구체적으로 설명한다.
도 16은, 본 발명의 플라즈마 에칭이 적용되는 웨이퍼(W)의 단면 구조도이다. 이 웨이퍼(W)는, 도 16(a)에 도시하는 바와 같이 실리콘 기판(401), 유기계 재료막으로서의 유기막(402), 무기계 재료막으로서의 실리콘산 질화막(SiON막)(403), 반사 방지막으로서의 BARC(404)가 아래로부터 그 순서대로 적층되고, 또한 그 상층에 소정의 형상으로 패터닝된 에칭 마스크로서의 ArF 레지스트막(405)이 형성되어 있다.
또한, 유기막(402)은 어모퍼스 카본막으로 대체하더라도 좋다. 또한, 실리콘 산질화막(403) 대신에, 실리콘을 포함하는 막, 예컨대 실리콘 산화막(SiO2막)이나 실리콘 질화막(Si3N4막)과 같은, 일반적인 하드 마스크로서 이용되는 재료이더라도 좋다. 또한, 실리콘 기판(401)과 유기막(402)의 사이에 실리콘 산화막이나 실리콘 질화막이 개재되어 있더라도 좋다.
이 웨이퍼(W)에 대하여, 처리 가스 공급원(66)으로부터 CF4 가스를 챔버(10)내에 공급하면서, 하부 전극인 서셉터(16)에, 제 1 고주파 전원(88)으로부터 플라즈마 생성용의 제 1 고주파 전력을 소정의 파워로 인가함과 동시에, 제 2 고주파 전원(90)으로부터 이온 인입용의 제 2 고주파 전력을 소정의 파워로 인가하여, BARC(404) 및 실리콘 산질화막(403)을 에칭한다. 이에 의해, 도 16(b)에 도시하는 바와 같이, ArF 레지스트막(405)에 대응한 오목부(홈 또는 홀)를 형성한다.
이 때의 전형적인 에칭 조건은 이하와 같다.
챔버내 압력 = 10Pa
고주파 전력(제 1/제 2) = 400W/400W
처리 가스 유량 CF4 = 180sccm(mL/min)
처리 시간 = 30sec
백프레셔(헬륨 가스: 센터부/에지부)= 2000Pa/4000Pa
상부 전극(34)의 온도 = 120℃
챔버(10)측벽의 온도 = 120℃
서셉터(16)의 온도 = 20℃
그리고, 도 16(b)에 나타내는 웨이퍼 구조에 대하여, 처리 가스 공급원(66)으로부터 CF 계 가스를 포함하지 않는 처리 가스, 예컨대 O2 가스를 챔버(10)내에 공급하면서, 하부 전극(16)에 제 1 고주파 전력과 제 2 고주파 전력을 각각 소정의 파워로 인가하고, 또한 상부 전극(34)에 직류 전원(50)으로부터의 직류 전압을 인가한다. 이 경우, 상부 전극(34)에 인가하는 직류 전압값으로서는 -100V∼-1500V의 범위내로 하는 것이 바람직하고, -100V∼-1000V의 범위내가 더욱 바람직하고, -100V∼-600V의 범위내가 보다 한층 더 바람직하다. 이렇게 하여 에칭 처리하는 것에 의해, 상기 오목부내의 유기막(402)이 에칭됨과 동시에, ArF 레지스트막(405)과 BARC(404)도 동시에 에칭되어, 실리콘 산질화막(403)이 에칭스톱층으로서 기능하여, 결과적으로 도 16(c)과 같은 웨이퍼 구조가 된다.
유기막 또는 어모퍼스 카본막을 실리콘을 포함하는 마스크를 이용하여 에칭 할 때의 CF 계 가스를 포함하지 않는 처리 가스로서는, 상기 O2 단(單)가스 외에, 예컨대(O2, N2) 또는 (O2, N2, CO) 또는 (O2, CO) 또는 (O2, CO2) 또는 (O2, CH4) 또는 (O2, NH3)라는 O2 가스와 다른 가스와의 조합을 들 수 있어, 이 경우에도 O2 가스와 동일한 효과를 발휘할 수 있다.
유기막 또는 어모퍼스 카본막을 실리콘을 포함하는 마스크를 이용하여 에칭할 때의 전형적인 조건은 이하와 같다.
챔버내 압력 = 1Pa
고주파 전력(제 1/제 2) = 400W/400W
처리 가스 유량 O2 = 150sccm
처리 시간 = 100sec
백프레셔(헬륨 가스 : 센터부/에지부) = 2000Pa/4000Pa
상부 전극(34)의 온도 = 120℃
챔버(10)측벽의 온도 = 120℃
서셉터(16)의 온도 = 20℃
상부 전극(34)에의 직류 전압값 = -250V
이와 같이, 도 16(b)과 같은 웨이퍼 구조에 대하여, 유기막(402)을 에칭하면서, 실리콘 산질화막(403)을 마스크로서 이용할 경우, O2 가스와 같은 CF 계 가스를 포함하지 않는 처리 가스를 챔버(10)내에 공급하고, 하부 전극(16)에 제 1 고주파 전력과 제 2 고주파 전력을 각각 소정의 파워로 인가하고, 또한 상부 전극(34)에 직류 전원(50)으로부터의 직류 전압을 인가하면, 유기막(402)의 에칭레이트를 상승시킬 수 있음과 동시에, 실리콘 산질화막(403)의 숄더 로스(숄더 로스)를 막을 수 있어, 실리콘 산질화막(403)에 대한 유기막(402)의 에칭 선택비를 높게 할 수 있다.
여기서, 도 16(b)과 같은 웨이퍼 구조에 대하여, 상부 전극(34)에 직류 전원(50)으로부터의 직류 전압을 인가하지 않는 경우를 생각한다. 유기막(402)의 에칭레이트를 상승시키기 위해서는, 제 1 고주파 전력의 값을 높게 해야 한다. 그러나, 제 1 고주파 전력의 값을 높게 하면, 플라즈마 밀도가 상승하기 때문에 유기막(402)의 에칭레이트를 높게 할 수 있지만, 동시에 하부 전극(16) 상의 자기 바이 어스 전압(Vdc)도 상승해 버린다. 자기바이어스 전압이 상승한다는 것은, 바꿔 말하면 웨이퍼(W)와 플라즈마 공간간의 전위차가 커진다는 것이기 때문에, 플라즈마중의 이온의 실리콘 산질화막(403)에의 입사 에너지가 높아져, 실리콘 산질화막(403)의 대미지가 커진다. 그렇게 하면, 도 17에 도시하는 바와 같이 실리콘 산질화막(403)의 오목부에 가까운 부분이 특히 깎여버려, 실리콘 산질화막(403)의 형상이 산형상이 된다, 이른바 숄더 로스(숄더 로스)라는 현상이 발생해 버린다. 즉, 제 1 고주파 전력의 값을 높게 하면, 유기막(402)의 에칭레이트는 상승하지만, 유기막(402)의 실리콘 산질화막(403)에 대한 에칭 선택비는 저하해 버린다.
이에 대하여, 상부 전극(34)에 직류 전원(50)으로부터의 직류 전압을 인가한 경우, 단락 <82>, <83>에서 기술한 원리와 동일한 원리로 플라즈마 밀도를 상승시킬 수 있기 때문에, 제 1 고주파 전력의 값을 높게 하지 않아도, 플라즈마 밀도를 상승시킬 수 있다. 또한, 제 1 고주파 전력의 값을 높게 하지 않기 때문에, 자기 바이어스 전압(Vdc)이 상승하여 플라즈마중의 이온의 실리콘 산질화막(403)에의 입사에너지가 높아지는 것에 따른 실리콘 산질화막(403)의 숄더 로스의 현상을 방지할 수 있다.
다음에, 상술한 에칭레이트 상승의 효과 및 에칭 선택비 상승(숄더 로스 방지)의 효과를 실험예에 의해서 도시한다.
도 18은, 가로축에 웨이퍼 위치(mm)를 나타내고, 세로축에 에칭레이트(nm/min)를 나타내어, 포토레지스트막을 에칭했을 때의 에칭레이트를 나타내는 도이다. 또한, 도 19는, 가로축에 웨이퍼 위치(mm)를 나타내고, 세로축에 스퍼터레이트(nm/min)를 나타내어, 실리콘 산화막을 스퍼터링(에칭)했을 때의 스퍼터레이트를 도시하는 도이다. 이들에 있어서, (a)는 상부 전극에 직류 전압을 인가하지 않는 경우, (b)는 상부 전극에 -250V의 직류 전압을 인가한 경우를 표시하고 있다. 또한, 도 19(b)에 있어서의 마이너스값의 스퍼터레이트란, 실리콘 산화막이 스퍼터되지 않고 반대로 퇴적물이 실리콘 산화막 상에 퇴적한 것을 표시하고 있다.
도 18과 도 19의 에칭 조건은 처리 시간이외는 동일하다. 이하에 이 때의 에칭 조건을 도시한다.
(에칭 조건)
챔버내 압력 = 1Pa
고주파 전력(제 1/제 2) = 400W/400W
처리 가스 유량 O2 = 150sccm(mL/min)
처리 시간(도 18) = 60sec
처리 시간(도 19) = 120sec
백프레셔(헬륨 가스: 센터부/에지부) = 2000Pa/4000Pa
상부 전극(34)의 온도 = 120℃
챔버(10) 측벽의 온도 = 120℃
서셉터(16)의 온도 = 20℃
도 18에서 알 수 있듯이, 상부 전극(34)에 직류 전압을 인가함으로써, 포토레지스트막의 에칭레이트는 상승한다. 또한 도 19에서 알 수 있듯이 상부 전극(34)에 직류 전압을 인가하더라도, 실리콘 산화막의 스퍼터레이트는 상승하지 않는다(스퍼터되지 않고 반대로 퇴적한다).
여기서, 도 18과 도 19의 에칭 조건은 처리 시간이외에 동일하므로, 도 18에 있어서의 포토레지스트막을 상기 도 16에서 설명한 유기막(402)으로, 도 19에 있어서의 실리콘 산화막을 상기 도 16에서 설명한 실리콘 산질화막(403)으로 바꾸어 생각할 수 있어, 따라서, 상기 도 16에 도시하는 구체적인 케이스에 있어서, 상부 전극(34)에 직류 전압을 인가함으로써, 유기막(402)의 에칭레이트를 상승시킬 수 있음과 동시에, 실리콘 산질화막(403)에 대한 유기막(402)의 에칭 선택비를 높게 할 수 있는 것이 실험에 의해서 실증된 것이 된다.
또한, 상기 제 1 고주파 전력 및 제 2 고주파 전력이 취하는 주파수를 예시하면, 제 1 고주파 전력으로서는, 13.56 MHz, 27 MHz, 40 MHz, 60 MHz, 80 MHz, 100 MHz, 160 MHz를 들 수 있고, 제 2 고주파 전력으로서는, 380kHz, 800kHz, 1 MHz, 2 MHz, 3.2 MHz, 13.56 MHz를 들 수 있어, 프로세스에 따라 적절한 조합으로 이용할 수 있다.
본 발명에 의하면, 피처리 기판 상의 유기막 또는 어모퍼스 카본막을, 실리콘을 포함하는 마스크를 이용하여 에칭할 때에, 고 에칭레이트로 또한 고 에칭 선택비의 에칭을 실행할 수 있다.

Claims (7)

  1. 피처리 기판이 수용되고, 진공배기 가능한 처리 용기와,
    처리 용기내에 대향하여 배치되는 제 1 전극 및 피처리 기판을 지지하는 제 2 전극과,
    상기 제 2 전극에 상대적으로 주파수가 높은 제 1 고주파 전력을 인가하는 제 1 고주파 전력 인가 유닛과,
    상기 제 2 전극에 상대적으로 주파수가 낮은 제 2 고주파 전력을 인가하는 제 2 고주파 전력 인가 유닛과,
    상기 제 1 전극에 직류 전압을 인가하는 직류 전원과,
    상기 처리 용기내에 처리 가스를 공급하는 처리 가스 공급 유닛을
    구비하는 플라즈마 에칭 장치를 이용한 상기 피처리 기판의 플라즈마 에칭 방법에 있어서,
    상기 피처리 기판 상의 유기막 또는 어모퍼스(amorphous) 카본막을, 실리콘을 포함하는 마스크를 이용하여 에칭할 때, 상기 제 2 전극에 상기 제 1 고주파 전력 및 제 2 고주파 전력을 인가하여, 상기 처리 가스 공급 유닛으로부터 토출되는 CF 계 가스를 포함하지 않는 처리 가스를 플라즈마화하고, 또한 상기 제 1 전극에 직류 전압을 인가함으로써, 상기 피처리 기판의 플라즈마 에칭을 실행하는 것을 특징으로 하는 플라즈마 에칭 방법.
  2. 제 1 항에 있어서,
    상기 CF 계 가스를 포함하지 않는 처리 가스로서, O2, 및 O2, N2의 조합, 및 O2, N2, CO의 조합, 및 O2, CO의 조합, 및 O2, CO2의 조합, 및 O2, CH4의 조합, 및 O2, NH3의 조합 중 어느 하나를 사용하는 것을 특징으로 하는 플라즈마 에칭 방법.
  3. 제 1 항 또는 제 2 항에 있어서,
    상기 직류 전원으로부터의 직류 전압값은, -100V∼-1500V의 범위내인 것을 특징으로 하는 플라즈마 에칭 방법.
  4. 제 3 항에 있어서,
    상기 직류 전원으로부터의 직류 전압값은, -100V∼-1000V의 범위내인 것을 특징으로 하는 플라즈마 에칭 방법.
  5. 제 4 항에 있어서,
    상기 직류 전원으로부터의 직류 전압값은, -100V∼-600V의 범위내인 것을 특 징으로 하는 플라즈마 에칭 방법.
  6. 제 1 항 또는 제 2 항에 있어서,
    상기 제 1 전극은, 접지 전위에 대하여 직류적으로 플로팅 상태인 것을 특징으로 하는 플라즈마 에칭 방법.
  7. 컴퓨터상에서 동작하는 제어 프로그램이 기억된 컴퓨터 기억 매체에 있어서,
    상기 제어 프로그램은, 실행시에, 제 1 항 또는 제 2 항에 기재된 플라즈마 에칭 방법이 실행되도록, 플라즈마 처리 장치를 제어하는 것을 특징으로 하는 컴퓨터 판독 가능한 기억 매체.
KR1020060134818A 2005-12-28 2006-12-27 플라즈마 에칭 방법 및 컴퓨터 판독 가능한 기억 매체 KR100810773B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JPJP-P-2005-00378608 2005-12-28
JP2005378608A JP4827081B2 (ja) 2005-12-28 2005-12-28 プラズマエッチング方法およびコンピュータ読み取り可能な記憶媒体

Publications (2)

Publication Number Publication Date
KR20070070098A KR20070070098A (ko) 2007-07-03
KR100810773B1 true KR100810773B1 (ko) 2008-03-06

Family

ID=38214319

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020060134818A KR100810773B1 (ko) 2005-12-28 2006-12-27 플라즈마 에칭 방법 및 컴퓨터 판독 가능한 기억 매체

Country Status (5)

Country Link
US (1) US8128831B2 (ko)
JP (1) JP4827081B2 (ko)
KR (1) KR100810773B1 (ko)
CN (1) CN1992164B (ko)
TW (1) TWI450328B (ko)

Families Citing this family (53)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7840448B2 (en) 2003-05-07 2010-11-23 Cbs Interactive Inc. System and method for automatically generating a narrative product summary
US7951262B2 (en) 2004-06-21 2011-05-31 Tokyo Electron Limited Plasma processing apparatus and method
KR101346897B1 (ko) * 2006-08-07 2014-01-02 도쿄엘렉트론가부시키가이샤 에칭 방법 및 플라즈마 처리 시스템
CN101153396B (zh) * 2006-09-30 2010-06-09 中芯国际集成电路制造(上海)有限公司 等离子刻蚀方法
JP5047644B2 (ja) * 2007-01-31 2012-10-10 東京エレクトロン株式会社 プラズマエッチング方法、プラズマエッチング装置、制御プログラム及びコンピュータ記憶媒体
JP2009032947A (ja) * 2007-07-27 2009-02-12 Hitachi High-Technologies Corp 記録媒体
JP5514413B2 (ja) * 2007-08-17 2014-06-04 東京エレクトロン株式会社 プラズマエッチング方法
JP4905304B2 (ja) * 2007-09-10 2012-03-28 東京エレクトロン株式会社 プラズマ処理装置、プラズマ処理方法及び記憶媒体
JP4983575B2 (ja) * 2007-11-30 2012-07-25 パナソニック株式会社 プラズマ処理装置およびプラズマ処理方法
JP2009193988A (ja) * 2008-02-12 2009-08-27 Tokyo Electron Ltd プラズマエッチング方法及びコンピュータ記憶媒体
US8133819B2 (en) * 2008-02-21 2012-03-13 Applied Materials, Inc. Plasma etching carbonaceous layers with sulfur-based etchants
JP2009239012A (ja) * 2008-03-27 2009-10-15 Tokyo Electron Ltd プラズマ処理装置及びプラズマエッチング方法
JP5213496B2 (ja) 2008-03-31 2013-06-19 東京エレクトロン株式会社 プラズマエッチング方法及びコンピュータ読み取り可能な記憶媒体
JP5578782B2 (ja) * 2008-03-31 2014-08-27 東京エレクトロン株式会社 プラズマ処理方法及びコンピュータ読み取り可能な記憶媒体
US8263499B2 (en) 2008-03-31 2012-09-11 Tokyo Electron Limited Plasma processing method and computer readable storage medium
JP5064319B2 (ja) * 2008-07-04 2012-10-31 東京エレクトロン株式会社 プラズマエッチング方法、制御プログラム及びコンピュータ記憶媒体
JP5128421B2 (ja) 2008-09-04 2013-01-23 東京エレクトロン株式会社 プラズマ処理方法およびレジストパターンの改質方法
JP5171683B2 (ja) * 2009-02-18 2013-03-27 東京エレクトロン株式会社 プラズマ処理方法
US9117769B2 (en) 2009-08-27 2015-08-25 Tokyo Electron Limited Plasma etching method
JP2011049360A (ja) * 2009-08-27 2011-03-10 Tokyo Electron Ltd プラズマエッチング方法
JP5486883B2 (ja) * 2009-09-08 2014-05-07 東京エレクトロン株式会社 被処理体の処理方法
JP5563860B2 (ja) 2010-03-26 2014-07-30 東京エレクトロン株式会社 基板処理方法
US8846451B2 (en) * 2010-07-30 2014-09-30 Applied Materials, Inc. Methods for depositing metal in high aspect ratio features
JP5674375B2 (ja) 2010-08-03 2015-02-25 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US9117764B2 (en) * 2010-08-27 2015-08-25 Tokyo Electron Limited Etching method, substrate processing method, pattern forming method, method for manufacturing semiconductor element, and semiconductor element
CN102403219B (zh) * 2010-09-14 2015-10-07 中微半导体设备(上海)有限公司 一种铜制程等离子刻蚀方法
JP2012204644A (ja) * 2011-03-25 2012-10-22 Tokyo Electron Ltd プラズマ処理装置及びプラズマ処理方法
KR101330516B1 (ko) * 2012-04-24 2013-11-18 주식회사 테스 비정질 탄소막의 형성방법
JP6017928B2 (ja) 2012-11-09 2016-11-02 東京エレクトロン株式会社 プラズマエッチング方法及びプラズマエッチング装置
JP6035117B2 (ja) * 2012-11-09 2016-11-30 東京エレクトロン株式会社 プラズマエッチング方法及びプラズマエッチング装置
JP6029522B2 (ja) * 2013-04-16 2016-11-24 東京エレクトロン株式会社 パターンを形成する方法
US8980758B1 (en) * 2013-09-17 2015-03-17 Applied Materials, Inc. Methods for etching an etching stop layer utilizing a cyclical etching process
JP6423706B2 (ja) * 2014-12-16 2018-11-14 東京エレクトロン株式会社 プラズマ処理装置
JP6545053B2 (ja) * 2015-03-30 2019-07-17 東京エレクトロン株式会社 処理装置および処理方法、ならびにガスクラスター発生装置および発生方法
JP6542053B2 (ja) * 2015-07-15 2019-07-10 株式会社東芝 プラズマ電極構造、およびプラズマ誘起流発生装置
JP2017212361A (ja) * 2016-05-26 2017-11-30 東京エレクトロン株式会社 プラズマ処理装置及びパーティクル付着抑制方法
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
JP7203531B2 (ja) * 2018-08-08 2023-01-13 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
WO2020154310A1 (en) 2019-01-22 2020-07-30 Applied Materials, Inc. Feedback loop for controlling a pulsed voltage waveform
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
US20210210355A1 (en) * 2020-01-08 2021-07-08 Tokyo Electron Limited Methods of Plasma Processing Using a Pulsed Electron Beam
US11848176B2 (en) 2020-07-31 2023-12-19 Applied Materials, Inc. Plasma processing using pulsed-voltage and radio-frequency power
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11776788B2 (en) 2021-06-28 2023-10-03 Applied Materials, Inc. Pulsed voltage boost for substrate processing
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
US11694876B2 (en) 2021-12-08 2023-07-04 Applied Materials, Inc. Apparatus and method for delivering a plurality of waveform signals during plasma processing

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20060105667A (ko) * 2005-03-31 2006-10-11 동경 엘렉트론 주식회사 플라즈마 처리 장치, 플라즈마 처리 방법 및 컴퓨터 기억매체

Family Cites Families (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06104898B2 (ja) * 1988-01-13 1994-12-21 忠弘 大見 減圧表面処理装置
JPH09111460A (ja) * 1995-10-11 1997-04-28 Anelva Corp チタン系導電性薄膜の作製方法
JP3319285B2 (ja) 1996-06-05 2002-08-26 株式会社日立製作所 プラズマ処理装置及びプラズマ処理方法
US20020076935A1 (en) * 1997-10-22 2002-06-20 Karen Maex Anisotropic etching of organic-containing insulating layers
US6074569A (en) * 1997-12-09 2000-06-13 Hughes Electronics Corporation Stripping method for photoresist used as mask in Ch4 /H2 based reactive ion etching (RIE) of compound semiconductors
US6284149B1 (en) * 1998-09-18 2001-09-04 Applied Materials, Inc. High-density plasma etching of carbon-based low-k materials in a integrated circuit
DE60043505D1 (de) * 1999-05-06 2010-01-21 Tokyo Electron Ltd Apparat für die plasma-behandlung
JP4382926B2 (ja) * 1999-09-29 2009-12-16 東京エレクトロン株式会社 プラズマ処理方法
DE60142320D1 (de) * 2000-03-13 2010-07-22 Canon Kk Verfahren zur Herstellung eines Dünnfilms
JP2001308175A (ja) * 2000-04-21 2001-11-02 Nec Corp 半導体装置及びその製造方法
US6779481B2 (en) * 2000-04-27 2004-08-24 Tokyo Electron Limited Electrical coupling between chamber parts in electronic device processing equipment
US6962879B2 (en) * 2001-03-30 2005-11-08 Lam Research Corporation Method of plasma etching silicon nitride
US6630407B2 (en) * 2001-03-30 2003-10-07 Lam Research Corporation Plasma etching of organic antireflective coating
US6770166B1 (en) * 2001-06-29 2004-08-03 Lam Research Corp. Apparatus and method for radio frequency de-coupling and bias voltage control in a plasma reactor
JP2003234331A (ja) * 2001-12-05 2003-08-22 Tokyo Electron Ltd プラズマエッチング方法およびプラズマエッチング装置
US6828241B2 (en) 2002-01-07 2004-12-07 Applied Materials, Inc. Efficient cleaning by secondary in-situ activation of etch precursor from remote plasma source
US6744212B2 (en) * 2002-02-14 2004-06-01 Lam Research Corporation Plasma processing apparatus and method for confining an RF plasma under very high gas flow and RF power density conditions
CN100440449C (zh) * 2002-06-27 2008-12-03 东京毅力科创株式会社 等离子体处理方法
JP4775834B2 (ja) * 2002-08-05 2011-09-21 東京エレクトロン株式会社 エッチング方法
CN1249789C (zh) 2002-11-28 2006-04-05 东京毅力科创株式会社 等离子体处理容器内部件
CN100418187C (zh) * 2003-02-07 2008-09-10 东京毅力科创株式会社 等离子体处理装置、环形部件和等离子体处理方法
US7740737B2 (en) 2004-06-21 2010-06-22 Tokyo Electron Limited Plasma processing apparatus and method
US7988816B2 (en) 2004-06-21 2011-08-02 Tokyo Electron Limited Plasma processing apparatus and method
US7951262B2 (en) 2004-06-21 2011-05-31 Tokyo Electron Limited Plasma processing apparatus and method
US20060037704A1 (en) * 2004-07-30 2006-02-23 Tokyo Electron Limited Plasma Processing apparatus and method
US7993489B2 (en) * 2005-03-31 2011-08-09 Tokyo Electron Limited Capacitive coupling plasma processing apparatus and method for using the same
US8129282B2 (en) * 2006-07-19 2012-03-06 Tokyo Electron Limited Plasma etching method and computer-readable storage medium
JP2009239012A (ja) * 2008-03-27 2009-10-15 Tokyo Electron Ltd プラズマ処理装置及びプラズマエッチング方法
US8263499B2 (en) * 2008-03-31 2012-09-11 Tokyo Electron Limited Plasma processing method and computer readable storage medium
JP5213496B2 (ja) * 2008-03-31 2013-06-19 東京エレクトロン株式会社 プラズマエッチング方法及びコンピュータ読み取り可能な記憶媒体
JP5128421B2 (ja) * 2008-09-04 2013-01-23 東京エレクトロン株式会社 プラズマ処理方法およびレジストパターンの改質方法
JP5221403B2 (ja) * 2009-01-26 2013-06-26 東京エレクトロン株式会社 プラズマエッチング方法、プラズマエッチング装置および記憶媒体
US8383001B2 (en) * 2009-02-20 2013-02-26 Tokyo Electron Limited Plasma etching method, plasma etching apparatus and storage medium
JP2010205967A (ja) * 2009-03-04 2010-09-16 Tokyo Electron Ltd プラズマエッチング方法、プラズマエッチング装置及びコンピュータ記憶媒体

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20060105667A (ko) * 2005-03-31 2006-10-11 동경 엘렉트론 주식회사 플라즈마 처리 장치, 플라즈마 처리 방법 및 컴퓨터 기억매체

Also Published As

Publication number Publication date
JP4827081B2 (ja) 2011-11-30
CN1992164B (zh) 2012-02-08
TWI450328B (zh) 2014-08-21
US20070165355A1 (en) 2007-07-19
US8128831B2 (en) 2012-03-06
KR20070070098A (ko) 2007-07-03
CN1992164A (zh) 2007-07-04
TW200739719A (en) 2007-10-16
JP2007180358A (ja) 2007-07-12

Similar Documents

Publication Publication Date Title
KR100810773B1 (ko) 플라즈마 에칭 방법 및 컴퓨터 판독 가능한 기억 매체
US10529539B2 (en) Plasma processing apparatus and method
KR101895437B1 (ko) 플라즈마 에칭 방법
JP5491358B2 (ja) プラズマエッチング装置およびプラズマエッチング方法、ならびにコンピュータ読み取り可能な記憶媒体
JP4230029B2 (ja) プラズマ処理装置およびエッチング方法
US8404595B2 (en) Plasma processing method
KR102358732B1 (ko) 플라즈마 에칭 방법 및 플라즈마 에칭 장치
US8129282B2 (en) Plasma etching method and computer-readable storage medium
KR20100087266A (ko) 플라즈마 에칭 방법, 플라즈마 에칭 장치 및 기억 매체
KR100894345B1 (ko) 플라즈마 에칭 방법 및 컴퓨터 판독 가능한 기억 매체
JP5323306B2 (ja) プラズマエッチング方法およびコンピュータ読取可能な記憶媒体
KR101957348B1 (ko) 플라즈마 처리 장치 및 플라즈마 처리 방법
KR101858324B1 (ko) 플라즈마 에칭 방법
JP2006270017A (ja) プラズマエッチング装置およびプラズマエッチング方法、ならびにコンピュータ読み取り可能な記憶媒体
US10854430B2 (en) Plasma etching method
JP4351806B2 (ja) フォトレジストマスクを使用してエッチングするための改良技術
KR20200062031A (ko) 에칭 방법 및 기판 처리 장치
US20080014755A1 (en) Plasma etching method and computer-readable storage medium
US11328934B2 (en) Etching method and substrate processing apparatus
US20070218691A1 (en) Plasma etching method, plasma etching apparatus and computer-readable storage medium

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130201

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20140204

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20150130

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20160127

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20170202

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20180219

Year of fee payment: 11

FPAY Annual fee payment

Payment date: 20190218

Year of fee payment: 12

FPAY Annual fee payment

Payment date: 20200218

Year of fee payment: 13