KR101895437B1 - 플라즈마 에칭 방법 - Google Patents

플라즈마 에칭 방법 Download PDF

Info

Publication number
KR101895437B1
KR101895437B1 KR1020147002664A KR20147002664A KR101895437B1 KR 101895437 B1 KR101895437 B1 KR 101895437B1 KR 1020147002664 A KR1020147002664 A KR 1020147002664A KR 20147002664 A KR20147002664 A KR 20147002664A KR 101895437 B1 KR101895437 B1 KR 101895437B1
Authority
KR
South Korea
Prior art keywords
etching
plasma
gas
process gas
frequency power
Prior art date
Application number
KR1020147002664A
Other languages
English (en)
Other versions
KR20140051282A (ko
Inventor
아키라 나카가와
후미오 야마자키
히로미 모치즈키
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20140051282A publication Critical patent/KR20140051282A/ko
Application granted granted Critical
Publication of KR101895437B1 publication Critical patent/KR101895437B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • H01J37/32165Plural frequencies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Analytical Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)

Abstract

피처리체의 재치대로서 기능하는 하부 전극과, 상기 하부 전극에 대향하여 배치되는 상부 전극을 가지는 플라즈마 에칭 장치를 이용한 플라즈마 에칭 방법으로서, 플루오르 카본계 가스를 포함하는 제 1 처리 가스를 이용하여 플라즈마 에칭하는 제 1 에칭 공정과, 플루오르 카본계 가스를 포함하는 제 2 처리 가스로서, 이 제 2 처리 가스의 라디칼의 피처리체에 대한 부착성이 상기 제 1 처리 가스의 라디칼의 상기 피처리체에 대한 부착성보다 작은 상기 제 2 처리 가스를 이용하여 플라즈마 에칭하는 제 2 에칭 공정을 포함하고, 상기 제 2 에칭 공정은, 플라즈마 생성용의 고주파 전력을 온으로 하는 제 1 조건과 이 고주파 전력을 오프로 하는 제 2 조건을 교호로 반복하면서, 상기 제 1 조건의 기간보다 상기 제 2 조건의 기간이 인가 전압의 절대값이 커지도록, 상기 상부 전극에 음의 직류 전압을 인가하는 플라즈마 에칭 방법이 제공된다.

Description

플라즈마 에칭 방법{PLASMA ETCHING METHOD}
본 발명은, 플라즈마에 의해 기판에 대하여 플라즈마 에칭을 실시하는 플라즈마 에칭 방법에 관한 것이다.
예를 들면 반도체 디바이스의 제조 프로세스에서는, 피처리체인 반도체 웨이퍼에 형성된 소정의 층에 소정의 패턴을 형성하기 위하여, 레지스트를 마스크로서 플라즈마에 의해 에칭하는 플라즈마 에칭 처리가 다용되고 있다.
근래, 반도체 디바이스의 미세화가 진행되어, 애스펙트비가 20 이상의 HARC(High Aspect Ratio Contact) 에칭이 요구되고 있다. 최근에는, 차세대 HARC로서 애스펙트비 40을 초과하는 것과 같은 높은 애스펙트비의 에칭이 요구되기에 이르고 있다.
이러한 HARC 에칭에서는, 포토레지스트 등의 에칭 마스크는 음으로 대전되어 있고, 에칭 초기에는 에칭면에서 전하가 중화되어 있다. 그리고, 에칭이 진행되어 애스펙트비가 높아지면, 홀의 바닥에 양이온이 모여 에칭면이 양으로 대전되게 된다. 이 때문에, 양이온이 홀 내에서 반발에 의해 구부러져, 에칭 형상의 구부러짐 또는 변형이 생기게 된다. 또한, 이와 같이 홀의 바닥이 양으로 대전됨으로써, 셰이딩 데미지(shading damage)가 염려된다. 또한 양이온이 홀 저부에 도달하기 어려워지기 때문에, 에칭 레이트의 저하가 초래된다.
따라서 특허 문헌 1 등에는, 플라즈마 생성용의 고주파 전력을 펄스 형상으로 인가하여, 보다 많은 2 차 전자를 홀 저부로 공급하고, 홀 저부의 양의 대전을 중화 하는 기술이 개시되어 있다.
일본특허공개공보 2010-219491호
그러나 특허 문헌 1의 방법에서는, HARC 에칭을 위하여 부착성이 높은 라디칼을 가지는 처리 가스로 에칭하면, 넥킹(necking)이 발생하여, 에칭 레이트의 저하 또는 보잉의 발생으로 연결되는 경우가 있었다.
상기 과제에 대하여, 넥킹 또는 보잉의 발생을 억제하여, 높은 에칭 레이트이고, 높은 마스크 선택비를 가지는 플라즈마 에칭 방법을 제공한다.
상기 과제를 해결하기 위하여, 본 발명이 한 태양에 따르면, 피처리체의 재치대로서 기능하는 하부 전극과, 상기 하부 전극에 대향하여 배치되는 상부 전극을 가지는 플라즈마 에칭 장치를 이용한 플라즈마 에칭 방법으로서, 플루오르 카본계 가스를 포함하는 제 1 처리 가스를 이용하여 플라즈마 에칭하는 제 1 에칭 공정과, 플루오르 카본계 가스를 포함하는 제 2 처리 가스로서, 상기 제 2 처리 가스의 라디칼의 피처리체에 대한 부착성이 상기 제 1 처리 가스의 라디칼의 상기 피처리체에 대한 부착성보다 작은 상기 제 2 처리 가스를 이용하여 플라즈마 에칭하는 제 2 에칭 공정을 포함하고, 상기 제 2 에칭 공정은, 플라즈마 생성용의 고주파 전력을 온으로 하는 제 1 조건과, 상기 고주파 전력을 오프로 하는 제 2 조건을 교호로 반복하면서, 상기 제 1 조건의 기간보다 상기 제 2 조건의 기간 동안의 인가 전압의 절대값이 커지도록, 상기 상부 전극에 음의 직류 전압을 인가하는 플라즈마 에칭 방법이 제공된다.
본 발명에 따르면, 넥킹 또는 보잉의 발생을 억제하여, 높은 에칭 레이트이고, 높은 마스크 선택비를 가지는 플라즈마 에칭 방법을 제공할 수 있다.
도 1a 및 도 1b는 처리 가스의 부착성과 홀 형상의 상관성을 설명하기 위한 도로서, 보호막이 형성된 홀의 일례의 개략도이다.
도 2는 본 발명의 실시예에 따른 플라즈마 에칭 방법을 실시하는 것이 가능한 플라즈마 에칭 장치의 일례를 도시한 개략 단면도이다.
도 3은 도 2의 플라즈마 에칭 장치에서 제 1 고주파 전원에 접속된 제 1 정합기의 구조를 도시한 도이다.
도 4는 본 발명의 실시예에 따른 플라즈마 에칭 방법에서의 제 1 고주파 전원, 제 2 고주파 전원 및 가변 직류 전원의 상태를 나타낸 타이밍 차트의 예이다.
도 5a 및 도 5b는 상부 전극에서 음의 직류 전압 인가에 의해 발생한 2 차 전자의 거동을 도시한 모식도이다.
도 6은 고주파 전력의 온·오프에 수반하는 플라즈마의 온·오프와, 반도체 웨이퍼(W)로의 전자의 입사량의 지표인 반도체 웨이퍼(W)로의 입사 전자 전류(A)와의 관계를 설명하기 위한 그래프의 일례이다.
도 7a ~ 도 7d는 본 발명의 실시예에 따른 플라즈마 에칭 방법 후의, 콘택트 홀의 측벽 형상의 일례를 설명하기 위한 개략도이다.
도 8a ~ 도 8d는 본 발명의 실시예에 따른 플라즈마 에칭 방법 후의, 콘택트 홀의 측벽 형상의 다른 예를 설명하기 위한 개략도이다.
도 9는 아르곤 가스 유량 및 고주파 전원의 펄스의 간격과의 관계를 나타낸 표(표 1)이다.
이하에, 본 발명의 실시예에 대하여 도면을 참조하여 구체적으로 설명한다.
(처리 가스)
우선, 본 실시예에서 사용할 수 있는 처리 가스에 대하여 설명한다.
도 1a 및 도 1b에, 처리 가스의 부착성과 홀 형상의 상관성을 설명하기 위한 도로서, 보호막이 형성된 홀의 일례의 개략도를 나타낸다. 도 1a와 도 1b에서는, 사용하는 처리 가스의 라디칼의, 피처리체(즉, 에칭 대상막이며, 예를 들면 처리 기판, 하지막, 산화막 또는 질화막 등의 하드 마스크, 반사 방지막 등)에 대한 부착성이 상이하며, 도 1a의 라디칼은, 도 1b의 라디칼보다 상대적으로 부착성이 높다고 가정하고 있다.
또한 도 1a 및 도 1b에서는, 피처리체로서, Si 기판(1) 상에 절연막(2)이 형성되고, 그 위에 포토리소그래피에 의해 패턴화된 포토레지스트막이 에칭 마스크(3)로서 형성된 구조의 반도체 웨이퍼(W)에 대하여 설명한다. 그러나 본 실시예는, 이 반도체 웨이퍼(W)의 구조에 한정되지 않는다.
도 1a에서는, 부착성이 높은 라디칼을 사용하고 있기 때문에, 에칭 마스크(3) 표면 및 홀(4) 측면에, 비교적 두꺼운 보호막(5)이 형성된다. 고애스펙트비의 콘택트 홀의 에칭(HARC 에칭)을 행할 시에는, 높은 마스크 선택비를 확보하기 위하여, 부착성이 높은 라디칼을 사용하는 것이 바람직하다. 그러나, 홀 직경이 작아짐에 따라, 에칭 마스크(3)의 측면에 생성된 보호막의 막 두께가 두꺼워져, 홀 입구를 차폐하는 넥킹이 발생하기 쉬워진다. 이에 의해, 홀 내부로 침입하는 이온량이 부족하여, 홀 저부의 CD(Critical Dimension)가 축소 및 에칭 레이트의 저하 중 적어도 하나로 이어진다. 또한, 넥킹의 상방에서 입사 이온이 반사되고, 넥킹의 하방에서 보잉(측벽에 홈)이 발생하는 경우가 있다.
한편 도 1b에서는, 상술한 넥킹을 회피하기 위하여, 도 1a의 경우에 비해 부착성이 낮은 라디칼을 생성하는 처리 가스를 사용하고 있다. 부착성이 낮은 라디칼의 경우, 에칭 마스크(3) 상의 보호막(5)은, 박막으로서 비교적 광범위하게 부착되는 경향이 있다. 이 때문에, 플라즈마 내구성은, 전술한 경우와 비교하여 악화되기 때문에, HARC 에칭하기 위한 충분한 마스크 선택비가 얻어지지 않는다.
따라서 본 실시예에서는, 플라즈마 에칭 처리 기간 중에, 처리 가스를 적어도 1 회 이상 변경한다. 이 때, 에칭 초기(예를 들면, 메인 에칭 공정)에서는, 보호막의 에칭 대상막에 대한 부착성이 높은 제 1 처리 가스를 선택하여, 에칭 시의 마스크 선택비를 높인다. 이 후, 에칭 후기(오버 에칭 공정)에서는, 보호막이 홀 내부의 측벽에 얇게 부착되는 제 2 처리 가스를 선택하여, 전술한 넥킹을 억제하여 플라즈마 에칭을 행한다. 넥킹을 억제하여 플라즈마 에칭을 진행시킴으로써, 애스펙트비가 높은 영역에서도 홀을 양호한 수직 형상으로 형성할 수 있다. 또한 처리 가스의 전환의 타이밍은, 에칭 조건, 원하는 애스펙트비 등에 의존하며, 당업자가 적절히 선택할 수 있는 것이다.
본 실시예에서 바람직하게 사용할 수 있는 처리 가스로서는, 플루오르 카본계 가스를 포함하는 처리 가스이다. 사용할 수 있는 플루오르 카본계 가스로서는 특별히 제한은 없고, 예를 들면 CF, CF2, CF3, CF4, C2F4, C2F6, C3F8, C4F6, C4F8, C4F10, C5F8 및 다른 플루오르 카본계 가스(CxFy)를 들 수 있다. 플루오르 카본계의 가스는 1 종류를 단독으로 사용해도 되고, 2 종류 이상을 혼합하여 병용해도 좋다. 또한, 상술한 플루오르 카본계 가스와 더불어, 예를 들면 아르곤 가스 및 산소 가스 중 적어도 하나를 함유하는 가스를 첨가해도 된다. 아르곤 가스 또는 산소 가스를 첨가함으로써, 에칭 시의 전자 온도가 상승한다. 그리고, 전자 온도의 상승에 수반하여, 라디칼 해리도가 상승하기 때문에, 홀 내부로 공급되는 라디칼량이 증가하고, 이에 의해 보호막의 퇴적 레이트를 높게 할 수 있다.
플루오르 카본계 가스의 라디칼의, 에칭 대상막에 대한 부착성은, 통상 라디칼 1 분자 중의 F의 수에 대한 C의 수(즉, C / F비)에 의존하고, C / F비가 클수록, 에칭 대상막에 대한 부착성은 높아진다. 이 때, 에칭 시의 조건(예를 들면, 온도 또는 체재 시간)에 따라, 처리 가스의 해리를 고려하여, 처리 가스를 선택한다. 예를 들면, 플루오르 카본계 가스로서 C4F6 및 C4F8를 사용한 경우의 예에 대하여 설명한다. C4F6의 라디칼은, 통상의 에칭 온도에서는 일부 CFx로 해리하지만, 주로 C4F6의 라디칼로서 존재한다. 한편 C4F8의 라디칼은, 통상의 에칭 온도에서는 대개 해리하여, 주로 C2F4의 라디칼로서 존재한다. 이 때문에, 플라즈마 에칭 초기(예를 들면, 메인 에칭 공정)에서는 제 1 처리 가스로서 부착성이 높은 C4F6를 사용하여 선택비를 높이고, 플라즈마 에칭 후기(예를 들면, 오버 에칭 공정)에서는 제 2 처리 가스로서 부착성이 낮은 C4F8를 사용하여, 플라즈마 에칭 초기보다 선택비가 저하되어도 에칭 레이트를 높이도록 한다.
(플라즈마 에칭 장치)
이어서, 본 발명의 제 1 실시예의 플라즈마 에칭 장치에 대하여 설명한다. 도 2는, 본 발명의 제 1 실시예에 따른 플라즈마 에칭 방법을 실시하는 것이 가능한 플라즈마 에칭 장치의 일례를 도시한 개략 단면도이다.
도 2에 도시한 플라즈마 에칭 장치는, 용량 결합형 평행 평판 플라즈마 에칭 장치로서 구성되어 있고, 예를 들면 표면이 양극 산화 처리된 알루미늄으로 이루어지는 대략 원통 형상의 챔버(처리 용기)(10)를 가지고 있다. 이 챔버(10)는 보안 접지 되어 있다.
챔버(10)의 저부에는, 세라믹스 등으로 이루어지는 절연판(12)을 개재하여 원기둥 형상의 서셉터 지지대(14)가 배치되고, 이 서셉터 지지대(14) 상에, 예를 들면 알루미늄으로 이루어지는 서셉터(16)가 설치되어 있다. 서셉터(16)는 하부 전극을 구성하고, 그 위에 피처리체인 반도체 웨이퍼(W)는 재치된다.
서셉터(16)의 상면에는, 반도체 웨이퍼(W)를 정전력으로 흡착 보지(保持)하는 정전 척(18)이 설치되어 있다. 이 정전 척(18)은, 도전막으로 이루어지는 전극(20)을 한 쌍의 절연층 또는 절연 시트로 개재한 구조를 가지는 것이며, 전극(20)에는 직류 전원(22)이 전기적으로 접속되어 있다. 그리고, 직류 전원(22)으로부터의 직류 전압에 의해 생긴 쿨롱력 등의 정전력에 의해 반도체 웨이퍼(W)가 정전 척(18)에 흡착 보지된다.
정전 척(18)(반도체 웨이퍼(W))의 주위로 서셉터(16)의 상면에는, 에칭의 균일성을 향상시키기 위한, 예를 들면 실리콘으로 이루어지는 도전성의 포커스 링(보정 링)(24)이 배치되어 있다. 서셉터(16) 및 서셉터 지지대(14)의 측면에는, 예를 들면 석영으로 이루어지는 원통 형상의 내벽 부재(26)가 설치되어 있다.
서셉터 지지대(14)의 내부에는, 예를 들면 원주 상에 냉매실(28)이 설치되어 있다. 이 냉매실에는, 외부에 설치된 도시하지 않은 칠러 유닛으로부터 배관(30a, 30b)을 거쳐 소정 온도의 냉매, 예를 들면 냉각수가 순환 공급되어 있다. 이 냉매의 온도를 변경함으로써, 서셉터 상의 반도체 웨이퍼(W)의 처리 온도를 제어할 수 있다.
또한 도시하지 않은 전열 가스 공급 기구로부터의 전열 가스, 예를 들면 He가스가 가스 공급 라인(32)을 거쳐 정전 척(18)의 상면과 반도체 웨이퍼(W)의 이면의 사이로 공급된다.
하부 전극인 서셉터(16)의 상방에는, 서셉터(16)와 대향하도록 평행하게 상부 전극(34)이 설치되어 있다. 그리고, 상부 및 하부 전극(34, 16) 간의 공간이 플라즈마 생성 공간이 된다. 상부 전극(34)은, 하부 전극인 서셉터(16) 상의 반도체 웨이퍼(W)와 대향하여 플라즈마 생성 공간과 접하는 면, 즉 대향면을 형성한다.
이 상부 전극(34)은 절연성 차폐 부재(42)를 개재하여, 챔버(10)의 상부에 지지되어 있다. 또한 상부 전극(34)은, 서셉터(16)와의 대향면을 구성하고 또한 다수의 가스 토출홀(37)을 가지는 전극판(36)과, 이 전극판(36)을 착탈 가능하게 지지하고, 도전성 재료, 예를 들면 알루미늄으로 이루어지는 수냉 구조의 전극 지지체(38)에 의해 구성되어 있다. 전극판(36)은, 줄열이 적은 저저항의 도전체 또는 반도체가 바람직하다. 또한, 후술하는 바와 같이 레지스트를 강화하는 관점으로부터, 실리콘 함유 물질이 바람직하다. 이러한 관점으로부터, 전극판(36)은 실리콘 또는 SiC로 구성되는 것이 바람직하다. 전극 지지체(38)의 내부에는 가스 확산실(40)이 설치되고, 이 가스 확산실(40)로부터는, 가스 토출홀(37)에 연통하는 다수의 가스 통류홀(41)이 하방으로 연장되어 있다.
전극 지지체(38)에는, 가스 확산실(40)로 처리 가스를 도입하는 가스 도입구(62)가 형성되어 있다. 이 가스 도입구(62)에는 가스 공급관(64)이 접속되고, 가스 공급관(64)에는 처리 가스 공급원(66)이 접속되어 있다. 처리 가스 공급원(66)은 제어부(100)에 의해 제어되고, 프로세스에 따라, 복수의 종류의 처리 가스를 소정의 양, 시간으로 공급할 수 있다. 가스 공급관(64)에는, 상류측으로부터 차례로 매스 플로우 컨트롤러(MFC)(68) 및 개폐 밸브(70)가 설치되어, 처리 가스의 공급량을 제어할 수 있다(MFC 대신에 FCS여도 좋음). 그리고, 처리 가스 공급원(66)으로부터, 에칭을 위한 처리 가스로서, 예를 들면 전술한 처리 가스가 가스 공급관(64)으로부터 가스 확산실(40)에 도달하고, 가스 통류홀(41) 및 가스 토출홀(37)을 거쳐 샤워 형상으로 플라즈마 생성 공간에 토출된다. 즉, 상부 전극(34)은 처리 가스를 공급하기 위한 샤워 헤드로서 기능한다.
상부 전극(34)에는, 로우 패스 필터(LPF)(46a)를 개재하여 가변 직류 전원(50)이 전기적으로 접속되어 있다. 가변 직류 전원(50)은, 음극이 상부 전극(34)측이 되도록 접속되어 있고, 상부 전극(34)에 음(마이너스)의 전압을 인가하도록 되어 있다. 로우 패스 필터(LPF)(46a)는 후술하는 제 1 및 제 2 고주파 전원으로부터의 고주파를 트랩하는 것이며, 적합하게는 LR 필터 또는 LC 필터로 구성된다.
원통 형상의 접지 도체(10a)는, 챔버(10)의 측벽으로부터 상부 전극(34)의 높이 위치보다 상방으로 연장되도록 설치되어 있다.
하부 전극인 서셉터(16)에는, 제 1 정합기(46)를 개재하여, 플라즈마 생성용의 제 1 고주파 전원(48)이 전기적으로 접속되어 있다. 제 1 고주파 전원(48)은 27 ~ 100 MHz의 주파수, 예를 들면 40 MHz의 고주파 전력을 출력한다. 제 1 정합기(46)는, 제 1 고주파 전원(48)의 내부(또는 출력) 임피던스에 부하 임피던스를 정합시킴으로써, 챔버(10) 내에 플라즈마가 생성되어 있을 때 제 1 고주파 전원(48)의 출력 임피던스와 챔버(10) 내의 플라즈마를 포함한 부하 임피던스가 외관상 일치하도록 기능한다. 제 1 정합기(46)는 도 3에 도시한 바와 같이, 제 1 고주파 전원(48)의 급전 라인(96)으로부터 분기하여 설치된 제 1 가변 콘덴서(97)와, 급전 라인(96)의 그 분기점의 제 1 고주파 전원(48)측에 설치된 제 2 가변 콘덴서(98)와, 분기점의 반대측에 설치된 코일(99)을 가지고 있다.
서셉터(16)는 또한, 제 2 정합기(88)를 개재하여 제 2 고주파 전원(90)도 전기적으로 접속되어 있다. 이 제 2 고주파 전원(90)으로부터 하부 전극인 서셉터(16)로 고주파 전력이 공급됨으로써, 반도체 웨이퍼(W)에 바이어스가 인가되고 반도체 웨이퍼(W)로 이온이 인입된다. 제 2 고주파 전원(90)은, 400 kHz ~ 13.56 MHz의 범위 내의 주파수, 예를 들면 3 MHz의 고주파 전력을 출력한다. 제 2 정합기(88)는 제 2 고주파 전원(90)의 내부(또는 출력) 임피던스에 부하 임피던스를 정합시키기 위한 것으로, 챔버(10) 내에 플라즈마가 생성되어 있을 때 제 2 고주파 전원(90)의 내부 임피던스와 챔버(10) 내의 플라즈마를 포함한 부하 임피던스가 외관상 일치하도록 기능한다.
가변 직류 전원(50), 제 1 고주파 전원(48), 제 2 고주파 전원(90), 제 1 정합기(46) 및 제 2 정합기(88)는 전원 컨트롤러(95)에 전기적으로 접속되어 있고, 이들은 전원 컨트롤러(95)에 의해 제어된다.
전원 컨트롤러(95)는, 제 1 고주파 전원(48)의 온·오프 및 출력의 제어가 가능하게 되어 있다. 구체적으로, 제 1 고주파 전원(48)을 연속적으로 온으로 하여 플라즈마를 생성하는 상태 및 교호로 온·오프하고, 예를 들면 펄스 형상으로서, 플라즈마가 존재하고 있는 상태와 플라즈마가 소멸한 상태를 교호로 형성하는 상태로 제어하는 것이 가능하게 되어 있다. 마찬가지로, 바이어스용의 제 2 고주파 전원(90)의 온·오프 및 출력의 제어도 가능하게 되어 있고, 플라즈마 처리 중에 소정의 출력으로 연속적으로 바이어스를 인가하는 상태 및 제 2 고주파 전원(90)의 출력을 제 1 고주파 전원(48)의 온·오프에 동기하여, 예를 들면 펄스 형상의 출력을 제어하는 것이 가능하게 되어 있다. 또한 전원 컨트롤러(95)는, 가변 직류 전원(50)의 온·오프 제어 및 전류·전압 제어를 행하는 것이 가능하게 되어 있다.
본 실시예의 경우, 통상의 플라즈마 에칭과 달리, 제 1 고주파 전원(48)은, 고주파 전력이 소정 주기로 온·오프되는 모드 시에, 전원 컨트롤러(95)가, 제 1 정합기(46)에서의 정합 동작을 이 온·오프에 동기시켜 전환하도록 제어한다.
이 경우, 전원 컨트롤러(95)는, 제 1 고주파 전원(48)을 온·오프 모드로 동작시킬 시, 가변 콘덴서가 온·오프에 추종할 수 없을 경우에는, 제 1 정합기(46)의 동작을 행하지 않도록 제어하는 것이 바람직하다. 제 2 정합기(88)에 대해서도, 기본적으로 제 1 정합기(46)와 마찬가지로 구성되어 있고, 전원 컨트롤러(95)는, 제 2 고주파 전원(90)의 출력을 제 1 고주파 전원(48)의 온·오프에 동기시켜 출력 제어할 시, 가변 콘덴서가 온·오프에 추종할 수 없을 경우에는, 제 2 정합기(88)의 동작을 행하지 않도록 제어하는 것이 바람직하다.
그러나, 제 1 정합기(46) 및 제 2 정합기(88)의 가변 콘덴서의 동작이 충분히 빠른 경우에는, 고출력 시에 제 1 정합기(46)가 제 1 고주파 전원(48)의 내부 임피던스와 챔버(10) 내의 플라즈마를 포함한 부하 임피던스를 일치시키는 것과 같은 동작을 행하도록, 또한 제 2 정합기(88)가 제 2 고주파 전원(90)의 내부 임피던스와 챔버(10) 내의 플라즈마를 포함한 부하 임피던스를 일치시키는 것과 같은 동작을 행하도록 제어해도 된다.
챔버(10)의 저부에 배기구(81)가 형성되고, 이 배기구(81)에 배기관(82)을 개재하여 배기 장치(84)가 접속되어 있다. 배기 장치(84)는 터보 분자 펌프 등의 진공 펌프를 가지고 있고, 챔버(10) 내를 원하는 진공도까지 감압 가능하게 되어 있다. 또한, 챔버(10)의 측벽에는 반도체 웨이퍼(W)의 반입출구(85)가 형성되어 있고, 이 반입출구(85)는 게이트 밸브(86)에 의해 개폐 가능하게 되어 있다. 또한, 챔버(10)의 내벽을 따라 챔버(10)에 에칭 부생물(퇴적물)이 부착하는 것을 방지하기 위하여, 퇴적물 실드(11)가 착탈 가능하게 설치되어 있다. 즉, 퇴적물 실드(11)가 챔버벽을 구성하고 있다. 또한, 퇴적물 실드(11)는 내벽 부재(26)의 외주에도 설치되어 있다. 챔버(10)의 저부의 챔버벽측의 퇴적물 실드(11)와 내벽 부재(26)측의 퇴적물 실드(11)의 사이에는 배기 플레이트(83)가 설치되어 있다. 퇴적물 실드(11) 및 배기 플레이트(83)로서는, 알루미늄재에 Y2O3 등의 세라믹스를 피복한 것을 적합하게 이용할 수 있다.
퇴적물 실드(11)의 챔버 내벽을 구성하는 부분의 웨이퍼(W)와 대략 동일한 높이의 부분에는, 그라운드에 DC적으로 접속된 도전성 부재(GND 블록)(91)가 설치되어 있고, 이에 의해 이상 방전 방지 효과를 발휘한다. 또한 이 도전성 부재(91)는, 플라즈마 생성 영역에 설치되어 있으면, 그 위치는 도 2의 위치에 한정되지 않는다. 예를 들면, 서셉터(16)의 주위에 설치하는 등, 서셉터(16)측에 설치해도 되고, 또한 상부 전극(34)의 외측에 링 형상으로 설치하는 등, 상부 전극 근방에 설치해도 된다.
플라즈마 처리 장치의 각 구성부(예를 들면 전원계, 가스 공급계, 구동계, 전원 컨트롤러(95) 등)는, 마이크로 프로세서(컴퓨터)를 포함하는 제어부(전체 제어 장치) (100)에 접속되어 제어되는 구성으로 되어 있다. 또한 제어부(100)에는, 오퍼레이터가 플라즈마 처리 장치를 관리하기 위하여 커멘드의 입력 조작 등을 행하는 키보드, 및 플라즈마 처리 장치의 가동 상황을 가시화하여 표시하는 디스플레이 등으로 이루어지는 유저 인터페이스(101)가 접속되어 있다.
또한 제어부(100)에는, 플라즈마 처리 장치에서 실행되는 각종 처리를 제어부(100)의 제어로 실현하기 위한 제어 프로그램, 또는 처리 조건에 따라 플라즈마 처리 장치의 각 구성부에 처리를 실행시키기 위한 프로그램(즉, 처리 레시피)이 저장된 기억부(102)가 접속되어 있다. 처리 레시피는 기억부(102) 내의 기억 매체에 기억되어 있다. 기억 매체는 하드 디스크 또는 반도체 메모리여도 되고, CD-ROM, DVD, 플래쉬 메모리 등의 가반성의 것이어도 된다. 또한 다른 장치로부터, 예를 들면 전용 회선을 개재하여 레시피를 적절히 전송시키도록 해도 된다.
플라즈마 처리 장치에서의 처리는, 필요에 따라, 유저 인터페이스(101)로부터의 지시 등으로 임의의 처리 레시피를 기억부(102)로부터 호출하여 제어부(100)에 실행시킴으로써, 제어부(100)의 제어하에서 행해진다.
(플라즈마 에칭 방법)
이어서, 상술한 처리 가스와 플라즈마 에칭 장치를 이용하여 행해지는, 제 1 실시예에 따른 플라즈마 에칭 방법에 대하여 설명한다.
제 1 실시예에 따른 플라즈마 에칭 방법에서는, 피처리체로서, 예를 들면 Si 기판 상에 절연막이 형성되고, 그 위에 에칭 마스크인 하드 마스크막이 형성된 구조의 반도체 웨이퍼(W)를 준비하고, 절연막에 플라즈마 에칭을 실시하는 경우에 대하여 설명하지만, 본 발명은 이에 한정되지 않는다.
플라즈마 에칭 공정에서는, 우선 게이트 밸브(86)를 개방 상태로 하고, 반입출구(85)를 거쳐 상기 구성의 반도체 웨이퍼(W)를 챔버(10) 내로 반입하고, 서셉터(16) 상에 재치한다. 이 상태에서 게이트 밸브(86)를 닫고, 배기 장치(84)에 의해 챔버(10) 내를 배기하면서, 처리 가스 공급원(66)으로부터 제 1 처리 가스를 소정의 유량으로 가스 확산실(40)로 공급한다. 또한 가스 통류홀(41) 및 가스 토출홀(37)을 거쳐 챔버(10) 내로 제 1 처리 가스를 공급하면서, 챔버 내의 압력을 예를 들면 0.75 ~ 113 mTorr의 범위 내의 설정값으로 한다. 그리고, 소정의 고주파 전력과 직류 전압을 인가하여 웨이퍼(W)에 대하여 플라즈마 에칭을 행한다. 이 때, 반도체 웨이퍼(W)는, 직류 전원(22)으로부터 정전 척(18)의 전극(20)에 직류 전압을 인가함으로써 정전 척(18)에 고정되어 있다.
본 실시예의 플라즈마 에칭 방법의 제 1 에칭 공정으로서, 부착성이 높은 제 1 처리 가스를 사용하여, 통상 제 1 고주파 전원(48)으로부터는 27 ~ 100 MHz의 주파수의 플라즈마 생성용의 고주파 전력을 인가한다. 또한, 제 2 고주파 전원(90)으로부터는 400 kHz ~ 13.56 MHz의 주파수의 이온 인입용의 고주파 전력을 인가한다. 제 1 고주파 전력 및 제 2 고주파 전력이 채용할 수 있는 주파수를 예시하면, 제 1 고주파 전력으로서는 27 MHz, 40 MHz, 60 MHz, 80 MHz, 100 MHz를 들 수 있고, 제 2 고주파 전력으로서는 400 kHz, 800 kHz, 1 MHz, 2 MHz, 3 MHz, 13 MHz, 13.6 MHz를 들 수 있다. 프로세스에 따라 적절한 조합으로 이용할 수 있기 때문에, 본 발명은 이 점에 있어서 한정되지 않는다.
상부 전극(34)의 전극판(36)에 형성된 가스 토출홀(37)로부터 토출된 제 1 처리 가스는, 고주파 전력에 의해 발생한 상부 전극(34)과 하부 전극인 서셉터(16) 간의 글로 방전 중에서 플라즈마화한다. 이 플라즈마로 생성되는 양이온 또는 라디칼에 의해, 하드 마스크막을 에칭 마스크로서 반도체 웨이퍼(W)의 절연막이 에칭된다.
이 때, 하부 전극에 플라즈마 형성용의 고주파 전력을 인가함으로써, 웨이퍼에 보다 가까운 위치에서 플라즈마를 생성할 수 있다. 또한, 플라즈마가 넓은 영역으로 확산되지 않고 처리 가스의 해리를 억제할 수 있으므로, 챔버(10) 내의 압력이 높고 플라즈마 밀도가 낮은 것과 같은 조건이어도, 에칭 레이트를 상승시킬 수 있다. 또한, 플라즈마 형성용의 고주파 전력의 주파수가 높을 경우라도, 비교적 큰 이온 에너지를 확보할 수 있다. 또한, 본 실시예와 같이 하부 전극에 플라즈마 형성용의 고주파 전력과 이온 인입용의 고주파 전력을 각각 인가함으로써, 플라즈마 에칭에 필요한 플라즈마 형성의 기능과 이온 인입의 기능을 독립으로 제어하는 것이 가능해진다. 따라서, 높은 미세 가공성이 요구되는 에칭의 조건을 만족시키는 것이 가능해진다. 또한, 플라즈마 생성용에 27 MHz 이상의 높은 주파수 영역의 고주파 전력을 공급하고 있으므로, 플라즈마를 바람직한 상태에서 고밀도화할 수 있고, 보다 저압의 조건하에서도 고밀도 플라즈마를 생성할 수 있다.
그리고, 플라즈마가 형성될 시, 가변 직류 전원(50)으로부터 상부 전극(34)에 음의 직류 전압을 인가하므로, 플라즈마 중의 양이온이 상부 전극(34)에 충돌하여 그 근방에 2 차 전자가 생성된다. 생성된 2 차 전자는, 수직 방향 하방으로 가속되고, 가속된 2 차 전자(고속 전자)는 피처리체인 반도체 웨이퍼(W)로 공급된다.
에칭은, 플라즈마 중의 양이온이 지배적으로 되어 진행된다. 제 1 에칭 공정에서의 에칭 초기에서는, 에칭에 의해 형성된 콘택트 홀은 얕아, 전자가 에칭면에 도달하여, 양이온이 에칭면으로 공급되어도 전하가 중화된다. 따라서, 에칭이 정상적으로 진행된다.
에칭이 진행되어 가고, 콘택트 홀의 애스펙트비가 높아지면, 전자는 콘택트 홀 내에 도달하기 어려워져, 콘택트 홀 내에는 양이온이 모여, 에칭면은 양으로 대전된 상태가 된다. 제 1 에칭 공정에서는 부착성이 높은 라디칼을 사용하고 있기 때문에, 플라즈마 에칭이 진행됨에 따라, 에칭 마스크 표면 및 홀 측면에 비교적 두꺼운 보호막이 형성된다. 이 상태인 채로 에칭을 진행시키면, 에칭을 위하여 콘택트 홀 내로 진입한 양이온이, 콘택트 홀 내의 양의 전하와의 사이의 반발에 의해 구부러져, 에칭 형상의 구부러짐 또는 변형이 생기게 된다. 또한, 콘택트 홀의 저부의 양이온에 의해 셰이딩 데미지가 발생하기 쉬워진다. 또한, 양이온이 홀 저부에 도달하기 어려워지기 때문에, 에칭 레이트의 저하가 초래된다. 특히, HARC인 애스펙트비 40을 초과하는 콘택트 홀을 형성할 경우에는, 이러한 문제점이 현저해진다.
따라서 본 실시예에서는, 제 1 에칭 공정에서 공급된 제 1 처리 가스로부터, 보호막이 홀 내부의 측벽에 얇게 부착되는 제 2 처리 가스로 전환하여, 넥킹을 억제하는 플라즈마 에칭 방법의 제 2 에칭 공정을 행한다.
도 4에, 본 발명의 실시예에 따른 플라즈마 에칭 방법에서의 제 1 고주파 전원, 제 2 고주파 전원 및 가변 직류 전원의 상태를 나타낸 타이밍 차트의 예를 나타낸다. 또한 도 5a에, 플라즈마 시스가 두꺼운 경우에 있어서의, 상부 전극에서 음의 직류 전압 인가에 의해 발생한 2 차 전자의 거동을 도시한 모식도를, 도 5b에, 플라즈마 시스가 존재하지 않는 경우에 있어서의, 상부 전극에서 음의 직류 전압 인가에 의해 발생한 2 차 전자의 거동을 도시한 모식도를 나타낸다.
도 4에 나타낸 바와 같이, 플라즈마 에칭 방법의 제 2 에칭 공정에서는, 플라즈마 생성용의 제 1 고주파 전원(48)을 교호로 온·오프하고, 이에 동기하여 제 2 바이어스 인가용의 제 2 고주파 전원(90)을 교호로 온·오프한다. 즉, 제 1 고주파 전원(48)에 의한 플라즈마(글로 플라즈마)가 생성된 상태(플라즈마 온)와 글로 플라즈마가 소실된 상태(플라즈마 오프)를 펄스 형상으로 교호로 반복한다.
상술한 바와 같이, 플라즈마가 형성될 시에는, 가변 직류 전원(50)으로부터 상부 전극(34)에 음의 직류 전압을 인가하므로, 플라즈마 중의 양이온이 상부 전극(34)에 충돌하여, 상부 전극(34)의 근방에 2 차 전자가 생성된다. 생성된 2 차 전자는, 가변 직류 전원(50)으로부터 상부 전극(34)에 인가한 직류 전압값과, 플라즈마 전위와의 전위차에 의해, 처리 공간의 수직 방향 하향으로 가속된다. 이 때, 가변 직류 전원의 극성, 전압값, 전류값을 원하는 것으로 함으로써, 2 차 전자(고속 전자)는 반도체 웨이퍼에 조사된다. 그러나 도 5a에 도시한 바와 같이, 플라즈마 처리가 진행되는 플라즈마 온의 기간은, 제 1 고주파 전원(48)에 의해 생성되는 플라즈마의 플라즈마 시스와, 바이어스 인가용의 제 2 고주파 전원(90)에 의해 생성되는 플라즈마 시스가 합쳐져, 두꺼운 플라즈마 시스(S)가 형성된다. 이 때문에, 2 차 전자가 플라즈마 시스에서 반사되어 버린다. 한편 도 5b에 도시한 바와 같이, 플라즈마 오프의 기간은, 제 1 고주파 전원(48)도 제 2 고주파 전원(90)도 오프로 되어 있다. 이 때문에, 플라즈마 시스는 거의 완전히 소멸되어, 2 차 전자(고속 전자)를 반도체 웨이퍼(W)에 용이하게 도달시킬 수 있다.
본 실시예에서는 또한 도 4에 나타낸 바와 같이, 가변 직류 전원(50)으로부터 상부 전극(34)에, 플라즈마의 온·오프에 동기하여, 플라즈마 온의 기간보다 플라즈마 오프의 기간 동안의 인가 전압의 절대값이 커지도록 음의 직류 전압을 인가한다. 예를 들면 도 4에서는, 플라즈마 오프의 기간에 가변 직류 전원(50)으로부터 인가되는 전압의 절대값|Va|과 플라즈마 온의 기간에 가변 직류 전원(50)으로부터 인가되는 전압의 절대값|Vb|의 관계는,
|Va| - |Vb| > 0
된다. 플라즈마 오프의 기간에, 인가 전압의 절대값이 커지도록 음의 직류 전압을 인가함으로써, 보다 많은 2 차 전자를 홀 내로 공급할 수 있다.
전술한 프로세스에 의해 조사·공급된 2 차 전자는, 에칭 마스크(특히, ArF 포토레지스트 등의 유기 마스크)의 조성을 개질하고, 에칭 마스크는 강화된다. 따라서, 가변 직류 전원(50)의 인가 전압값 및 인가 전류값에 의해 상부 전극(34)의 근방에서 생성되는 2 차 전자의 양을 제어하고, 또한 2 차 전자의 웨이퍼에의 가속 전압을 제어함으로써, 에칭 마스크에 대한 소정의 강화를 도모할 수 있다. 이 에칭 마스크의 플라즈마 내성을 향상시키는 효과는, 특히 에칭 마스크로서 ArF 포토레지스트 등의 플라즈마 내성이 낮은 유기 마스크를 사용하고 있을 경우 커진다.
제 2 에칭 공정에서는, 넥킹의 억제를 위하여 보호막이 홀 내부에 얇게 부착되는, 에칭 대상막에 대한 라디칼의 부착성이 낮은 처리 가스를 사용하고 있다. 그러나 전술한 프로세스에 의해, 홀 내로 공급된 2 차 전자에 의해, 에칭 마스크(특히, 유기 마스크)의 플라즈마 내성을 향상시킬 수 있다. 이 때문에, HARC 에칭에서도, 에칭 마스크의 잔막(殘膜)의 저하를 효과적으로 방지할 수 있다.
도 6에, 고주파 전력의 온·오프에 수반하는 플라즈마의 온·오프와, 반도체 웨이퍼(W)에의 전자의 입사량의 지표인 반도체 웨이퍼(W)에의 입사 전자 전류(A)와의 관계를 설명하기 위한 그래프의 일례를 나타낸다. 도 6에 나타낸 바와 같이, 고주파(RF) 전력을 오프로 하고 플라즈마 오프로 한 기간은, 입사 전자 전류가 증가되어 있고, 플라즈마 오프의 기간에 플라즈마 온의 기간보다 많은 전자가 공급되는 것을 알 수 있다.
플라즈마 온의 기간에 인가하는 직류 전압은, 형성하고자 하는 플라즈마에 따른 값으로 하면 되고, 예를 들면 0 ~ -300 V 정도가 예시된다. 또한 플라즈마 오프의 기간에 인가하는 직류 전압은, 플라즈마 온의 기간보다 절대값이 크면 되지만, 장치의 내성을 고려하면, -2000 V보다 절대값이 작은 것이 바람직하다.
플라즈마 오프의 기간은 50 μsec 이하가 바람직하다. 플라즈마 오프의 기간이 50 μsec를 초과하면 에칭에 기여하고 있지 않은 시간이 길어져 효율이 저하된다. 또한, 플라즈마 오프로부터 다음의 플라즈마 오프까지의 기간, 즉 펄스의 간격은 짧게 함으로써, 반도체 웨이퍼(W)로 2 차 전자가 유입되는 타이밍이 증가하고, 홀 내로의 2 차 전자의 공급량이 증가하기 때문에, 바람직하다. 예를 들면, 50 μsec(20 kHz), 100 μsec(10 kHz) 등으로 할 수 있다. 또한 펄스의 간격은, 단계적으로 감소시켜도 된다. 예를 들면 도 4에서는, 선행하는 펄스의 간격(Sa)과 다음의 펄스의 간격(Sb)은 동일하다. 즉 도 4에서는 펄스의 간격은, Sa = Sb의 관계가 되도록 제어되어 있다. 그러나, 선행하는 펄스의 간격(Sa)보다, 다음의 펄스의 간격(Sb)을 짧게 하는, 즉 Sa > Sb의 관계로 펄스의 간격을 제어해도 된다. 또한, Sa = Sb의 관계가 되도록 펄스의 간격을 제어하고, 후속의 펄스(n)(n은 임의의 자연수)에서 Sa > Sn의 관계가 되도록 펄스의 간격을 단계적으로 감소시켜도 된다. 또한 플라즈마 오프로부터 다음의 플라즈마 오프까지의 기간에 대한, 플라즈마 온의 기간의 비율은, 예를 들면 70 %로 할 수 있다.
또한, 가변 직류 전원(50)으로부터의 직류 전압을 플라즈마 온의 기간에 오프로 하고, 플라즈마 오프의 기간에 온으로 하도록 해도 된다.
또한 본 실시예에서는, 아르곤 가스 유량이 높은 것이, 상부 전극의 근방에 발생하는 2 차 전자의 양을 늘릴 수 있기 때문에 바람직하고, 예를 들면 275 sccm 또는 550 sccm로 할 수 있다. 전술한 바와 같이, 통상, 애스펙트비가 높은 영역에서는, 홀로 공급되는 2 차 전자의 양이 부족한 경향이 있다. 이 때문에, 플라즈마 에칭 공정이 진행됨에 따라, 아르곤 가스 유량을 증가시켜, 상부 전극의 근방에 발생하는 2 차 전자의 양을 늘리는 것이 바람직하다.
제 2 처리 가스를 이용한 공정에서도, 통상, 제 1 고주파 전원(48)으로부터는 27 ~ 100 MHz의 주파수, 예를 들면 40 MHz의 플라즈마 생성용의 고주파 전력을 인가한다. 또한, 제 2 고주파 전원(90)으로부터는 400 kHz ~ 13.56 MHz의 주파수, 예를 들면 3 MHz의 이온 인입용의 고주파 전력을 인가한다.
따라서 본 실시예와 같이, 플라즈마 에칭 방법의 제 1 에칭 공정에서는, 우선 부착성이 높은 라디칼을 사용하여 마스크 선택비를 높인다. 이어서 제 2 에칭 공정에서는, 제 1 에칭 공정보다 부착성이 낮은 라디칼을 사용하여 넥킹을 억제한다. 이 때, 플라즈마 온과 플라즈마 오프의 기간을 펄스 형상으로 교호로 형성시키고, 플라즈마의 온·오프에 동기하여, 플라즈마 온의 기간보다 플라즈마 오프의 기간 동안의 인가 전압의 절대값이 커지도록 음의 직류 전압을 인가하여, 마스크 잔막의 저하를 효과적으로 방지한다. 본 실시예에서는 넥킹이 적기 때문에, 에칭 레이트의 저하도 방지할 수 있다. 이에 의해, 홀 저부의 CD값인 보텀 CD(Btm CD)를 확보할 수 있다. 즉, 홀이 양호한 수직 형상이고, 높은 애스펙트비를 실현할 수 있는 플라즈마 에칭 방법을 제공할 수 있다.
본 실시예는, 제 1 에칭 공정과 제 2 에칭 공정에 한정되지 않고, 제 3 에칭 공정을 가져도 된다. 예를 들면, 전술한 제 1 에칭 공정과 제 2 에칭 공정의 사이에, 제 1 처리 가스와 제 2 처리 가스 사이의 부착성을 가지는 라디칼을 가지는, 제 3 처리 가스를 이용한 제 3 에칭 공정을 가져도 된다.
(제 1 실시예)
이어서, 이 실시예의 방법의 효과를 확인한 실험에 대하여 설명한다.
실리콘 기판 상에 산화막이 성막되고, 그 위에 하드 마스크로서 질화막, 산화막이 순차적으로 적층되고, 또한 Poly - Si가 적층된 피처리체를 사용했다. Poly - Si 및 하드 마스크를 미리 에칭(펀치 단계)한 샘플을 준비하고, 하기에 상세히 나타낸 에칭 조건에 의해, 플라즈마 에칭을 실시했다.
(1 단계(상기 제 1 에칭 공정))
에칭 가스 : C4F6 / Ar / O2 = 80 / 400 / 60 sccm
압력 : 20 mTorr
제 1 고주파 전원의 출력 : 1700 W
제 2 고주파 전원의 출력 : 6600 W
고주파 전원의 펄스의 간격 : 10 kHz(100 μsec)
가변 직류 전원으로부터의 직류 전압 : -150 V(플라즈마 온 시), -500 V(플라즈마 오프 시)
에칭 시간 : 180 sec
(2 단계(상기 제 3 에칭 공정))
에칭 가스 : C4F6 / C4F8 / Ar / O2 = 40 / 40 / 400 / 50 sccm
압력 : 20 mTorr
제 1 고주파 전원의 출력 : 1700 W
제 2 고주파 전원의 출력 : 6600 W
고주파 전원의 펄스의 간격 : 10 kHz(100μsec)
가변 직류 전원으로부터의 직류 전압 : -150 V(플라즈마 온 시), -600 V(플라즈마 오프 시)
에칭 시간 : 400 sec(저스트 에칭)
(3 단계(상기 제 2 에칭 공정))
에칭 가스 : C4F8 / Ar / O2 = 80 / 550 / 37 sccm
압력 : 20 mTorr
제 1 고주파 전원의 출력 : 1700 W
제 2 고주파 전원의 출력 : 6600 W
고주파 전원의 펄스의 간격 : 20 kHz(50 μsec)
가변 직류 전원으로부터의 직류 전압 : -150 V(플라즈마 온 시), -1000 V(플라즈마 오프 시)
에칭 시간 : 180 sec(오버 에칭)
이 때, 비교예로서 3 단계(상기 제 2 에칭 공정)에서, 가변 직류 전원으로부터의 직류 전압을 일정(150 V)하게 한 점 이외는, 제 1 실시예와 동일한 공정에 의해, 플라즈마 에칭을 행했다.
도 7a ~ 도 7d에 제 1 실시예 및 비교예의 플라즈마 에칭 방법 후의, 콘택트 홀의 수직 형상을 설명하기 위한 개략도를 나타낸다. 또한 도 7a 및 도 7c가 제 1 실시예 후의 도이며, 도 7b 및 도 7d는 비교예 후의 도이다.
도 7a와 도 7b를 비교하면, 제 1 실시예와 비교예의 플라즈마 에칭 방법에서는, 보잉 CD는 거의 동일 정도이다. 그러나 제 1 실시예의 방법을 사용함으로써, 동일 에칭 시간에서, 보텀 CD가 크게 확대되어 있는 것을 알 수 있다. 즉, 보잉 CD를 동일 정도로 억제하면서, 보텀 CD를 확보할 수 있어, 콘택트 홀의 수직 형상을 양호하게 할 수 있는 것을 알 수 있다. 또한 여기서 말하는 보잉 CD란, 콘택트 홀 내에서, 보잉에 의해 가장 확대된 부분의 직경을 가리킨다. 또한 도 7c 및 도 7d에서는, 보다 정밀도 좋게 에칭 형상성을 파악하기 위하여, 보잉 CD와 보텀 CD의 비(Btm / Bow ratio)를 나타낸다. 도 7c 및 도 7d를 비교하면, 제 1 실시예의 방법을 사용함으로써, 보잉 CD를 억제하면서, 보텀 CD를 확보할 수 있었던 것을 알 수 있다. 또한 제 1 실시예의 방법은 비교예의 방법에 비하여, 보다 많은 2 차 전자를 반도체 웨이퍼 상으로 공급하기 때문에, Poly - Si 마스크의 잔막량이 많은 것을 알 수 있다.
(제 2 실시예)
제 1 실시예에서의, 3 단계(상기 제 2 에칭 공정)의 레시피를 변경한 점 이외는, 제 1 실시예와 동일한 공정에 의해, 플라즈마 에칭을 실시했다. 구체적인 에칭 조건은 하기에 나타낸다.
(1 단계(상기 제 1 에칭 공정))
에칭 가스 : C4F6 / Ar / O2 = 80 / 400 / 60 sccm
압력 : 20 mTorr
제 1 고주파 전원의 출력 : 1700 W
제 2 고주파 전원의 출력 : 6600 W
고주파 전원의 펄스의 간격 : 10 kHz(100 μsec)
가변 직류 전원으로부터의 직류 전압 : -150 V(플라즈마 온 시), -500 V(플라즈마 오프 시)
에칭 시간 : 180 sec
(2 단계(상기 제 3 에칭 공정))
에칭 가스 : C4F6 / C4F8 / Ar / O2 = 40 / 40 / 400 / 50 sccm
압력 : 20 mTorr
제 1 고주파 전원의 출력 : 1700 W
제 2 고주파 전원의 출력 : 6600 W
고주파 전원의 펄스의 간격 : 10 kHz(100 μsec)
가변 직류 전원으로부터의 직류 전압 : -150 V(플라즈마 온 시), -600 V(플라즈마 오프 시)
에칭 시간 : 400 sec(저스트 에칭)
(3 단계(상기 제 2 에칭 공정))
에칭 가스 : C4F8 / Ar / O2 = 100 / 550 / 37 sccm
압력 : 20 mTorr
제 1 고주파 전원의 출력 : 1700 W
제 2 고주파 전원의 출력 : 6600 W
고주파 전원의 펄스의 간격 : 20 kHz(50 μsec)
가변 직류 전원으로부터의 직류 전압 : -150 V(플라즈마 온 시), -1000 V(플라즈마 오프 시)
에칭 시간 : 180 sec(오버 에칭)
도 8a ~ 도 8d에 제 2 실시예 및 비교예의 플라즈마 에칭 방법 후의, 콘택트 홀의 수직 형상을 설명하기 위한 개략도를 나타낸다. 또한 도 8a 및 도 8c가 제 2 실시예 후의 도이며, 도 8b 및 도 8d는 비교예 후의 도이다.
도 8a와 도 8b를 비교하면, 제 2 실시예의 플라즈마 에칭 방법에서는, 비교예의 플라즈마 에칭 방법에 비해, 보잉 CD가 크게 억제된 것을 알 수 있다. 또한 제 2 실시예의 방법을 사용함으로써, 동일 에칭 시간에서 보텀 CD가 크게 확대되어 있는 것을 알 수 있다. 즉, 보잉 CD를 억제하면서, 보텀 CD를 확보할 수 있어, 콘택트 홀의 수직 형상을 양호하게 할 수 있는 것을 알 수 있다. 또한 도 8c 및 도 8d의 비교에서도, 보잉 CD를 억제하면서, 보텀 CD를 확보할 수 있었던 것을 알 수 있다. 또한 제 2 실시예의 방법에서도, 비교예의 방법에 비해, 보다 많은 2 차 전자가 반도체 웨이퍼 상으로 공급되기 때문에, Poly - Si 마스크의 잔막량이 많은 것을 알 수 있다.
(제 3 실시예)
본 실시예는, 전술한 바와 같이, 우선 플라즈마 에칭 방법의 제 1 에칭 공정으로서, 부착성이 높은 라디칼을 사용하여 마스크 선택비를 높인다. 이어서 제 2 에칭 공정으로서, 부착성이 낮은 라디칼을 사용하여 넥킹을 억제한다. 이 때, 플라즈마 온과 플라즈마 오프의 기간을 펄스 형상으로 교호로 형성시키고, 또한 플라즈마의 온·오프에 동기하여 플라즈마 온의 기간보다 플라즈마 오프의 기간 동안의 인가 전압의 절대값이 커지도록 음의 직류 전압을 인가하여, 마스크 잔막의 저하를 효과적으로 방지한다.
이 때의 제 2 에칭 공정에서, 아르곤 가스 유량이 높은 것 및 고주파 전원의 펄스의 간격을 짧게 하는 것의 효과를 확인한 실험에 대하여, 도 9의 표 1을 이용하여 설명한다.
표 1에, 각 에칭 조건에서의 마스크 선택비를 나타낸다. 또한 표 1에서의, DC싱크로 펄스란, 플라즈마 온과 플라즈마 오프의 기간을 펄스 형상으로 교호로 형성시키고, 플라즈마의 온·오프에 동기하여, 플라즈마 온의 기간보다 플라즈마 오프의 기간 동안의 인가 전압의 절대값이 커지도록, 상부 전극에 음의 직류 전압을 인가했을 경우의 에칭을 가리킨다. 또한 싱크로 펄스란, 가변 직류 전원으로부터의 직류 전압을 일정하게 하고, 플라즈마 온과 플라즈마 오프의 기간을 펄스 형상으로 교호로 형성시킨 경우의 에칭을 가리킨다.
통상, 플라즈마 발생을 위한 고주파 전원의 출력을 증대시키면, 에칭 레이트를 상승시킬 수 있지만, 마스크 선택비는 저하된다. 그러나, 표 1에서 DC 싱크로 펄스를 사용함으로써, 마스크 선택비의 저하폭이 억제되어 있는 것을 알 수 있다. 이는, DC싱크로 펄스를 사용함으로써, 2 차 전자가 대량으로 공급되어, 에칭 마스크가 개질·강화된 것에 기인한다.
또한 표 1에서는, 아르곤 가스 유량을 높게 하는 것으로도, 마스크 선택비가 높아지는 것을 알 수 있다. 이는, 아르곤 가스 유량을 높게 함으로써, 상부 전극(근방)에서 발생하는 2 차 전자의 양이 증대한 것에 기인한다.
또한 표 1에서는, 고주파 전원의 펄스의 간격을 짧게 하는 것으로도, 마스크 선택비가 높아지는 것을 알 수 있다. 이는, 글로 플라즈마가 소실한 상태에서의, 2 차 전자의 주입 횟수가 증가함으로써, 2 차 전자의 콘택트 홀 내로의 공급량이 증가한 것에 기인한다.
이상, 본 발명의 실시예에 따른 플라즈마 에칭 방법에 대하여 설명했지만, 본 발명에 따른 플라즈마 에칭 방법은 상기 실시예에 한정되지 않고 다양하게 변형 가능하다. 예를 들면, 본 발명을 실시하는 플라즈마 에칭 장치는, 상기 실시예에 예시한 것에 한정되지 않고, 예를 들면 플라즈마 생성용의 고주파 전원을 하부 전극에 하나 설치한 것이어도 된다. 또한 상기 실시예에서는, 플라즈마 에칭 시에 제 1 직류 전압을 인가했지만, 필수는 아니다. 또한 플라즈마 온과 플라즈마 오프의 기간을 펄스 형상으로 교호로 형성시키는 방법은, 상기 실시예의 제 1 에칭 공정 및 제 3 에칭 공정에서도 적용할 수 있다.
본 국제 출원은, 2011년 8월 2일에 출원된 일본 특허 출원 2011-169296호에 기초하는 우선권 및 2011년 8월 15일에 출원된 미국 가출원 61/523434호에 기초하는 우선권을 주장하는 것이며, 그 전체 내용을 본 국제 출원에 원용한다.
1 : Si 기판
2 : 절연막
3 : 에칭 마스크
4 : 홀
5 : 보호막
10 : 챔버(처리 용기)
16 : 서셉터(하부 전극)
34 : 상부 전극
46 : 제 1 정합기
48 : 제 1 고주파 전원
50 : 가변 직류 전원
66 : 처리 가스 공급원
84 : 배기 장치
88 : 제 2 정합기
90 : 제 2 고주파 전원
95 : 전원 컨트롤러
100 : 제어부
102 : 기억부
W : 반도체 웨이퍼(피처리체)

Claims (9)

  1. 피처리체의 재치대로서 기능하는 하부 전극과, 상기 하부 전극에 대향하여 배치되는 상부 전극을 가지는 플라즈마 에칭 장치를 이용한 플라즈마 에칭 방법으로서,
    플라즈마 생성용의 고주파 전력을 온으로 하는 제 1 조건과 상기 고주파 전력을 오프로 하는 제 2 조건을 교호로 반복하면서, 상기 제 1 조건의 기간보다 상기 제 2 조건의 기간 동안의 인가 전압의 절대값이 커지도록, 상기 상부 전극에 음의 직류 전압을 인가하여, 플루오르 카본계 가스를 포함하는 제 1 처리 가스를 이용하여 플라즈마 에칭하는 제 1 에칭 공정과,
    플라즈마 생성용의 고주파 전력을 온으로 하는 제 1 조건과 상기 고주파 전력을 오프로 하는 제 2 조건을 교호로 반복하면서, 상기 제 1 조건의 기간보다 상기 제 2 조건의 기간 동안의 인가 전압의 절대값이 커지도록, 상기 상부 전극에 음의 직류 전압을 인가하여, 플루오르 카본계 가스를 포함하는 제 2 처리 가스로서, 상기 제 2 처리 가스의 라디칼의 피처리체에 대한 부착성이 상기 제 1 처리 가스의 라디칼의 상기 피처리체에 대한 부착성보다 작은 상기 제 2 처리 가스를 이용하여 플라즈마 에칭하는 제 2 에칭 공정을 포함하고,
    상기 제 2 에칭 공정에서의 제 2 조건의 기간에 인가되는 직류 전압의 절대값은, 상기 제 1 에칭 공정에서의 제 2 조건의 기간에 인가되는 직류 전압의 절대값보다 큰 플라즈마 에칭 방법.
  2. 제 1 항에 있어서,
    상기 제 1 에칭 공정과 상기 제 2 에칭 공정의 사이에, 플루오르 카본계 가스를 포함하는 제 3 처리 가스를 이용하여 플라즈마 에칭하는 제 3 에칭 공정을 더 포함하고,
    상기 제 3 처리 가스의 라디칼의 피처리체에 대한 부착성은, 상기 제 1 처리 가스의 라디칼의 상기 피처리체에 대한 부착성보다 작고, 상기 제 2 처리 가스의 라디칼의 상기 피처리체에 대한 부착성보다 큰 플라즈마 에칭 방법.
  3. 제 1 항에 있어서,
    상기 제 2 조건의 기간의 간격을 단계적으로 감소시키면서 플라즈마 에칭하는 플라즈마 에칭 방법.
  4. 제 1 항에 있어서,
    상기 제 1 처리 가스에 포함되는 플루오르 카본계 가스는 C4F6이며, 상기 제 2 처리 가스에 포함되는 플루오르 카본계 가스는 C4F8인 플라즈마 에칭 방법.
  5. 제 1 항에 있어서,
    Poly - Si층을 마스크로 하여, 산화 실리콘막을 에칭하는 플라즈마 에칭 방법.
  6. 제 1 항 내지 제 5 항 중 어느 한 항에 있어서,
    상기 제 2 에칭 공정에서 펄스의 간격이 상기 제 1 에칭 공정에서 펄스의 간격보다 짧은 플라즈마 에칭 방법.
  7. 제 1 항 내지 제 5 항 중 어느 한 항에 있어서,
    상기 제 1 처리 가스 및 제 2 처리 가스는 Ar을 포함하고, 상기 제 2 처리 가스에 포함된 Ar의 유량이 상기 제 1 처리 가스에 포함된 Ar의 유량보다 많은 플라즈마 에칭 방법.
  8. 제 2 항에 있어서,
    상기 제 3 처리 가스에 포함되는 플루오르 카본계 가스는 C4F6 및 C4F8 중 적어도 하나인 플라즈마 에칭 방법.
  9. 제 1 항 내지 제 5 항 중 어느 한 항에 있어서,
    상기 제 2 처리 가스의 라디칼은 상기 제 1 에칭 공정에서 형성되는 홀 내부의 측벽에 상기 제 1 처리 가스의 라디칼보다 얇게 부착되는 플라즈마 에칭 방법.
KR1020147002664A 2011-08-02 2012-07-30 플라즈마 에칭 방법 KR101895437B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
JPJP-P-2011-169296 2011-08-02
JP2011169296A JP5893864B2 (ja) 2011-08-02 2011-08-02 プラズマエッチング方法
US201161523434P 2011-08-15 2011-08-15
US61/523,434 2011-08-15
PCT/JP2012/069375 WO2013018776A1 (ja) 2011-08-02 2012-07-30 プラズマエッチング方法

Publications (2)

Publication Number Publication Date
KR20140051282A KR20140051282A (ko) 2014-04-30
KR101895437B1 true KR101895437B1 (ko) 2018-09-05

Family

ID=47629298

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020147002664A KR101895437B1 (ko) 2011-08-02 2012-07-30 플라즈마 에칭 방법

Country Status (5)

Country Link
US (1) US9034198B2 (ko)
JP (1) JP5893864B2 (ko)
KR (1) KR101895437B1 (ko)
TW (1) TWI540637B (ko)
WO (1) WO2013018776A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200040690A (ko) * 2018-10-10 2020-04-20 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치 및 제어 방법

Families Citing this family (66)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
JP6267989B2 (ja) * 2013-02-18 2018-01-24 東京エレクトロン株式会社 プラズマ処理方法及び容量結合型プラズマ処理装置
US9245761B2 (en) 2013-04-05 2016-01-26 Lam Research Corporation Internal plasma grid for semiconductor fabrication
JP6029522B2 (ja) * 2013-04-16 2016-11-24 東京エレクトロン株式会社 パターンを形成する方法
US9017526B2 (en) * 2013-07-08 2015-04-28 Lam Research Corporation Ion beam etching system
US9147581B2 (en) 2013-07-11 2015-09-29 Lam Research Corporation Dual chamber plasma etcher with ion accelerator
JP6162016B2 (ja) 2013-10-09 2017-07-12 東京エレクトロン株式会社 プラズマ処理装置
JP6374647B2 (ja) 2013-11-05 2018-08-15 東京エレクトロン株式会社 プラズマ処理装置
JP6312405B2 (ja) 2013-11-05 2018-04-18 東京エレクトロン株式会社 プラズマ処理装置
JP6320248B2 (ja) * 2014-03-04 2018-05-09 東京エレクトロン株式会社 プラズマエッチング方法
KR101745686B1 (ko) 2014-07-10 2017-06-12 도쿄엘렉트론가부시키가이샤 기판의 고정밀 에칭을 위한 방법
JP6315809B2 (ja) * 2014-08-28 2018-04-25 東京エレクトロン株式会社 エッチング方法
US10115567B2 (en) 2014-09-17 2018-10-30 Tokyo Electron Limited Plasma processing apparatus
JP6512962B2 (ja) 2014-09-17 2019-05-15 東京エレクトロン株式会社 プラズマ処理装置
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9384998B2 (en) * 2014-12-04 2016-07-05 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
JP6320282B2 (ja) * 2014-12-05 2018-05-09 東京エレクトロン株式会社 エッチング方法
JP6410592B2 (ja) * 2014-12-18 2018-10-24 東京エレクトロン株式会社 プラズマエッチング方法
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9543148B1 (en) 2015-09-01 2017-01-10 Lam Research Corporation Mask shrink layer for high aspect ratio dielectric etch
TWI687970B (zh) * 2016-02-22 2020-03-11 東京威力科創股份有限公司 圖案化層之循環式蝕刻的方法
US10580650B2 (en) * 2016-04-12 2020-03-03 Tokyo Electron Limited Method for bottom-up formation of a film in a recessed feature
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) * 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10276398B2 (en) 2017-08-02 2019-04-30 Lam Research Corporation High aspect ratio selective lateral etch using cyclic passivation and etching
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
JP6945388B2 (ja) * 2017-08-23 2021-10-06 東京エレクトロン株式会社 エッチング方法及びエッチング処理装置
JP2019050305A (ja) 2017-09-11 2019-03-28 東芝メモリ株式会社 プラズマエッチング方法、及び、半導体装置の製造方法
US11664206B2 (en) 2017-11-08 2023-05-30 Taiwan Semiconductor Manufacturing Co., Ltd. Arcing protection method and processing tool
US10658174B2 (en) 2017-11-21 2020-05-19 Lam Research Corporation Atomic layer deposition and etch for reducing roughness
JP2019102483A (ja) * 2017-11-28 2019-06-24 東京エレクトロン株式会社 エッチング方法およびエッチング装置
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
JP6811202B2 (ja) * 2018-04-17 2021-01-13 東京エレクトロン株式会社 エッチングする方法及びプラズマ処理装置
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
JP7306886B2 (ja) * 2018-07-30 2023-07-11 東京エレクトロン株式会社 制御方法及びプラズマ処理装置
WO2020026802A1 (ja) * 2018-07-30 2020-02-06 東京エレクトロン株式会社 制御方法及びプラズマ処理装置
JP7203531B2 (ja) * 2018-08-08 2023-01-13 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US10672589B2 (en) 2018-10-10 2020-06-02 Tokyo Electron Limited Plasma processing apparatus and control method
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
CN111146086B (zh) * 2018-11-05 2024-05-03 东京毅力科创株式会社 蚀刻方法和等离子体处理装置
JP7175162B2 (ja) * 2018-11-05 2022-11-18 東京エレクトロン株式会社 被処理体のプラズマエッチング方法及びプラズマエッチング装置
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
JP7220626B2 (ja) * 2019-06-18 2023-02-10 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
TWI750521B (zh) * 2019-10-23 2021-12-21 聚昌科技股份有限公司 磁力線遮蔽控制反應腔室磁場之蝕刻機結構
JP2022140924A (ja) * 2021-03-15 2022-09-29 東京エレクトロン株式会社 基板処理方法および基板処理装置
US20220293608A1 (en) * 2021-03-15 2022-09-15 Nanya Technology Corporation Semiconductor structure having buried word lines and method of manufacturing the same
US11961735B2 (en) * 2021-06-04 2024-04-16 Tokyo Electron Limited Cyclic plasma processing

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008244144A (ja) * 2007-03-27 2008-10-09 Toshiba Corp 半導体装置の製造方法
JP2010219491A (ja) * 2009-02-20 2010-09-30 Tokyo Electron Ltd プラズマエッチング方法、プラズマエッチング装置および記憶媒体

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5888309A (en) * 1997-12-29 1999-03-30 Taiwan Semiconductor Manufacturing Company, Ltd. Lateral etch inhibited multiple for forming a via through a microelectronics layer susceptible to etching within a fluorine containing plasma followed by an oxygen containing plasma
JP4120272B2 (ja) * 2002-05-29 2008-07-16 沖電気工業株式会社 絶縁膜のエッチング方法および半導体装置のコンタクト形成方法
JP4663368B2 (ja) * 2005-03-28 2011-04-06 東京エレクトロン株式会社 プラズマエッチング方法、プラズマエッチング装置、制御プログラム及びコンピュータ記憶媒体
US8133819B2 (en) * 2008-02-21 2012-03-13 Applied Materials, Inc. Plasma etching carbonaceous layers with sulfur-based etchants
JP5221403B2 (ja) * 2009-01-26 2013-06-26 東京エレクトロン株式会社 プラズマエッチング方法、プラズマエッチング装置および記憶媒体
US8383001B2 (en) * 2009-02-20 2013-02-26 Tokyo Electron Limited Plasma etching method, plasma etching apparatus and storage medium

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008244144A (ja) * 2007-03-27 2008-10-09 Toshiba Corp 半導体装置の製造方法
JP2010219491A (ja) * 2009-02-20 2010-09-30 Tokyo Electron Ltd プラズマエッチング方法、プラズマエッチング装置および記憶媒体

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200040690A (ko) * 2018-10-10 2020-04-20 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치 및 제어 방법
KR102311566B1 (ko) 2018-10-10 2021-10-13 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치 및 제어 방법

Also Published As

Publication number Publication date
US20140144876A1 (en) 2014-05-29
TWI540637B (zh) 2016-07-01
WO2013018776A1 (ja) 2013-02-07
KR20140051282A (ko) 2014-04-30
TW201324610A (zh) 2013-06-16
JP5893864B2 (ja) 2016-03-23
JP2013033856A (ja) 2013-02-14
US9034198B2 (en) 2015-05-19

Similar Documents

Publication Publication Date Title
KR101895437B1 (ko) 플라즈마 에칭 방법
JP4827081B2 (ja) プラズマエッチング方法およびコンピュータ読み取り可能な記憶媒体
US9972503B2 (en) Etching method
JP6320248B2 (ja) プラズマエッチング方法
US8383001B2 (en) Plasma etching method, plasma etching apparatus and storage medium
EP2911187A1 (en) Etching method
KR101916459B1 (ko) 플라즈마 에칭 방법 및 기억 매체
KR102260339B1 (ko) 반도체 장치의 제조 방법
JP6431557B2 (ja) プラズマ処理装置及びプラズマ処理方法
KR20100087266A (ko) 플라즈마 에칭 방법, 플라즈마 에칭 장치 및 기억 매체
JP2010140944A (ja) プラズマエッチング装置及びプラズマクリーニング方法
JP2010219491A (ja) プラズマエッチング方法、プラズマエッチング装置および記憶媒体
US10854430B2 (en) Plasma etching method
US20150118859A1 (en) Plasma processing method and plasma processing apparatus
JP2014082228A (ja) プラズマエッチング方法
US20100220081A1 (en) Plasma processing apparatus
JP2016039309A (ja) 多層膜をエッチングする方法
KR20060072499A (ko) 플라즈마를 이용한 반도체 소자의 세정장치 및 방법

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant