JP2010140944A - プラズマエッチング装置及びプラズマクリーニング方法 - Google Patents

プラズマエッチング装置及びプラズマクリーニング方法 Download PDF

Info

Publication number
JP2010140944A
JP2010140944A JP2008313100A JP2008313100A JP2010140944A JP 2010140944 A JP2010140944 A JP 2010140944A JP 2008313100 A JP2008313100 A JP 2008313100A JP 2008313100 A JP2008313100 A JP 2008313100A JP 2010140944 A JP2010140944 A JP 2010140944A
Authority
JP
Japan
Prior art keywords
plasma
cleaning
gas
period
frequency
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2008313100A
Other languages
English (en)
Other versions
JP5390846B2 (ja
Inventor
Takamichi Kikuchi
貴倫 菊地
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2008313100A priority Critical patent/JP5390846B2/ja
Priority to US12/630,155 priority patent/US20100140221A1/en
Publication of JP2010140944A publication Critical patent/JP2010140944A/ja
Priority to US14/035,023 priority patent/US9659756B2/en
Application granted granted Critical
Publication of JP5390846B2 publication Critical patent/JP5390846B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32146Amplitude modulation, includes pulsing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32559Protection means, e.g. coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/02Details
    • H01J2237/022Avoiding or removing foreign or contaminating particles, debris or deposits on sample or tube
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Drying Of Semiconductors (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)

Abstract

【課題】処理容器内のクリーニング性能を確保しつつ、被処理体を載置する高周波電極に設けられる静電チャックのエロージョンを効率的に低減する。
【解決手段】真空排気可能な処理容器10に上部電極38と下部電極12とが平行に配置され、下部電極12には第1高周波電源32より第1整合器34を介して第1の高周波が印加される。制御部68は、半導体ウエハWの無い処理容器10内で行われるプラズマクリーニングに際して、プラズマ生成に寄与する第1の高周波が、プラズマを生成させる第1の振幅を有する第1の期間と、プラズマを実質的に生成させない第2の振幅を有する第2の期間とを所定の周期で交互に繰り返すように、第1高周波電源32を制御する。
【選択図】 図1

Description

本発明は、プラズマを利用して被処理体にドライエッチング加工を施す容量結合型のプラズマエッチング装置およびその処理容器内をクリーニングするためのプラズマクリーニング方法に関する。
半導体デバイスやFPD(Flat Panel Display)の製造プロセスにおけるエッチング、堆積、酸化、スパッタリング等の処理では、処理ガスに比較的低温で良好な反応を行わせるためにプラズマが多く利用されている。従来より、枚葉式のプラズマエッチング装置におけるプラズマ生成方式は、容量結合型が主流になっている。
容量結合型プラズマエッチング装置は、真空チャンバとして構成される処理容器内に上部電極と下部電極とを平行に配置し、下部電極の上に被処理基板(半導体ウエハ、ガラス基板等)を載置し、両電極間に高周波電圧を印加する。この高周波電圧によって両電極間に形成される電界により電子が加速され、電子と処理ガスとの衝突電離によってプラズマが発生し、プラズマ中のラジカルやイオンによって基板表面に所望のエッチング加工が施される。
ここで、高周波を印加される側の電極は整合器内のブロッキングキャパシタを介して高周波電源に接続されるため、カソード(陰極)として働く。基板を載置する下部電極に高周波を印加してこれをカソードとするカソードカップル方式は、下部電極に生じる自己バイアス電圧を利用してプラズマ中のイオンを基板にほぼ垂直に引き込むことにより、方向性にすぐれた異方性エッチングを可能としている(たとえば特許文献1参照)。
近年は、プラズマの密度および異方性エッチングの選択性をそれぞれ個別的に最適化するために、基板を載置する下部電極にプラズマ生成に適した比較的高い周波数(一般に27MHz以上)の第1高周波とイオン引き込みに適した比較的低い周波数(一般に13.56MHz以下)の第2高周波とを重畳して印加する下部2周波重畳印加方式が主流になりつつある(たとえば特許文献2参照)。
また、容量結合型プラズマエッチング装置においては、プラズマエッチングの最中にプラズマからの入熱による基板の温度上昇を抑えて基板温度を一定に制御する必要があり、このためにチラー装置より温調された冷媒を下部電極の中の冷媒通路に循環供給すると同時に、Heガスなどの伝熱ガスを下部電極の中を通して基板の裏面に供給して基板を間接的に冷却する方式がよく用いられている。この冷却方式は、伝熱ガスの供給圧力に抗して基板を下部電極上に固定しておくための保持機構を必要とし、そのような保持機構として静電チャックが多く用いられている(たとえば特許文献3参照)。
静電チャックは、典型的には、内部にDC電極を封入した誘電体層を下部電極の上面(載置面)に設け、該DC電極に所定の直流電圧を印加し、基板と誘電体層との間に発生したクーロン力によって基板を吸着する仕組みになっている。最近の静電チャックの多くは、その誘電体層に、プラズマ耐性および耐熱性の高いアルミナ・セラミックス(Al23)を用いている。
特開2000−260595 特開2000−156370 特開2001−210705
ところで、容量結合型プラズマエッチング装置においては、プラズマエッチングの最中に生成される気相の反応生成物あるいは反応副生成物の一部がチャンバ内の部材に、特にチャンバ内でプラズマ生成空間または処理空間に臨むチャンバ側壁、上部電極、フォーカスリング等に付着し、そこで固化して堆積物(デポジション、以下“デポ”と略称する。)となる。このようなチャンバ内の部材表面に付着したデポが膜剥がれなどで離脱すると、パーティクルとなって、デバイスの歩留まりを悪化させる。そこで、定期的にクリーニングプロセスを実施して、処理容器内の各部材からデポを取り除くようにしている。この種のクリーニングプロセスには、ガスの熱分解によりクリーニングするガスクリーニングと、クリーニングガスをプラズマにより分解してクリーニングするプラズマクリーニングの2種類がある。
プラズマクリーニングを実施する場合、カソードカップル方式では、本来のドライエッチング加工を行うときと同様に下部電極にプラズマ生成用の高周波を印加する。また、下部2周波重畳印加方式では、イオン引き込み制御用の第2高周波をオフにして、プラズマ生成に寄与する第1高周波のみを下部電極に印加する。プラズマクリーニングを定期的に実施する場合のサイクルは、ロット単位でもよいが、プロセスに与えるデポの影響を十全に防止するうえでは枚葉単位の方が望ましいとされている。
ところが、従来の容量結合型プラズマエッチング装置においては、プラズマクリーニングを実施する度にわずかではあるが静電チャックの表層部(誘電体)がイオンスパッタ効果により侵食され、プラズマクリーニングが多数回繰り返されることによってその侵食(エロージョン)が進行し、静電チャックの寿命が短くなるという問題があった。さらに、静電チャックの誘電体が金属を含む場合、たとえばアルミナ・セラミックス(Al23)である場合は、そのエロージョンよってアルミニウムが粒子あるいは化合物(たとえばAlフッ化物あるいはAl塩化物)等の形態でチャンバ内に拡散してその一部が排気されずに残留し、これがエッチングプロセスを受ける基板に付着して金属汚染をもたらすという問題もあった。
なお、プラズマクリーニングを実施する時は、下部電極には周波数の高いプラズマ生成用の高周波のみを印加するのではあるが、自己バイアス電圧が不可避的に発生して、下部電極とプラズマとの間にイオンシースが形成され、このイオンシース内の電界によってプラズマからのイオンが加速されて静電チャックの表層部(誘電体)に入射し、誘電体材料をスバッタする。
上記のような静電チャックを汚染源とする金属汚染を防止するために、従来の容量結合型プラズマエッチング装置においては、プラズマクリーニングの後にチャンバ内に成膜用のガスたとえばSiCl4ガスを供給してデポジションのプロセスを実施し、静電チャック表面をたとえばSiClxy等の薄膜でコーティングする手法が採られている。しかしながら、この手法は、デポジションプロセスのためにガス機構等の高コストな設備と多くの後処理時間とを必要とし、運用が面倒であるという不利点がある。
本発明は、上記のような従来技術の問題点に鑑みてなされたものであって、処理容器内のクリーニング性能を確保しつつ、被処理体を載置する高周波電極に設けられる静電チャックのエロージョンを効率的に低減し、静電チャックの長寿命化および金属汚染の低減ないし防止を図るプラズマエッチング装置およびプラズマクリーニング方法を提供することを目的とする。
上記の目的を達成するために、本発明のプラズマエッチング装置は、真空排気可能な処理容器と、前記処理容器内で被処理体を載置する第1電極と、前記被処理体を静電力により保持するために前記第1電極の載置面に設けられ、少なくとも表層部の誘電体が金属を含む静電チャックと、前記処理容器内で前記第1電極と平行に向かい合う第2電極と、前記被処理体に所望のドライエッチング加工を施すために、前記第1電極と前記第2電極との間の処理空間に所望のエッチングガスを供給するエッチングガス供給部と、前記処理容器内のプラズマクリーニングを被処理体無しで行うために、前記処理空間に所望のクリーニングガスを供給するクリーニングガス供給部と、前記第1電極に前記エッチングガスまたは前記クリーニングガスのプラズマ生成に寄与する第1の高周波を印加するための第1高周波給電部と、前記処理容器内のプラズマクリーニングを被処理体無しで行う際に、前記第1の高周波がプラズマを生成させる第1の振幅を有する第1の期間と、前記第1の高周波がプラズマを実質的に生成させない第2の振幅を有する第2の期間とが所定の周期で交互に繰り返されるように、前記第1高周波給電部を制御する制御部とを有する。
また、本発明のプラズマクリーニング方法は、真空排気可能な処理容器と、前記処理容器内で被処理体を載置する第1電極と、前記被処理体を静電力により保持するために前記第1電極の載置面に設けられ、少なくとも表層部の誘電体が金属を含む静電チャックと、前記処理容器内で前記第1電極と平行に向かい合う第2電極と、前記被処理体に所望のドライエッチング加工を施すために、前記第1電極と前記第2電極との間の処理空間に所望の処理ガスを供給する処理ガス供給部と、前記処理容器内のプラズマクリーニングを被処理体無しで行うために、前記処理空間に所望のクリーニングガスを供給するクリーニングガス供給部と、前記第1電極に前記処理ガスまたは前記クリーニングガスのプラズマ生成に寄与する第1の高周波を印加するための第1高周波給電部とを有するプラズマエッチング装置において、前記処理容器内のプラズマクリーニングを被処理体無しで行うプラズマクリーニング方法であって、前記第1の高周波がプラズマを生成させる第1の振幅を有する第1の期間と、前記第1の高周波がプラズマを実質的に生成させない第2の振幅を有する第2の期間とが所定の周期で交互に繰り返されることを特徴とする。
容量結合型プラズマエッチング装置において、静電チャック表層部の誘電体が金属を含む場合はセラミックスであり、典型的にはアルミナ・セラミックス(Al23)である。この種のセラミックスは、ラジカルベースのエッチングに対しては十分大きな耐性を有するが、イオンの入射による物理的エッチング(イオンスパッタ)には抗しきれず、被処理体を載置する第1電極にプラズマ生成用の第1高周波を印加して行うプラズマクリーニングが繰り返し行われると、不可避的に侵食(エロージョン)を起こす。しかし、イオンスパッタを弱めることで、このエロージョンを低減することが可能である。
本発明では、被処理体無しでのプラズマクリーニングに際して、第1電極に印加するプラズマ生成用の第1の高周波にパルス変調をかけることにより、デポが付着する部材に対するクリーニングの基本効果(性能)を保ちつつ、静電チャック表層部に対するイオンスパッタを弱めて、静電チャック表層部のエロージョンを効果的かつ効率的に低減する。このことによって、処理容器内内をデポレス状態に維持しつつ、静電チャックの寿命を延ばし、金属汚染を低減ないし防止することができる。
本発明の好適な一態様においては、上記第2の振幅はゼロであってよい。これにより、パルス変調において自己バイアスの発生つまりイオンの引き込みを一時的に中断する効果を確実にすることができる。また、第1の期間と第2の期間とが交互に繰り返される周波数は1kHz〜60kHzの範囲が好ましく、第1の期間のデューティは10%〜60%の範囲が好ましい。
また、別の好適な一態様においては、プラズマクリーニングに際して、第1電極に対して第1の高周波の印加を開始した時からプラズマが着火するまで、あるいはクリーニングガスの放電が安定するまでは第1の高周波が第1の振幅のみを持続的に有するように第1高周波給電部を制御し、プラズマが着火した後あるいは放電が安定した後は第1の高周波において第1の期間と第2の期間とが所望の周期で交互に繰り返されるように第1高周波給電部が制御される。たとえば、パルス変調におけるデューティが比較的小さくてプラズマ生成の立ち上がりがあまりよくない場合に、この手法は有効である。
また、別の好適な一態様においては、プラズマクリーニングのために設定されたプラズマクリーニング時間を第1および第2のクリーニング時間に分割し、第1のクリーニング時間中は第1の高周波が第1の振幅のみを持続的に有するように第1高周波給電部を制御し、第2のクリーニング時間中は第1の高周波において第1の期間と第2の期間とが所望の周期で交互に繰り返されるように第1高周波給電部が制御される。たとえば、最初に無変調で粗いクリーニングを行って、その後にパルス変調による精細な仕上げのクリーニングを行う場合に、この手法は有効である。
もちろん、本発明においては、プラズマクリーニングのために設定されたプラズマクリーニング時間の開始から終了まで、パルス変調を継続させる手法、つまり第1の高周波において第1の期間と第2の期間とが所定の周期で交互に繰り返されるように第1高周波給電部を制御する手法も可能である。
本発明のプラズマクリーニングに用いるクリーニングガスとしては、処理容器内でデポの付いた部材に対してエッチングレートが高く、かつ静電チャック表層部の誘電体に対してはエッチングレートの低いガスが望ましく、たとえばSF6ガスとO2ガスとを含む混合ガスが特に好ましい。NF3ガスとO2ガスとを含む混合ガスも好適に使用できる。SF6ガスまたはNF3ガスに対するO2ガスの好ましい混合比は略1である。
本発明におけるプラズマクリーニングは、静電チャックのエロージョンが少ないので、頻繁に実施可能であり、好ましくは枚葉単位またはロット単位のサイクルで実施されてよい。
本発明のプラズマエッチング装置またはプラズマクリーニング方法によれば、上記のような構成と作用により、処理容器内のクリーニング性能を確保しつつ、被処理体を載置する高周波電極に設けられる静電チャックのエロージョンを効率的に低減することが可能であり、静電チャックの長寿命化および金属汚染の低減ないし防止を図ることができる。
以下、添付図を参照して本発明の好適な実施の形態を説明する。
図1に、本発明の一実施形態によるプラズマエッチング装置の構成を示す。このプラズマエッチング装置は、下部2周波印加方式の容量結合型プラズマエッチング装置として構成されており、たとえばアルミニウムまたはステンレス鋼等の金属製の円筒型チャンバ(処理容器)10を有している。チャンバ10は保安接地されている。
チャンバ10内には、被処理体(被処理基板)としてたとえば半導体ウエハWを載置する円板状の下部電極またはサセプタ12が設けられている。このサセプタ12は、たとえばアルミニウムからなり、絶縁性の筒状保持部14を介してチャンバ10の底から垂直上方に延びる筒状支持部16に支持されている。筒状保持部14の上面には、サセプタ12の上面を環状に囲むたとえば石英やシリコンからなるフォーカスリング18が配置されている。
チャンバ10の側壁と筒状支持部16との間には排気路20が形成され、この排気路20の入口または途中に環状のバッフル板22が取り付けられるとともに底部に排気口24が設けられている。この排気口24に排気管26を介して排気装置28が接続されている。排気装置28は、真空ポンプを有しており、チャンバ10内の処理空間を所定の真空度まで減圧することができる。チャンバ10の側壁には、半導体ウエハWの搬入出口を開閉するゲートバルブ30が取り付けられている。
サセプタ12には、プラズマ生成用の第1高周波電源32が第1整合器34および給電棒36を介して電気的に接続されている。この第1高周波電源32は、ガス放電に適した所定の周波数(たとえば100MHz)を有する第1の高周波HFを下部電極つまりサセプタ12に印加する。なお、チャンバ10の天井部には、後述するシャワーヘッド38が接地電位の上部電極として設けられている。したがって、第1高周波電源32からの第1の高周波HFはサセプタ12とシャワーヘッド38との間に容量的に印加される。
また、サセプタ12には、イオン引き込み制御用の第2高周波電源80が第2整合器82および給電棒36を介して電気的に接続されている。この第2高周波電源80は、イオン引き込みまたはイオンエネルギーの制御に適した所定の周波数(たとえば13.56MHz)を有する第2の高周波LFをサセプタ12に印加する。
サセプタ12の上面には半導体ウエハWを静電吸着力で保持するための静電チャック40が設けられている。この静電チャック40は導電膜からなるDC電極40aを上部誘電体層40bと下部誘電体層40cとの間に封入して挟み込んだものであり、DC電極40aには直流電源42がスイッチ43を介して電気的に接続されている。直流電源42からの直流電圧をDC電極40aに印加することより、クーロン力で半導体ウエハWを静電チャック40上に吸着保持することができる。
静電チャック40の誘電体層40b,40cは、金属を含む誘電体たとえばアルミナ・セラミックス(Al23)からなる。この実施形態では、ウエハ温度制御用の発熱体84が下部誘電体層40cの中に設けられている。この発熱体84は、たとえばスパイラル状に形成された抵抗発熱線からなり、電気ケーブル86を介して、チャンバ10の外に配置されているヒータ電源88に電気的に接続されている。耐熱性の高いアルミナ・セラミックス(Al23)からなる静電チャック40の誘電体層40b,40cは、発熱体84の発熱に十分に耐えられる。
サセプタ12の内部には、たとえば円周方向に延在する冷媒室44が設けられている。この冷媒室44には、チラーユニット46より配管48、50を介して所定温度の冷媒たとえば冷却水が循環供給される。冷媒の温度によって静電チャック40上の半導体ウエハWの処理温度を制御できる。さらに、伝熱ガス供給部52からの伝熱ガスたとえばHeガスが、ガス供給ライン54を介して静電チャック40の上面と半導体ウエハWの裏面との間に供給されるようになっている。
天井部のシャワーヘッド38は、多数のガス通気孔56aを有する下面の電極板56と、この電極板56を着脱可能に支持する電極支持体58とを有する。電極支持体58の内部には半径方向でガスを拡散させて圧力を均一化するためのバッファ室60が設けられている。このバッファ室60のガス導入口60aは、ガス供給管62を介してエッチングガス供給部66に接続されるとともに、ガス供給管64を介してクリーニングガス供給部68にも接続されている。ガス供給配管62,64の途中には開閉弁70,72がそれぞれ設けられている。エッチングガス供給部66およびクリーニングガス供給部68にはそれぞれ流量制御器が含まれている。
チャンバ10の周囲には、環状または同心状に延在する磁石74が配置されている。チャンバ10内において、プラズマプロセスが行われる時は、シャワーヘッド38とサセプタ12との間の処理空間には鉛直方向のRF電界が形成される。第1の高周波HFの印加によるガス放電により、サセプタ12の表面近傍に高密度のプラズマを生成することができる。
制御部76は、このプラズマエッチング装置内の各部たとえば排気装置28、第1高周波電源32、第2高周波電源80、第1整合器34、第2整合器82、静電チャック用のスイッチ43、チラーユニット46、伝熱ガス供給部52、エッチングガス供給部66、クリーニングンガス供給部68、開閉弁70,72等を制御するもので、ホストコンピュータ(図示せず)等の外部装置とも接続されている。
このプラズマエッチング装置において、ドライエッチング加工を行うには、先ずゲートバルブ30を開状態にして加工対象の半導体ウエハWをチャンバ10内に搬入して、静電チャック40の上に載置する。そして、ガス供給管62の開閉弁70を開状態にして、エッチングガス供給部66よりエッチングガス(一般に混合ガス)を所定の流量および流量比でチャンバ10内に導入し、排気装置28によりチャンバ10内の圧力を設定値にする。さらに、第1高周波電源32より所定のパワーで第1の高周波HFをサセプタ12に印加すると同時に、第2高周波電源80からも所定のパワーで第2の高周波LFをサセプタ12に印加する。また、伝熱ガス供給部52より伝熱用のHeガスを静電チャック40の上面と半導体ウエハWの裏面との間に供給する。そして、直流電源42より直流電圧を静電チャック40のDC電極40aに印加して、静電吸着力によれ半導体ウエハWと静電チャック40との接触界面に伝熱ガスを閉じ込める。一方で、ヒータ電源88をオンにして静電チャック40の中に埋設されている抵抗発熱体84に電力(通常は商用交流電力)を供給する。シャワーヘッド38より吐出されたエッチングガスは両電極12,38間で第1の高周波HFによる高周波放電によってプラズマ化し、このプラズマで生成されるラジカルやイオンによって半導体ウエハWの主面が所望のパターンにエッチングされる。
この容量結合型プラズマエッチング装置は、シャワーヘッド38に100MHzというプラズマ生成に適した比較的高い周波数を有する第1の高周波HFを印加することにより、プラズマを好ましい解離状態で高密度化し、より低圧の条件下でも高密度プラズマを形成することができる。それと同時に、サセプタ12に13.56MHzというイオン引き込みに適した比較的低い周波数を有する第2の高周波LFを印加することにより、サセプタ12上の半導体ウエハWに対して選択性の高い異方性エッチングを施すことができる。
また、この容量結合型プラズマエッチング装置においては、サセプタ12ないし静電チャック40にチラーの冷却とヒータの加熱を同時に与えて半導体ウエハWの温度を制御するので、高速の温度切換または昇降温が可能であるとともに、温度分布のプロファイルを任意または多様に制御することも可能である。
上記のようなドライエッチング加工においては、エッチングガスのプラズマに含まれるラジカルやイオンと被エッチング膜やエッチングマスク材料との反応によって生成されたポリマー(たとえばフロロカーボン系のポリマー)や半導体ウエハW表面からスパッタされた粒子が排気装置28側へ全部排出されずにチャンバ10内に一部残留し、それらの残留物が処理空間に臨んでいる部材、すなわちチャンバ10の側壁、シャワーヘッド38の電極板56、フォーカスリング18、排気系のバッフル板22等にデポとして付着する。もっとも、静電チャック40は、ドライエッチング加工中は当該半導体ウエハWを載置しているので、プラズマには晒されず、その表面にデポは付着しない。
この実施形態では、ドライエッチング加工のプロセスに付随してチャンバ10内の(静電チャック40を除く)各部材に付着したデポを速やかに除去するために、定期的に、好ましくはロット単位のサイクルで、更に好ましくは枚葉単位のサイクルで、クリーニングプロセスが行われる。すなわち、枚葉単位のサイクルでは、一枚の半導体ウエハWについて上記のようなドライエッチング加工のプロセスが終了した直後に、処理済みの当該半導体ウエハWをチャンバ10の外に搬出し、その後処理として、空き状態(半導体ウエハWの無い状態)のチャンバ10内でプラズマクリーニングが行われる。また、ロット単位のサイクルでは、1ロット(たとえば25枚)の半導体ウエハWについて上記のようなドライエッチング加工のプロセスを複数回(25回)繰り返した後に、空き状態(半導体ウエハWの無い状態)のチャンバ10内でプラズマクリーニングが行われる。
制御部76は、この実施形態によるプラズマクリーニングのプロセスを実施するために装置内の各部を制御する。より詳しくは、ゲートバルブ30を閉めてチャンバ10内を密閉し、ガス供給管64の開閉弁72を開状態にして、クリーニングガス供給部68よりクリーンニングガス(好ましくは混合ガス)を所定の流量および流量比でチャンバ10内に導入し、排気装置28によりチャンバ10内の圧力を設定値にする。さらに、第1高周波電源32より後述するような本発明のパルス変調をかけられた第1の高周波HFをサセプタ12に印加する。
ここで、クリーンニングガスとして、フッ素系のガスたとえばSF6ガスとO2ガスとの混合ガスを好適に使用できる。SF6ガスは、プラズマ中で生成されるF原子の数が他のフッ素系ガスよりも数倍多く、デポ(特にSi化合物)のエッチングを高速に行うことができる。もちろん、他のフッ素系ガスたとえばNF3ガスも好適に使用できる。O2ガスは、添加ガスとして重合反応を抑制しつつクリーニングプロセスを促進する働きがある。フッ素系ガス(SF6ガス,NF3ガス)に対するO2ガスの好ましい混合比は略1である。
この実施形態においてプラズマクリーニングを行う時は、イオン引き込み制御用の第2高周波電源80をオフにしておく。また、静電チャック40上に半導体ウエハWは存在しないので、ウエハ温度制御は不要であり、DC印加スイッチ43および熱ガス供給部52をオフにしておく。ただし、静電チャック40ないしサセプタ12の温度制御は必要であり、チラーユニット46およびヒータ電源88はオン状態で作動させてよい。
この実施形態では、プラズマクリーニング中に、第1の高周波HFがプラズマを生成させる第1の振幅または波高値を有する(つまり実効的なパワー)を有する第1の期間と、第1の高周波HFがプラズマを生成させない第2の振幅または波高値を有する(つまり実効的なパワーを有していない)第2の期間とが所定の周期で交互に繰り返され、それによってプラズマ生成状態とプラズマ非生成状態とが交互に繰り返されるように、制御部76が第1の高周波電源32および第1の整合器34を制御する。なお本発明では、第2の振幅がゼロ(すなわち第1の高周波を印加しないこと)を含む。
より具体的には、第1高周波電源32より出力される第1の高周波HFのパワーを変調させて、サセプタ12に印加する。パワー変調の典型的な例としては、図2に示すようなパルス状の変調を挙げることができる。
図2においては、プラズマ生成状態が期間Aであり、プラズマ非生成状態が期間Bである。プラズマ生成期間Aでは第1の高周波HFを電力換算でたとえば750Wの第1の振幅でサセプタ12に印加し、プラズマ非生成期間Bでは第1の高周波を電力換算でたとえば0W(第2の振幅)にする。すなわち第1の高周波HFのパワーのON、OFFを繰り返して、チャンバ10内でいわゆるパルスプラズマを生成させる。ON/OFFの1周期におけるON期間の比率(百分率)をデューティとすると、デューティは100A%/(A+B)で表される。たとえば、A=Bとし、デューティを50%に選んでよい。この場合の第1の高周波HFの波形は、図3のようになる。
なお、プラズマ非生成状態の第2の振幅を0Wとしなくてもよい。プラズマが実質的に生成しないパワー値であれば、これに限られない。また、プラズマ生成時の第1の振幅をパワー換算で750Wとしたが、これに限られない。クリーニングプロセス条件にもよるが、パワー換算で400W〜4000Wの範囲に設定することができる。
また、この実施形態のパルス変調において第1の振幅(ON期間)と第2の振幅(OFF期間)とが交互に繰り返される周波数は、第1の高周波HFの周波数(通常27MHz以上)に比して十分低い周波数が望ましく、通常は1kHz〜100kHzでよく、好ましくは1kHz〜60kHzの範囲内がよい。変調周波数が1kHzよりも低いと、本発明のイオンスパッタ抑制効果が著しく低下する。また、変調周波数が60kHzよりも高いと、パルスプラズマが第1の高周波HFのON/OFFに追従するのが難しくなり、本発明のイオンスパッタ抑制効果が著しく低下する。
また、第1の振幅(ON期間)のデューティは、50%に限定されず、10%〜60%の範囲内で適宜選定するのが好ましい。デューティが10%よりも低いと、プラズマが生成されなくなり、プラズマクリーニングの実効性が失われる。一方、デューティが60%よりも高くなると、本発明のイオンスパッタ抑制効果が著しく低下する。
一般に、クリーニング効果の面では、デューティとクリーニング時間との間に反比例の相関関係があり、デューティが大きいほどクリーニングの所要時間は短くなり、デューティが小さいほどクリーニングの所要時間は長くなる。
この実施形態の一実施例におけるプラズマクリーニングの主な条件は下記のとおりである。
エッチングガス:SF6ガス/O2ガス=800sccm/800sccm
チャンバ内の圧力:200mT
HFパワー:第1振幅/第2振幅=750W/0W
変調周波数:10kHz
デューティ:50%
温度:上部電極/チャンバ側壁/下部電極=80/70/60℃
磁場:320G
クリーニング時間:40秒
上記実施例において、シャワーヘッド38の電極板56の表面では半径方向の各位置で100Å/min〜250Å/minのクリーニング速度が得られ、フォーカスリング18の表面では半径方向の各位置で100Å/min〜150Å/minのクリーニング速度が得られ、チャンバ10の側壁では上下方向の各位置で25Å/min〜50Å/minのクリーニング速度が得られることが実験で確認されている。
そして、上記実施例における各部のクリーニング速度が、他の条件を変えずにデューティを100%にした場合(つまりパルス変調をかけない場合)の各部のクリーニング速度の約1/2であることも実験で確認されている。
一方で、上記実施例において他の条件を変えずにHFパワー(第1の高周波HFの第1の振幅のパワー)を2倍つまり1500Wに上げても、クリーニング速度は大して変わらないことも実験で確認されている。
要するに、本発明によるパルス変調方式のプラズマクリーニングは、クリーニング性能の面では、パルス変調をかけないプラズマクリーニングと較べて、クリーニング速度がデューティに略比例して低下する。しかし、別な見方をすれば、本発明においては、パルス変調のデューティに応じて(反比例の関係で)クリーニング時間を長めに設定することで、パルス変調をかけないプラズマクリーニングと同等のクリーニング結果またはクリーニング性能を確保することができる。
むしろ、本発明によるパルス変調方式のプラズマクリーニングの主たる特徴は、静電チャック40の表層部つまり上部誘電体層40bの侵食(エロージョン)を効率的に低減できる点にある。
すなわち、プラズマクリーニングにおいて、サセプタ12にプラズマ生成用の第1の高周波HFを印加すると、サセプタ(下部電極)12とシャワーヘッド(上部電極)38との間の処理空間でクリーニングガスが放電してプラズマが生成されるとともに、サセプタ12に負極性の自己バイアス電圧が発生してサセプタ12とプラズマとの間にイオンシースが形成され、このイオンシースの電界によりプラズマ中の正イオンが加速されて静電チャック40の上部誘電体層40bに入射する。上部誘電体層40bの材料であるアルミナ・セラミックス(Al23)は、フッ素や酸素等のラジカルに対しては十分大きなエッチング耐性を有するが、イオンの入射による物理的エッチング(イオンスパッタ)に対してはそれほどの耐性はなく、不可避的に侵食される。
ここで、第1の高周波HFに上記のようなパルス変調がかかっていると、変調周波数の各サイクルにおいて、第1の高周波HFが第2の振幅を有する第2の期間B中は、少なくとも自己バイアス電圧は存在せず、静電チャック40の上部誘電体層40bに対するイオンスパッタが中断し、これによってイオンスパッタが抑制される。
このようなパルス変調によるイオンスパッタ抑制の作用は、被処理体の半導体ウエハWに対するドライエッチング加工において上記と同様のパルス変調をかけた場合と同じであり、たとえばレジストパターンのトリミング処理において検証できる。
一般に、トリミング処理は、図4の(A)に示すようにフォトリソグラフィで形成されたレジストパターン100の側壁を削って図4の(B)に示すような一回り細いパターンに成形する加工である。この細く成形されたレジストパターン100をマスクにして被エッチング膜104をエッチングすると、図4の(C)に示すような所望サイズの穴または溝108を開けることができる。なお、図4において、102は反射防止膜、106は下地膜または下地基板である。
レジストプロセスにおいて最初から所望の細めのサイズでレジストパターンを形成しようとすると、フォトリソグラフィ工程の中(特に現像時)でレジスト倒壊を起こすことがある。そのような場合は、フォトリソグラフィ工程の後にトリミング処理によってレジストパターンを目的の寸法まで細める手法がとられている。
もっとも、トリミング処理においては、横方向でレジストパターン100の側壁が削られるだけでなく、縦方向でもレジストパターン100の上面が削られる。ここで、レジストパターン100の横方向の削り取り(トリミング)には主としてラジカルベースのエッチングが支配的に寄与し、縦方向の削れ(レジスト損失)には主としてイオンベースのエッチングが支配的に寄与する。
したがって、トリミング処理において通常の無変調(連続波:CW)方式とパルス変調方式とをラジカルベースのエッチングとイオンベースのエッチングの面から比較することは、本発明の効果を検証するうえで有意義である。
本発明者は、上記のような観点から、この実施形態における容量結合型プラズマエッチング装置(図1)を使用し、トリミング処理の実験を通してパルス変調方式と無変調(連続波:CW)方式との比較を行った。このトリミング処理実験における主な条件は下記のとおりである。
半導体ウエハ口径:300mm
処理ガス:O2/N2=50/50sccm
チャンバ内の圧力:50mT
HFパワー:第1振幅/第2振幅=70W,80W/0W
変調周波数:10kHz,100kHz
デューティ:50%
温度:上部電極/チャンバ側壁/下部電極=80/70/60℃
磁場:320G
トリミング時間:20〜26秒
図5に、下記の実験例1,2,3で得られたトリミング特性をSEM写真付きの一覧表に示す。
[実験例1]
第1の高周波HFのパワーを70Wとし、無変調(連続波:CW)方式でトリミング処理を20秒かけて行った。半導体ウエハ上の中心部においては、縦方向のエッチング量つまりレジスト損失量(PR Loss)が40.2nm、横方向のエッチング量つまりトリミング量(Trim.amount)が45.1nmであり、トリミング比(Trim.ratio)は45.1nm/40.2nm=1.12であった。半導体ウエハ上のエッジ部では、レジスト損失量(PR Loss)が35.6nm、トリミング量(Trim.amount)が39.8nmであり、トリミング比(Trim.ratio)は1.12であった。
[実験例2]
第1の高周波HFのパワー(ON期間または第1振幅のパワー)を70Wとし、この実施形態のプラズマクリーニングと同様のパルス変調方式によってトリミング処理を26秒かけて行った。中心部では、レジスト損失量(PR Loss)が37.5nm、トリミング量(Trim.amount)が53.7nmであり、トリミング比(Trim.ratio)は1.43であった。エッジ部では、レジスト損失量(PR Loss)が32.9nm、トリミング量(Trim.amount)が48.4nmであり、トリミング比(Trim.ratio)は1.47であった。
[実験例3]
第1の高周波HFのパワー(ON期間または第1振幅のパワー)を85Wとし、この実施形態のプラズマクリーニングと同様のパルス変調方式によってトリミング処理を26秒かけて行った。中心部では、レジスト損失量(PR Loss)が38.2nm、トリミング量(Trim.amount)が47.7nmであり、トリミング比(Trim.ratio)は1.24であった。エッジ部では、レジスト損失量(PR Loss)が32.2nm、トリミング量(Trim.amount)が47.7nmであり、トリミング比(Trim.ratio)は1.48であった。
上記のように、無変調(CW)方式の実験例1とパルス変調方式の実験例2,3とを比較すると、ラジカルベースのエッチングレート(トリミング量)は無変調(CW)方式とパルス変調方式とで大して違わないが、イオンベースのエッチングレート(レジスト損失量)は無変調(CW)方式よりもパルス変調方式の方が一段と低くなることがわかる。
つまり、パルス変調は、プラズマ生成に寄与する第1の高周波HFのパワーを適度な変調周波数およびデューティでON/OFFすることにより、ラジカルベースのエッチングには大して効かないが、イオンベースのエッチングに対してはエッチングレートを抑制する効果があり、このことはトリミング処理に限らずラジカルベースのエッチングとイオンベースのエッチングとが混在する任意のプラズマエッチング機構にあてはまる。
そして、この原理は、本発明におけるプラズマクリーニングのプロセスでは重要な意味をもつ。すなわち、上記のように静電チャック40の表層部つまり上部誘電体層40bを構成するアルミナ・セラミックス(Al23)は、フッ素や酸素等のラジカルに対しては相当大きなエッチング耐性を有するが、イオンの入射による物理的エッチング(イオンスパッタ)に対してはそれほど強くはない。かかる条件の下でイオンスパッタが弱まれば、必然的に静電チャック40の上部誘電体層40bの侵食(エロージョン)が低減する。その結果として、静電チャック40の寿命を延ばせるだけでなく、金属汚染の低減ないし防止も図れる。すなわち、プラズマクリーニングのプロセスによって静電チャック40の上部誘電体層40bが侵食することは避けられないが、その侵食の度合いまたは進行速度を可及的に弱めることによって、被処理体の各半導体ウエハWに付着するAlの量を許容範囲内に止め、金属汚染を防止することも可能である。
このように、本発明のプラズマクリーニング方法によれば、サセプタ12に印加するプラズマ生成用の高周波HFのパワーに所定の変調周波数およびデューティでパルス変調をかけることにより、半導体ウエハWの存在しないチャンバ12内でクリーニング用のパルスプラズマを生成し、クリーニング性能を保ちつつ、静電チャック40表層部のエロージョンを効果的かつ効率的に低減することができる。このことによって、チャンバ10内をデポレス状態に維持しつつ、静電チャック40の寿命を延ばし、金属汚染を低減ないし防止することができる。
なお、本発明においては、プラズマクリーニングのシーケンス上の方式として、図6の(a)に示すようにクリーニングの開始時点tsから終了時点teまで第1の高周波HFにおいて第1の期間Aと第2の期間Bとを所望の周期Cで交互に繰り返す第1の方式と、図6の(b)に示すようにサセプタ12に対して第1の高周波HFの印加を開始した時tsから所定時間TSが経過する時点tcまでは第1の高周波HFが第1の振幅Aのみを持続的に有し、所定時間TSが経過した後は第1の高周波HFにおいて第1の期間Aと第2の期間Bとを所望の周期Cで交互に繰り返す第2の方式とを好適に採ることができる。
第2の方式において、時間TSまたは時点tcは種種の条件によって決定されてよい。たとえば、パルス変調におけるデューティが比較的小さくてプラズマ生成の立ち上がりがあまりよくない場合は、クリーニングを開始してからプラズマが最初に着火した時点をプラズマモニタで検出して上記切換時点tcとする方法、あるいはプラズマが安定するまでに要する時間として経験的に求められた一定時間を上記所定時間TSに選ぶ方法を好適に採ることができる。
また、クリーニング効率とエロージョン低減効果とのバランスを任意に調整するために、クリーニング時間を粗いクリーニングを行う第1のクリーニング時間と仕上げのクリーニングを行う第2のクリーニング時間とに2分割し、上記所定時間TS(ts〜tc)を第1のクリーニング時間とし、残りの時間(tc〜te)を第2のクリーニング時間とする手法も好適に採ることができる。
以上、本発明の好適な実施形態について説明したが、上述した実施形態は本発明を限定するものでない。当業者にあっては、具体的な実施態様において本発明の技術思想および技術範囲から逸脱せずに種々の変形・変更を加えることが可能である。
たとえば、本発明は、サセプタ(下部電極)にプラズマ生成用とイオン引き込み用を兼ねる単一の高周波を印加する下部1周波印加方式の容量結合型プラズマエッチング装置にも好適に適用することができる。また、静電チャックの表層部は、アルミナ・セラミック(Al23)に限定されず、任意の金属を含む誘電体が本発明の適用対象となり得る。
本発明における被処理基板は半導体ウエハに限るものではなく、フラットパネルディスプレイ用の各種基板や、フォトマスク、CD基板、プリント基板等も可能である。
本発明の一実施形態における容量結合型プラズマエッチング装置の構成を示す縦断面図である。 パルスプラズマの時間−高周波パワー特性を示す図である。 図2のパルスプラズマにおける第1の高周波の波形を示す図である。 トリミング処理における加工手順を模式的に示す断面図である。 本発明の作用効果の検証のためのトリミング処理の実験例で得られたトリミング特性を一覧表で示す図である。 実施形態におけるプラズマクリーニングのシーケンス上の手法を示す波形図である。
符号の説明
10 チャンバ(処理容器)
12 サセプタ(下部電極)
28 排気装置
32 第1高周波電源
34 第1整合器
36 給電棒
38 シャワーヘッド(上部電極)
40 静電チャック
40a 静電チャックのDC電極
40b 静電チャックの上部誘電体層
40c 静電チャックの下部誘電体層
66 エッチングガス供給部
68 クリーニングガス供給部
76 制御部
80 第2高周波電源
82 第2整合器

Claims (26)

  1. 真空排気可能な処理容器と、
    前記処理容器内で被処理体を載置する第1電極と、
    前記被処理体を静電力により保持するために前記第1電極の載置面に設けられ、少なくとも表層部の誘電体が金属を含む静電チャックと、
    前記処理容器内で前記第1電極と平行に向かい合う第2電極と、
    前記被処理体に所望のドライエッチング加工を施すために、前記第1電極と前記第2電極との間の処理空間に所望のエッチングガスを供給するエッチングガス供給部と、
    前記処理容器内のプラズマクリーニングを被処理体無しで行うために、前記処理空間に所望のクリーニングガスを供給するクリーニングガス供給部と、
    前記第1電極に前記エッチングガスまたは前記クリーニングガスのプラズマ生成に寄与する第1の高周波を印加する第1高周波給電部と、
    前記処理容器内のプラズマクリーニングを被処理体無しで行う際に、前記第1の高周波がプラズマを生成させる第1の振幅を有する第1の期間と、前記第1の高周波がプラズマを実質的に生成させない第2の振幅を有する第2の期間とが所定の周期で交互に繰り返されるように、前記第1高周波給電部を制御する制御部と
    を有するプラズマエッチング装置。
  2. 前記静電チャックの表層部の誘電体がAl23からなる、請求項1に記載のプラズマエッチング装置。
  3. 前記第2の振幅はゼロである、請求項1または請求項2に記載のプラズマエッチング装置。
  4. 前記第1の期間と前記第2の期間とが交互に繰り返される周波数は1kHz〜60kHzである、請求項1〜3のいずれか一項に記載のプラズマエッチング装置。
  5. 前記第1の期間のデューティは10%〜60%である、請求項1〜4のいずれか一項に記載のプラズマエッチング装置。
  6. 前記制御部は、前記プラズマクリーニングに際して、前記第1電極に対して前記第1の高周波の印加を開始した時からプラズマが着火するまでは前記第1の高周波が前記第1の振幅のみを持続的に有するように前記第1高周波給電部を制御し、前記プラズマが着火した後は前記第1の高周波において前記第1の期間と前記第2の期間とが前記所定の周期で交互に繰り返されるように前記第1高周波給電部を制御する、請求項1〜5のいずれか一項に記載のプラズマエッチング装置。
  7. 前記制御部は、前記プラズマクリーニングに際して、前記第1電極に対して前記第1の高周波の印加を開始した時から前記クリーニングガスの放電が安定するまでは前記第1の高周波が前記第1の振幅のみを持続的に有するように前記第1高周波給電部を制御し、前記クリーニングガスの放電が安定した後は前記第1の高周波において前記第1の期間と前記第2の期間とが前記所定の周期で交互に繰り返されるように前記第1高周波給電部を制御する、請求項1〜5のいずれか一項に記載のプラズマエッチング装置。
  8. 前記制御部は、前記プラズマクリーニングのために設定されたプラズマクリーニング時間を第1および第2のクリーニング時間に分割し、前記第1のクリーニング時間中は前記第1の高周波が前記第1の振幅のみを持続的に有するように前記第1高周波給電部を制御し、前記第2のクリーニング時間中は前記第1の高周波において前記第1の期間と前記第2の期間とが前記所定の周期で交互に繰り返されるように前記第1高周波給電部を制御する、請求項1〜5のいずれか一項に記載のプラズマエッチング装置。
  9. 前記制御部は、前記プラズマクリーニングのために設定されたプラズマクリーニング時間の開始から終了まで、前記第1の高周波において前記第1の期間と前記第2の期間とが前記所定の周期で交互に繰り返されるように前記第1高周波給電部を制御する、請求項1〜5のいずれか一項に記載のプラズマエッチング装置。
  10. 前記クリーニングガスはSF6ガスまたはNF3ガスとO2ガスとを含む混合ガスである、請求項1〜9のいずれか一項に記載のプラズマエッチング装置。
  11. 前記クリーニングガスにおいてSF6ガスまたはNF3ガスに対するO2ガスの混合比が略1である、請求項10に記載のプラズマエッチング装置。
  12. 前記プラズマクリーニングを枚葉単位またはロット単位の周期で定期的に実施する、請求項1〜11のいずれか一項に記載のプラズマエッチング装置。
  13. 前記ドライエッチング加工の最中に前記エッチングガスのプラズマから前記被処理体に引き込まれるイオンのエネルギーを制御するための第2の高周波を前記第1電極に印加する第2高周波給電部を有する、請求項1〜12のいずれか一項に記載のプラズマエッチング装置。
  14. 前記処理容器内のプラズマクリーニングを被処理体無しで行う際に、前記第1電極に前記第2の高周波を印加しないように、前記制御部が前記第1高周波給電部を制御する、請求項13に記載のプラズマエッチング装置。
  15. 真空排気可能な処理容器と、
    前記処理容器内で被処理体を載置する第1電極と、
    前記被処理体を静電力により保持するために前記第1電極の載置面に設けられ、少なくとも表層部の誘電体が金属を含む静電チャックと、
    前記処理容器内で前記第1電極と平行に向かい合う第2電極と、
    前記被処理体に所望のドライエッチング加工を施すために、前記第1電極と前記第2電極との間の処理空間に所望の処理ガスを供給する処理ガス供給部と、
    前記処理容器内のプラズマクリーニングを被処理体無しで行うために、前記処理空間に所望のクリーニングガスを供給するクリーニングガス供給部と、
    前記第1電極に前記処理ガスまたは前記クリーニングガスのプラズマ生成に寄与する第1の高周波を印加するための第1高周波給電部と
    を有するプラズマエッチング装置において、前記処理容器内のプラズマクリーニングを被処理体無しで行うプラズマクリーニング方法であって、
    前記第1の高周波がプラズマを生成させる第1の振幅を有する第1の期間と、前記第1の高周波がプラズマを実質的に生成させない第2の振幅を有する第2の期間とが所定の周期で交互に繰り返されることを特徴とするプラズマクリーニング方法。
  16. 前記静電チャックの表層部の誘電体がAl23からなる、請求項15に記載のプラズマクリーニング方法。
  17. 前記第2の振幅はゼロである、請求項15または請求項16に記載のプラズマクリーニング方法。
  18. 前記第1の期間と前記第2の期間とが交互に繰り返される周波数は1kHz〜60kHzである、請求項15〜17のいずれか一項に記載のプラズマクリーニング方法。
  19. 前記第1の期間のデューティは10%〜60%である、請求項15〜18のいずれか一項に記載のプラズマクリーニング方法。
  20. 前記プラズマクリーニングに際して、前記第1電極に対して前記第1の高周波の印加を開始した時からプラズマが着火するまでは前記第1の高周波が前記第1の振幅のみを持続的に有するように前記第1高周波給電部を制御し、プラズマが着火した後は前記第1の高周波において前記第1の期間と前記第2の期間とが前記所定の周期で交互に繰り返されるように前記第1高周波給電部を制御する、請求項15〜19のいずれか一項に記載のプラズマクリーニング方法。
  21. 前記プラズマクリーニングに際して、前記第1電極に対して前記第1の高周波の印加を開始した時から前記クリーニングガスの放電が安定するまでは前記第1の高周波が前記第1の振幅のみを持続的に有するように前記第1高周波給電部を制御し、前記クリーニングガスの放電が安定した後は前記第1の高周波において前記第1の期間と前記第2の期間とが前記所定の周期で交互に繰り返されるように前記第1高周波給電部を制御する、請求項15〜19のいずれか一項に記載のプラズマクリーニング方法。
  22. 前記プラズマクリーニングのために設定されたプラズマクリーニング時間を第1および第2のクリーニング時間に分割し、前記第1のクリーニング時間中は前記第1の高周波が前記第1の振幅のみを持続的に有するように前記第1高周波給電部を制御し、前記第2のクリーニング時間中は前記第1の高周波において前記第1の期間と前記第2の期間とが前記所定の周期で交互に繰り返されるように前記第1高周波給電部を制御する、請求項15〜19のいずれか一項に記載のプラズマクリーニング方法。
  23. 前記プラズマクリーニングのために設定されたプラズマクリーニング時間の開始から終了まで、前記第1の高周波において前記第1の期間と前記第2の期間とが前記所定の周期で交互に繰り返されるように前記第1高周波給電部を制御する、請求項15〜19のいずれか一項に記載のプラズマクリーニング方法。
  24. 前記クリーニングガスはSF6ガスとO2ガスとを含む混合ガスである、請求項15〜23のいずれか一項に記載のプラズマクリーニング方法。
  25. 前記クリーニングガスにおいてSF6ガスまたはNF3ガスに対するO2ガスの混合比が略1である、請求項24に記載のプラズマクリーニング方法。
  26. 前記プラズマクリーニングを枚葉単位またはロット単位の周期で定期的に実施する、請求項15〜25のいずれか一項に記載のプラズマクリーニング方法。
JP2008313100A 2008-12-09 2008-12-09 プラズマエッチング装置及びプラズマクリーニング方法 Active JP5390846B2 (ja)

Priority Applications (3)

Application Number Priority Date Filing Date Title
JP2008313100A JP5390846B2 (ja) 2008-12-09 2008-12-09 プラズマエッチング装置及びプラズマクリーニング方法
US12/630,155 US20100140221A1 (en) 2008-12-09 2009-12-03 Plasma etching apparatus and plasma cleaning method
US14/035,023 US9659756B2 (en) 2008-12-09 2013-09-24 Plasma etching apparatus and plasma cleaning method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2008313100A JP5390846B2 (ja) 2008-12-09 2008-12-09 プラズマエッチング装置及びプラズマクリーニング方法

Publications (2)

Publication Number Publication Date
JP2010140944A true JP2010140944A (ja) 2010-06-24
JP5390846B2 JP5390846B2 (ja) 2014-01-15

Family

ID=42229912

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2008313100A Active JP5390846B2 (ja) 2008-12-09 2008-12-09 プラズマエッチング装置及びプラズマクリーニング方法

Country Status (2)

Country Link
US (2) US20100140221A1 (ja)
JP (1) JP5390846B2 (ja)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012099781A (ja) * 2010-10-08 2012-05-24 Panasonic Corp 基板のプラズマ処理方法
JP2014523636A (ja) * 2011-05-31 2014-09-11 ラム リサーチ コーポレーション 基板凍結乾燥装置及び方法
JP2018049896A (ja) * 2016-09-21 2018-03-29 株式会社日立ハイテクノロジーズ プラズマ処理方法
KR20200105746A (ko) 2019-02-27 2020-09-09 주식회사 히타치하이테크 플라스마 처리 방법 및 플라스마 처리 장치
US11869755B2 (en) 2020-10-09 2024-01-09 Tokyo Electron Limited Cleaning method and protecting member

Families Citing this family (351)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5514413B2 (ja) * 2007-08-17 2014-06-04 東京エレクトロン株式会社 プラズマエッチング方法
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
JP5390846B2 (ja) 2008-12-09 2014-01-15 東京エレクトロン株式会社 プラズマエッチング装置及びプラズマクリーニング方法
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8758638B2 (en) * 2011-05-10 2014-06-24 Applied Materials, Inc. Copper oxide removal techniques
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
JP5894445B2 (ja) * 2012-01-23 2016-03-30 東京エレクトロン株式会社 エッチング方法及びエッチング装置
JP6009171B2 (ja) * 2012-02-14 2016-10-19 東京エレクトロン株式会社 基板処理装置
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP6071514B2 (ja) * 2012-12-12 2017-02-01 東京エレクトロン株式会社 静電チャックの改質方法及びプラズマ処理装置
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9916967B2 (en) * 2013-03-13 2018-03-13 Applied Materials, Inc. Fast response fluid control system
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
JP6140575B2 (ja) * 2013-08-26 2017-05-31 東京エレクトロン株式会社 半導体装置の製造方法
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9343308B2 (en) * 2013-10-28 2016-05-17 Asm Ip Holding B.V. Method for trimming carbon-containing film at reduced trimming rate
JP6273188B2 (ja) * 2013-10-31 2018-01-31 東京エレクトロン株式会社 プラズマ処理方法
KR102152811B1 (ko) * 2013-11-06 2020-09-07 어플라이드 머티어리얼스, 인코포레이티드 Dc 바이어스 변조에 의한 입자 발생 억제기
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
JP6574547B2 (ja) * 2013-12-12 2019-09-11 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
JP6327970B2 (ja) * 2014-06-19 2018-05-23 東京エレクトロン株式会社 絶縁膜をエッチングする方法
KR101575505B1 (ko) 2014-07-21 2015-12-07 주식회사 스피드터치 공정온도 조절 장치
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
TWI629116B (zh) * 2016-06-28 2018-07-11 荏原製作所股份有限公司 清洗裝置、具備該清洗裝置之鍍覆裝置、以及清洗方法
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
JP6854600B2 (ja) * 2016-07-15 2021-04-07 東京エレクトロン株式会社 プラズマエッチング方法、プラズマエッチング装置、および基板載置台
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10784091B2 (en) * 2017-09-29 2020-09-22 Taiwan Semiconductor Manufacturing Co., Ltd. Process and related device for removing by-product on semiconductor processing chamber sidewalls
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10395884B2 (en) 2017-10-10 2019-08-27 Kla-Tencor Corporation Ruthenium encapsulated photocathode electron emitter
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
US11164759B2 (en) 2018-05-10 2021-11-02 Micron Technology, Inc. Tools and systems for processing one or more semiconductor devices, and related methods
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
TW202405221A (zh) 2018-06-27 2024-02-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210089077A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 가스 공급 어셈블리, 이의 구성 요소, 및 이를 포함하는 반응기 시스템
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN114899086B (zh) * 2022-05-15 2023-03-24 上海申和投资有限公司 一种半导体晶圆的污染杂质清洗方法

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004047653A (ja) * 2002-07-10 2004-02-12 Tokyo Electron Ltd プラズマ処理装置用基板載置台及びプラズマ処理装置
JP2006086325A (ja) * 2004-09-16 2006-03-30 Tokyo Electron Ltd クリーニングの終点検出方法
JP2007067455A (ja) * 2006-12-11 2007-03-15 Canon Anelva Corp 絶縁膜エッチング装置
JP2008004814A (ja) * 2006-06-23 2008-01-10 Sharp Corp プラズマ処理装置
JP2008117982A (ja) * 2006-11-06 2008-05-22 Tokyo Electron Ltd 載置装置、プラズマ処理装置及びプラズマ処理方法

Family Cites Families (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2598274B2 (ja) 1987-09-14 1997-04-09 三菱電機株式会社 プラズマ応用装置
KR100324792B1 (ko) * 1993-03-31 2002-06-20 히가시 데쓰로 플라즈마처리장치
KR100302167B1 (ko) * 1993-11-05 2001-11-22 히가시 데쓰로 플라즈마처리장치및플라즈마처리방법
TW299559B (ja) * 1994-04-20 1997-03-01 Tokyo Electron Co Ltd
US5618758A (en) * 1995-02-17 1997-04-08 Sharp Kabushiki Kaisha Method for forming a thin semiconductor film and a plasma CVD apparatus to be used in the method
JP3220383B2 (ja) * 1996-07-23 2001-10-22 東京エレクトロン株式会社 プラズマ処理装置及びその方法
JP3122618B2 (ja) * 1996-08-23 2001-01-09 東京エレクトロン株式会社 プラズマ処理装置
US6214162B1 (en) * 1996-09-27 2001-04-10 Tokyo Electron Limited Plasma processing apparatus
JP4013271B2 (ja) * 1997-01-16 2007-11-28 日新電機株式会社 物品表面処理方法及び装置
JP2000156370A (ja) 1998-09-16 2000-06-06 Tokyo Electron Ltd プラズマ処理方法
US6642149B2 (en) * 1998-09-16 2003-11-04 Tokyo Electron Limited Plasma processing method
JP2000260595A (ja) 1999-03-11 2000-09-22 Hitachi Ltd プラズマ処理装置
JP2000269196A (ja) * 1999-03-19 2000-09-29 Toshiba Corp プラズマ処理方法及びプラズマ処理装置
JP3533105B2 (ja) * 1999-04-07 2004-05-31 Necエレクトロニクス株式会社 半導体装置の製造方法と製造装置
JP2001185542A (ja) 1999-12-27 2001-07-06 Hitachi Ltd プラズマ処理装置及びそれを用いたプラズマ処理方法
JP4272786B2 (ja) * 2000-01-21 2009-06-03 トーカロ株式会社 静電チャック部材およびその製造方法
JP2001210705A (ja) 2000-01-28 2001-08-03 Toshiba Corp 静電チャック、処理装置および半導体装置の製造方法
JP2001313284A (ja) 2000-02-21 2001-11-09 Hitachi Ltd プラズマ処理方法および装置
JP4592867B2 (ja) * 2000-03-27 2010-12-08 株式会社半導体エネルギー研究所 平行平板形プラズマcvd装置及びドライクリーニングの方法
US6562190B1 (en) * 2000-10-06 2003-05-13 Lam Research Corporation System, apparatus, and method for processing wafer using single frequency RF power in plasma processing chamber
CN100462475C (zh) * 2001-08-29 2009-02-18 东京电子株式会社 用于等离子处理的装置和方法
US20050103441A1 (en) * 2001-11-14 2005-05-19 Masanobu Honda Etching method and plasma etching apparatus
JP3960792B2 (ja) 2001-12-21 2007-08-15 シャープ株式会社 プラズマcvd装置、非晶質シリコン系薄膜の製造方法
JP3927464B2 (ja) 2002-04-26 2007-06-06 株式会社日立ハイテクノロジーズ プラズマ処理方法
US6700090B2 (en) * 2002-04-26 2004-03-02 Hitachi High-Technologies Corporation Plasma processing method and plasma processing apparatus
US7976673B2 (en) * 2003-05-06 2011-07-12 Lam Research Corporation RF pulsing of a narrow gap capacitively coupled reactor
US7179754B2 (en) * 2003-05-28 2007-02-20 Applied Materials, Inc. Method and apparatus for plasma nitridation of gate dielectrics using amplitude modulated radio-frequency energy
KR100968571B1 (ko) * 2003-06-12 2010-07-08 삼성전자주식회사 플라즈마 챔버
US20050241762A1 (en) * 2004-04-30 2005-11-03 Applied Materials, Inc. Alternating asymmetrical plasma generation in a process chamber
CN100539000C (zh) 2004-12-03 2009-09-09 东京毅力科创株式会社 电容耦合型等离子体处理装置
JP5323303B2 (ja) 2004-12-03 2013-10-23 東京エレクトロン株式会社 プラズマ処理装置
US7767055B2 (en) * 2004-12-03 2010-08-03 Tokyo Electron Limited Capacitive coupling plasma processing apparatus
US7655570B2 (en) * 2005-01-13 2010-02-02 Tokyo Electron Limited Etching method, program, computer readable storage medium and plasma processing apparatus
WO2007148569A1 (ja) * 2006-06-23 2007-12-27 Sharp Kabushiki Kaisha プラズマ処理装置、プラズマ処理方法、および光電変換素子
JP4245012B2 (ja) 2006-07-13 2009-03-25 東京エレクトロン株式会社 処理装置及びこのクリーニング方法
US7754615B2 (en) * 2006-07-31 2010-07-13 Tokyo Electron Limited Method and apparatus for detecting endpoint in a dry etching system by monitoring a superimposed DC current
JP5192209B2 (ja) * 2006-10-06 2013-05-08 東京エレクトロン株式会社 プラズマエッチング装置、プラズマエッチング方法およびコンピュータ読取可能な記憶媒体
US20080106842A1 (en) * 2006-11-06 2008-05-08 Tokyo Electron Limited Mounting device, plasma processing apparatus and plasma processing method
US20080230008A1 (en) * 2007-03-21 2008-09-25 Alexander Paterson Plasma species and uniformity control through pulsed vhf operation
JP5390846B2 (ja) 2008-12-09 2014-01-15 東京エレクトロン株式会社 プラズマエッチング装置及びプラズマクリーニング方法

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004047653A (ja) * 2002-07-10 2004-02-12 Tokyo Electron Ltd プラズマ処理装置用基板載置台及びプラズマ処理装置
JP2006086325A (ja) * 2004-09-16 2006-03-30 Tokyo Electron Ltd クリーニングの終点検出方法
JP2008004814A (ja) * 2006-06-23 2008-01-10 Sharp Corp プラズマ処理装置
JP2008117982A (ja) * 2006-11-06 2008-05-22 Tokyo Electron Ltd 載置装置、プラズマ処理装置及びプラズマ処理方法
JP2007067455A (ja) * 2006-12-11 2007-03-15 Canon Anelva Corp 絶縁膜エッチング装置

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012099781A (ja) * 2010-10-08 2012-05-24 Panasonic Corp 基板のプラズマ処理方法
US9073385B2 (en) 2010-10-08 2015-07-07 Panasonic Intellectual Property Management Co., Ltd. Plasma processing method for substrates
JP2014523636A (ja) * 2011-05-31 2014-09-11 ラム リサーチ コーポレーション 基板凍結乾燥装置及び方法
US9673037B2 (en) 2011-05-31 2017-06-06 Law Research Corporation Substrate freeze dry apparatus and method
JP2018049896A (ja) * 2016-09-21 2018-03-29 株式会社日立ハイテクノロジーズ プラズマ処理方法
KR20200105746A (ko) 2019-02-27 2020-09-09 주식회사 히타치하이테크 플라스마 처리 방법 및 플라스마 처리 장치
US11869755B2 (en) 2020-10-09 2024-01-09 Tokyo Electron Limited Cleaning method and protecting member

Also Published As

Publication number Publication date
JP5390846B2 (ja) 2014-01-15
US9659756B2 (en) 2017-05-23
US20100140221A1 (en) 2010-06-10
US20140020709A1 (en) 2014-01-23

Similar Documents

Publication Publication Date Title
JP5390846B2 (ja) プラズマエッチング装置及びプラズマクリーニング方法
TWI664676B (zh) Etching method
TWI743072B (zh) 蝕刻方法及蝕刻裝置
JP6689674B2 (ja) エッチング方法
JP6449674B2 (ja) プラズマ処理方法及びプラズマ処理装置
JP6141855B2 (ja) プラズマエッチング方法及びプラズマエッチング装置
TWI525694B (zh) Chamber cleaning method
JP4704087B2 (ja) プラズマ処理装置およびプラズマ処理方法
JP5839689B2 (ja) プラズマエッチング方法及び半導体装置の製造方法並びにコンピュータ記憶媒体
EP2911187A1 (en) Etching method
JP6723659B2 (ja) プラズマ処理方法及びプラズマ処理装置
US20140144876A1 (en) Plasma etching method
JP6529357B2 (ja) エッチング方法
TWI743123B (zh) 電漿處理方法
JP2008078515A (ja) プラズマ処理方法
WO2014034396A1 (ja) プラズマ処理方法、及びプラズマ処理装置
US9818582B2 (en) Plasma processing method
JP6504827B2 (ja) エッチング方法
JP2016086046A (ja) プラズマ処理方法
JP5405504B2 (ja) プラズマ処理装置およびプラズマ処理方法
JP7382848B2 (ja) 基板処理方法および基板処理装置
JP2006165246A (ja) プラズマエッチング方法
KR20210035073A (ko) 플라즈마 처리 방법 및 플라즈마 처리 장치
WO2022215556A1 (ja) エッチング方法及びエッチング処理装置
JP2004119972A (ja) Siエッチング方法及びエッチング装置

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20111130

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20120731

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120821

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20121022

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130723

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130807

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20130917

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20131011

R150 Certificate of patent or registration of utility model

Ref document number: 5390846

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250