KR101957348B1 - 플라즈마 처리 장치 및 플라즈마 처리 방법 - Google Patents

플라즈마 처리 장치 및 플라즈마 처리 방법 Download PDF

Info

Publication number
KR101957348B1
KR101957348B1 KR1020147007790A KR20147007790A KR101957348B1 KR 101957348 B1 KR101957348 B1 KR 101957348B1 KR 1020147007790 A KR1020147007790 A KR 1020147007790A KR 20147007790 A KR20147007790 A KR 20147007790A KR 101957348 B1 KR101957348 B1 KR 101957348B1
Authority
KR
South Korea
Prior art keywords
electrode
frequency
plasma
high frequency
power
Prior art date
Application number
KR1020147007790A
Other languages
English (en)
Other versions
KR20140068090A (ko
Inventor
히카루 와타나베
마사노부 혼다
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20140068090A publication Critical patent/KR20140068090A/ko
Application granted granted Critical
Publication of KR101957348B1 publication Critical patent/KR101957348B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • H01J37/32165Plural frequencies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)

Abstract

종래 기술의 상부 DC 인가 방식의 장점을 유지하고, 또한 상부 DC 인가 방식의 단점을 해소하는 것이다. 이 용량 결합형 플라즈마 처리 장치에 있어서, 서셉터(하부 전극)(16)에는, 플라즈마의 생성에 주로 기여하는 제 1 고주파(RFH)와 이온 인입에 주로 기여하는 제 2 고주파(RFL)가 중첩하여 인가된다. 한편 상부 전극(46)에는, 교류 전원(64)으로부터 정합기(66) 및 블로킹 콘덴서(68)를 개재하여 일정 주파수의 교류(AC)가 인가된다. 이 교류(AC)는 플라즈마 중의 이온이 추종할 수 있는 주파수를 가지고, 교류 전원(64)에서 교류(AC)의 파워, 전압 파고값 또는 실효값을 가변할 수 있도록 되어 있다.

Description

플라즈마 처리 장치 및 플라즈마 처리 방법{PLASMA PROCESSING APPARATUS AND PLASMA PROCESSING METHOD}
본 발명은, 반도체 웨이퍼 등의 피처리 기판의 미세 가공에 이용하는 플라즈마 프로세스에 관한 것으로, 특히 용량 결합형의 플라즈마 처리 장치 및 플라즈마 처리 방법에 관한 것이다.
반도체 디바이스 또는 FPD(Flat Panel Display) 등의 제조 프로세스에서의 에칭, 퇴적, 산화, 스퍼터링 등의 처리에서는, 처리 가스로 비교적 저온에서 양호한 반응을 행하게 하기 위하여 플라즈마가 많이 이용되고 있다. 이 종류의 플라즈마 프로세스에서는, 진공의 처리 용기 내에서 처리 가스를 방전 또는 전리시키기 위하여, 고주파(RF) 또는 마이크로파가 사용되고 있다.
고주파(RF)를 이용하는 용량 결합형의 플라즈마 처리 장치는, 처리 용기 내에 상부 전극과 하부 전극을 평행하게 배치하고, 전형적으로는 하부 전극 상에 피처리 기판(반도체 웨이퍼, 글라스 기판 등)을 재치(載置)하고, 상부 전극 혹은 하부 전극에 플라즈마 생성에 적합한 주파수(통상 13.56 MHz 이상)의 고주파를 인가한다. 이 고주파의 인가에 의해 서로 대향하는 2 개의 전극 간에 생성된 고주파 전계에 의해 전자가 가속되고, 전자와 처리 가스의 충돌 전리에 의해 플라즈마가 생성된다. 그리고, 이 플라즈마에 포함되는 라디칼 또는 이온의 기상 반응 혹은 표면 반응에 의해, 기판 상에 박막이 퇴적되고, 혹은 기판 표면의 소재 또는 박막이 깎인다.
최근, 용량 결합형의 플라즈마 처리 장치의 성능을 비약적으로 높이는 기법으로서, 처리 공간을 사이에 두고 기판과 마주보는 대향 전극(통상은 상부 전극)에 음극성의 DC(직류) 전압을 인가하는 기술이 주목받고 있다(특허 문헌 1). 이 상부 DC 인가 방식에 의하면, (1) 상부 전극의 자기 바이어스 전압의 절대값을 크게 하여 상부 전극에서의 스퍼터링을 강하게 하는 효과, (2) 상부 전극에서의 플라즈마 시스를 확대시켜, 형성되는 플라즈마가 축소화되는 효과, (3) 상부 전극의 근방에 발생한 전자를 피처리 기판 상에 조사시키는 효과, (4) 플라즈마 퍼텐셜을 제어할 수 있는 효과, (5) 전자 밀도(플라즈마 밀도)를 상승시키는 효과, (6) 중심부의 플라즈마 밀도를 상승시키는 효과 중 적어도 하나가 나타난다.
일본특허공개공보 2006-270019호
상기와 같은 상부 DC 인가 방식은, HARC(High Aspect Ratio Contact) 프로세스에 자주 이용되고 있다. HARC 프로세스는, LSI 제조의 배선 형성 공정에서 절연층의 산화막(통상 실리콘 산화막)에 좁고 깊은 콘택트홀 또는 비아홀을 플라즈마 에칭에 의해 형성하는 기술이다. HARC 프로세스에서는, 고애스펙트비의 미세홀을 형성하기 위하여, 고정밀도의 이방성(異方性) 형상과 마스크 및 하지막에 대한 높은 선택비가 요구되고, 에칭 가스에는 플루오르카본계의 가스가 이용되고, 상부 전극의 모재(母材)에는 실리콘 또는 SiC 등의 실리콘 함유 물질이 이용된다.
상부 DC 인가 방식에 의하면, 상기 (1), (3)의 효과에 기초하여, 이방성 및 선택성을 크게 향상시킬 수 있다. 보다 상세하게는, 상기 (1)의 효과에 의해, 상부 전극의 표면에서 스퍼터된 퇴적물(주로 플루오르카본 중합막)이 레지스트 마스크의 표면에 쌓여 레지스트 마스크의 표면 거칠기를 방지한다. 또한, 상부 전극의 모재로부터 스퍼터된 실리콘이 불소 라디칼과 반응하여 휘발성이 높은 반응 생성물(SiF4)이 되어 배기됨으로써, 이방성 및 선택성을 저하시키는 불소를 줄일 수 있다(불소 스캐빈지 효과). 또한, 상기 (3)의 효과에 의해, 기판 표면의 레지스트 마스크에 고에너지의 전자가 주입됨으로써, 레지스트 마스크 표층부의 조성이 개질되어, 에칭 내성(플라즈마 내성)이 강화된다.
그러나 HARC 프로세스에서는, 상부 DC 인가 방식에 의해 상부 전극에 인가하는 음극성 DC 전압의 절대값을 증가시키면, 상기와 같은 미세 가공성(특히 선택성)이 향상되는 한편으로, 가공 균일성(특히 에칭 레이트의 면내 균일성)이 악화되는 것이 과제가 되고 있다.
또한, 상부 DC 인가 방식에 의한 상기 (4)의 효과는, 플라즈마 퍼텐셜을 저하시키는 방향의 제어이다. 즉, 다른 효과 (1) ~ (3), (5), (6) 중 어느 하나를 원하는 대로 이끌어내기 위하여, 상부 전극에 인가하는 음극성 DC 전압의 절대값을 크게 할수록, 챔버 측벽 부근의 플라즈마 퍼텐셜이 낮아진다. 그에 따라, 챔버 측벽에 부착하고 있는 퇴적물을 이온 조사의 스퍼터링에 의해 제거하는 효과가 저하된다. 이것도 과제가 되고 있다.
본 발명은, 상기와 같은 종래 기술의 과제를 해결하는 것이며, 상부 DC 인가 방식의 장점을 유지하고, 또한 상부 DC 인가 방식의 단점을 해소할 수 있는 플라즈마 처리 장치 및 플라즈마 처리 방법을 제공한다.
본 발명의 플라즈마 처리 장치는, 진공 배기 가능한 처리 용기와, 상기 처리 용기 내에 배치되고, 피처리 기판을 재치하고 지지하는 제 1 전극과, 상기 처리 용기 내에 상기 제 1 전극과 소정의 간격을 두고 평행하게 배치되는 제 2 전극과, 상기 처리 용기 내의 상기 제 1 및 제 2 전극 간의 처리 공간으로 원하는 처리 가스를 공급하는 처리 가스 공급부와, 상기 처리 가스를 방전시켜 플라즈마를 생성하는데 적합한 주파수를 가지는 제 1 고주파를 상기 제 1 전극에 인가하는 제 1 고주파 전원과, 플라즈마 중의 이온이 추종할 수 있는 주파수를 가지는 저주파 또는 고주파의 교류를 상기 제 2 전극에 인가하는 교류 전원과, 상기 교류 전원과 상기 제 2 전극의 사이에 접속되는 블로킹용의 콘덴서를 가진다.
상기의 구성에 있어서는, 플라즈마 프로세스 중에 교류 전원으로부터의 교류가 블로킹 콘덴서를 개재하여 제 2 전극에 인가되면, 제 2 전극에는 부의 직류 전압 즉 자기 바이어스 전압이 발생하고, 이 자기 바이어스 전압에 교류의 전압(순간값)이 중첩된다. 즉 제 2 전극의 전위는, 자기 바이어스 전압에 교류의 전압 레벨(순간값)이 중첩되어 주기적으로 변화한다. 이에 의해, 교류의 주파수에 추종하여 플라즈마로부터 상부 전극에 입사하는 이온의 에너지는, 제 2 전극의 음전위 방향의 깊이(절대값)에 비례하고, 주기적으로 변화한다. 따라서 각 사이클 중에서, 교류의 전압 레벨이 극소가 될 때 이온 에너지는 최대가 되고, 교류의 전압 레벨이 극대가 될 때 이온 에너지는 최소가 된다. 이 경우, 이온 에너지 분포는, 전압의 시간 변화가 작은 시간대(극대 또는 극소가 되는 부근)에서 많은 이온이 전극에 입사하기 때문에, 최대 에너지 부근 및 최소 에너지 부근에 이온이 많은 분포가 된다.
또한 제 2 전극에 인가하는 교류의 주파수가 이온 플라즈마 주파수보다 높을 경우에는, 이온의 추종성이 저하되고, 교류의 주파수를 높게 할수록, 이온 에너지 분포에 있어서 에너지 밴드의 폭이 좁아진다. 즉, 이온 에너지는 편차가 작아져, 자기 바이어스 전압에 대응하는 중심값을 향해 평균화되어 간다. 그에 의해, 이온 에너지의 최대값은 작아진다.
일반적으로, 이온 에너지(Ei)와 스퍼터율(SY) 간에는 SY ∝ Ei 1 /2의 관계가 있다. 따라서, 스퍼터율은 입사 이온의 개수보다 이온 에너지의 크기(최대값)에 크게 의존한다. 이로부터, 제 2 전극에 인가하는 전원 전압의 절대값 또는 진폭이 동일해도, 종래 기술의 상부 DC 인가 방식보다 본 발명의 방식(AC 인가 방식)이, 제 2 전극에 입사하는 이온의 최대 에너지가 현격히 큰 점에서, 제 2 전극에서의 스퍼터율을 보다 효율적으로 향상시킬 수 있다.
또한 본 발명의 AC 인가 방식에 의하면, 교류의 전압 레벨이 양극성이 되는 반사이클에서는, 제 2 전극의 전위가 자기 바이어스 전압보다 높아지고, 그에 따라 플라즈마 퍼텐셜도 인상되고, 챔버 측벽 부근의 플라즈마 퍼텐셜이 시간 평균으로 높아진다. 이에 의해, 챔버 측벽에 부착하고 있는 퇴적물을 제거하는 스퍼터링 효과도 향상하고, 챔버 측벽의 퇴적물을 효율적으로 제거할 수 있다.
본 발명의 플라즈마 에칭 방법은, 피처리 기판 상의 실리콘 산화막에 고애스펙트비의 홀을 형성하는 플라즈마 에칭 방법으로서, 실내에 제 1 전극과 제 2 전극을 소정의 간격을 두고 평행하게 배치되어 있는 진공 가능한 처리 용기 내에서 상기 제 1 전극 상에 피처리 기판을 재치하고 지지하는 공정과, 상기 처리 용기 내를 소정의 압력으로 진공 배기하는 공정과, 상기 제 1 전극과 상기 제 2 전극 사이의 처리 공간으로 플루오르카본계의 에칭 가스를 공급하고, 상기 제 1 전극에 제 1 고주파를 인가하여 상기 처리 공간에서 상기 에칭 가스의 플라즈마를 생성하는 공정과, 플라즈마 중의 이온이 추종할 수 있는 주파수를 가지는 저주파 또는 고주파의 교류를 블로킹용의 콘덴서를 개재하여 상기 제 2 전극에 인가하는 공정을 가진다.
본 발명의 플라즈마 에칭 방법에 의하면, 상기와 같은 AC 인가 방식을 이용함으로써, 종래 기술의 상부 DC 인가 방식과 동등한 마스크 선택비를 확보하면서 에칭 레이트의 면내 균일성을 향상시킬 수 있다.
본 발명에 의하면, 상기와 같은 구성 및 작용에 의해, 종래 기술의 DC 인가 방식의 장점을 유지하고, 또한 DC 인가 방식의 단점을 해소할 수 있다.
도 1은 본 발명의 일실시예에서의 용량 결합형 플라즈마 에칭 장치의 구성을 도시한 종단면도이다.
도 2는 실시예의 상부 AC 인가 방식에서의 양 전극 간의 퍼텐셜 분포 및 상부 전극에 입사하는 이온의 이온 에너지 분포를 나타낸 도이다.
도 3은 비교예의 상부 DC 인가 방식에서의 양 전극 간의 퍼텐셜 분포 및 상부 전극에 입사하는 이온의 이온 에너지 분포를 나타낸 도이다.
도 4는 이온 에너지와 스퍼터율 간의 상관 관계를 나타낸 도이다.
도 5a는 상부 AC 인가 방식을 적용한 HARC 프로세스의 실험으로 얻어진 실리콘 산화막의 에칭 레이트의 웨이퍼 면내 분포도이다.
도 5b는 상부 AC 인가 방식을 적용한 HARC 프로세스의 실험으로 얻어진 포토레지스트의 에칭 레이트의 웨이퍼 면내 분포도이다.
도 6a는 상부 DC 인가 방식을 적용한 HARC 프로세스의 실험으로 얻어진 실리콘 산화막의 에칭 레이트의 웨이퍼 면내 분포도이다.
도 6b는 상부 DC 인가 방식을 적용한 HARC 프로세스의 실험으로 얻어진 포토레지스트의 에칭 레이트의 웨이퍼 면내 분포도이다.
도 7은 상부 AC 인가 방식 및 상부 DC 인가 방식에서의 마스크 선택비와 에칭 레이트 면내 균일성과의 상관 관계를 나타낸 플롯도이다.
도 8은 실험예에서의 HARC 프로세스의 실험으로 얻어진 에칭 형상을 나타낸 도(SEM 사진)이다.
도 9는 상부 AC 인가 방식 및 상부 DC 인가 방식에서의 마스크 선택비와 보잉량과의 상관 관계를 나타낸 도이다.
도 10a 및 도 10b는 실험예에서의 BEOL 프로세스를 설명하기 위한 도이다.
도 11은 실험예에서의 BEOL 프로세스의 제 1 실험으로 얻어진 패턴의 단면도(SEM 사진) 및 각종 평가 항목의 측정값을 나타낸 도이다.
도 12는 실험예에서의 BEOL 프로세스의 제 2 실험으로 얻어진 패턴의 단면도(SEM 사진) 및 각종 평가 항목의 측정값을 나타낸 도이다.
도 13은 상기 제 1 실험의 결과로부터 얻어진 톱 CD와 Low-k 데미지량과의 상관 관계를 나타낸 플롯도이다.
도 14는 상기 제 2 실험의 결과로부터 얻어진 톱 CD와 Low-k 데미지량과의 상관 관계를 나타낸 플롯도이다.
도 15는 상부 DC 인가 방식에서의 처리 공간 내의 전자 밀도(Ne)와 하부 전극에 발생하는 자기 바이어스 전압(VL)과의 상관 관계(Ne - 하부 VL 특성)를 나타낸 도이다.
도 16은 상부 AC 인가 방식에서의 처리 공간 내의 전자 밀도(Ne)와 하부 전극에 발생하는 자기 바이어스 전압(VL)과의 상관 관계(Ne - 하부 VL 특성)를 나타낸 도이다.
도 17은 일실험예의 실험에 의해 얻어진 상부 전극 인가 전압 또는 전력과 플라즈마 퍼텐셜과의 상관 관계를 나타낸 플롯도이다.
도 18은 상부 AC 인가 방식 및 상부 DC 인가 방식에서의 ArF 레지스트 개질 처리의 실험 결과를 나타낸 도(SEM 사진)이다.
이하에, 첨부도를 참조하여 본 발명의 적합한 실시예를 설명한다.
(플라즈마 처리 장치의 구성)
도 1에 본 발명의 일실시예에서의 플라즈마 처리 장치의 구성을 도시한다. 이 플라즈마 처리 장치는, 하부 2 고주파 중첩 인가 방식의 용량 결합형(평행 평판형) 플라즈마 에칭 장치로서 구성되어 있고, 예를 들면 표면이 알루마이트 처리(양극 산화 처리)된 알루미늄으로 이루어지는 원통 형상의 진공 챔버(처리 용기)(10)를 가지고 있다. 챔버(10)는 접지되어 있다.
챔버(10)의 저부에는, 세라믹 등의 절연판(12)을 개재하여 원기둥 형상의 서셉터 지지대(14)가 배치되고, 이 서셉터 지지대(14) 상에 예를 들면 알루미늄으로 이루어지는 서셉터(16)가 설치되어 있다. 서셉터(16)는 하부 전극을 구성하고, 이 위에 피처리 기판으로서 예를 들면 반도체 웨이퍼(W)가 재치된다.
서셉터(16)의 상면에는 반도체 웨이퍼(W)를 보지(保持)하기 위한 정전 척(18)이 설치되어 있다. 이 정전 척(18)은 도전막으로 이루어지는 전극(20)을 한 쌍의 절연층 또는 절연 시트의 사이에 개재한 것이며, 전극(20)에는 직류 전원(22)이 전기적으로 접속되어 있다. 직류 전원(22)으로부터의 직류 전압에 의해, 반도체 웨이퍼(W)를 정전 흡착력으로 정전 척(18)에 보지할 수 있도록 되어 있다. 정전 척(18)의 주위로 서셉터(16)의 상면에는, 에칭의 균일성을 향상시키기 위한 예를 들면 실리콘으로 이루어지는 포커스 링(24)이 배치되어 있다. 서셉터(16) 및 서셉터 지지대(14)의 측면에는 예를 들면 석영으로 이루어지는 원통 형상의 내벽 부재(25)가 부착되어 있다.
서셉터 지지대(14)의 내부에는, 예를 들면 원주 방향으로 연장되는 냉매실(26)이 설치되어 있다. 이 냉매실(26)에는, 외부 장착의 칠러 유닛(도시하지 않음)으로부터 배관(27a, 27b)을 거쳐 소정 온도의 냉매 예를 들면 냉각수가 순환 공급된다. 냉매의 온도에 의해 서셉터(16) 상의 반도체 웨이퍼(W)의 처리 온도를 제어할 수 있도록 되어 있다. 또한, 전열 가스 공급 기구(도시하지 않음)로부터의 전열 가스 예를 들면 He 가스가, 가스 공급 라인(28)을 거쳐 정전 척(18)의 상면과 반도체 웨이퍼(W)의 이면과의 사이로 공급된다.
서셉터(16)에는 제 1 및 제 2 고주파 전원(30, 32)이 각각 정합기(34, 36), 블로킹 콘덴서(38, 40) 및 급전봉(42, 44)을 개재하여 전기적으로 접속되어 있다. 또한 급전봉(42, 44)은, 도 1에서는 개별적으로 도시하고 있지만, 공통 또는 동일한 급전봉이어도 된다. 제 1 고주파 전원(30)은, 플라즈마의 생성에 주로 기여하는 일정한 주파수 예를 들면 40 MHz의 고주파(RFH)를 출력한다. 한편 제 2 고주파 전원(32)은, 서셉터(16) 상의 반도체 웨이퍼(W)에 대한 이온의 인입에 주로 기여하는 일정한 주파수 예를 들면 13 MHz의 고주파(RFL)를 출력한다.
서셉터(16)의 상방에는, 이 서셉터와 평행하게 대향하여 상부 전극(46)이 설치되어 있다. 이 상부 전극(46)은, 다수의 가스 분출홀(48a)을 가지는 예를 들면 Si, SiC등의 실리콘 함유 재질로 이루어지는 전극판(48)과, 이 전극판(48)을 착탈 가능하게 지지하는 도전 재료 예를 들면 표면이 알루마이트 처리된 알루미늄으로 이루어지는 전극 지지체(50)로 구성되어 있고, 챔버(10)에 링 형상의 절연체(52)를 개재하여 전기적으로 플로팅 상태로 장착되어 있다. 이 상부 전극(46)과 서셉터(16)의 사이에 플라즈마 생성 공간 또는 처리 공간(PS)이 형성되어 있다. 링 형상 절연체(52)는, 예를 들면 알루미나(Al2O3)로 이루어지고, 상부 전극(46)의 외주면과 챔버(10)의 측벽과의 사이의 극간을 기밀하게 폐색하도록 장착되고, 상부 전극(46)을 물리적으로 지지하고 있다.
전극 지지체(50)는 그 내부에 가스 버퍼실(54)을 가지고, 또한 그 하면에 가스 버퍼실(54)로부터 전극판(48)의 가스 분출홀(48a)에 연통하는 다수의 가스 통기홀(50a)을 가지고 있다. 가스 버퍼실(54)에는 가스 공급관(56)을 개재하여 처리 가스 공급원(58)이 접속되어 있다. 가스 공급관(56)에는 매스 플로우 컨트롤러(MFC)(60) 및 개폐 밸브(62)가 설치되어 있다. 처리 가스 공급원(58)으로부터 소정의 처리 가스(에칭 가스)가 가스 버퍼실(54)로 도입되면, 전극판(48)의 가스 분출홀(48a)로부터 서셉터(16) 상의 반도체 웨이퍼(W)를 향해 처리 공간(PS)으로 처리 가스가 샤워 형상으로 분출되도록 되어 있다. 이와 같이, 상부 전극(46)은, 처리 공간(PS)으로 처리 가스를 공급하기 위한 샤워 헤드를 겸하고 있다.
또한, 전극 지지체(50)의 내부에는 냉매 예를 들면 냉각수를 흘리는 통로(도시하지 않음)도 설치되어 있고, 외부의 칠러 유닛에 의해 냉매를 개재하여 상부 전극(46)의 전체, 특히 전극판(48)을 소정 온도로 온도 조절하도록 되어 있다. 또한, 상부 전극(46)에 대한 온도 제어를 보다 안정화시키기 위하여, 전극 지지체(50)의 내부 또는 상면에 예를 들면 저항 발열 소자로 이루어지는 히터(도시하지 않음)를 장착하는 구성도 가능하다.
이 용량 결합형 플라즈마 처리 장치는, 챔버(10)의 외부에 교류 전원(64)을 구비하고 있다. 교류 전원(64)의 출력 단자는 정합기(66), 블로킹 콘덴서(68) 및 직류 급전 라인 또는 급전봉(70)을 개재하여 상부 전극(46)에 전기적으로 접속되어 있다. 교류 전원(64)은 플라즈마 중의 이온이 추종할 수 있는 주파수(f)를 가지는 교류, 즉 이온 플라즈마 주파수보다 낮은 저주파 또는 고주파의 교류(AC)를 출력하고, 그 파워, 전압 파고값 또는 실효값을 가변할 수 있도록 되어 있다.
또한, 챔버(10) 내에서 처리 공간(PS)에 면하는 적당한 개소로서 예를 들면 내벽 부재(25)의 최상단 부근 혹은 상부 전극(46)의 반경 방향 외측에, 예를 들면 Si, SiC 등의 도전성 부재로 이루어지는 링 형상의 DC 그라운드 부재(도시하지 않음)가 장착되어 있다. 이 DC 그라운드 부재는, 접지 라인(도시하지 않음)을 개재하여 상시 접지되어 있다. 플라즈마 에칭 중에 교류 전원(64)으로부터 블로킹 콘덴서(68)를 개재하여 상부 전극(46)에 교류(AC)를 인가하면, 자기 바이어스 전압이 발생하는 상부 전극(46)과 DC 그라운드 부재와의 사이에서 플라즈마를 개재하여 직류의 전자 전류가 흐르도록 되어 있다.
서셉터(16) 및 서셉터 지지대(14)와 챔버(10)의 측벽과의 사이에 형성되는 환상(環狀)의 공간은 배기 공간으로 되어 있고, 이 배기 공간의 바닥에는 챔버(10)의 배기구(72)가 형성되어 있다. 이 배기구(72)에 배기관(74)을 개재하여 배기 장치(76)가 접속되어 있다. 배기 장치(76)는 터보 분자 펌프 등의 진공 펌프를 가지고 있고, 챔버(10)의 실내, 특히 처리 공간(PS)을 원하는 진공도까지 감압할 수 있도록 되어 있다. 또한, 챔버(10)의 측벽에는 반도체 웨이퍼(W)의 반입출구(78)를 개폐하는 게이트 밸브(80)가 장착되어 있다.
제어부(82)는 마이크로 컴퓨터를 포함하고, 외부 메모리 또는 내부 메모리에 저장되는 소프트웨어(프로그램) 및 레시피 정보에 따라, 장치 내의 각 부, 특히 고주파 전원(30, 32), 교류 전원(64), 정합기(34, 36, 66), MFC(60), 개폐 밸브(62), 배기 장치(76) 등의 개개의 동작 및 장치 전체의 동작(시퀀스)을 제어한다.
이 용량 결합형 플라즈마 에칭 장치에서 에칭을 행하기 위해서는, 먼저 게이트 밸브(80)를 개방 상태로 하여 가공 대상의 반도체 웨이퍼(W)를 챔버(10) 내로 반입하여, 정전 척(18) 상에 재치한다. 그리고, 처리 가스 공급원(58)으로부터 처리 가스 즉 에칭 가스(일반적으로 혼합 가스)를 소정의 유량 및 유량비로 챔버(10) 내로 도입하고, 배기 장치(76)에 의한 진공 배기로 챔버(10) 내의 압력을 설정값으로 한다. 또한, 제 1 및 제 2 고주파 전원(30, 32)으로부터 각각 소정의 파워로 제 1 고주파(40 MHz) 및 제 2 고주파(13 MHz)를 중첩하여 서셉터(16)에 인가한다. 또한, 직류 전원(22)으로부터 직류 전압을 정전 척(18)의 전극(20)에 인가하여, 반도체 웨이퍼(W)를 정전 척(18) 상에 고정한다. 상부 전극(46)의 샤워 헤드로부터 토출된 에칭 가스는 양 전극(46, 16) 간의 고주파 전계 하에서 방전되고, 처리 공간(PS) 내에 플라즈마가 생성된다. 이 플라즈마에 포함되는 라디칼 또는 이온에 의해 반도체 웨이퍼(W)의 주면(主面)의 피가공막이 에칭된다.
이 용량 결합형 플라즈마 에칭 장치는, 서셉터(12)에 플라즈마 생성에 적합한 비교적 높은 주파수의 제 1 고주파를 인가함으로써, 플라즈마를 바람직한 해리 상태로 고밀도화하여, 보다 저압의 조건하에서도 고밀도 플라즈마를 형성할 수 있다. 그와 동시에, 서셉터(12)에 이온 인입에 적합한 비교적 낮은 주파수의 제 2 고주파를 인가함으로써, 반도체 웨이퍼(W)의 피가공막에 대하여 이방성의 에칭을 실시할 수 있다.
(실시예에서의 상부 AC 인가 방식의 기본적 작용)
이 용량 결합형 플라즈마 에칭 장치는, 하부 전극(서셉터)(16)에 플라즈마 생성용과 이온 인입용의 2 개의 고주파(RFH, RFL)를 인가하는 하부 2 고주파 중첩 인가 방식에 있어서, 교류 전원(64)으로부터 블로킹 콘덴서(68)를 개재하여 교류(AC)를 상부 전극(46)에 인가하는 상부 AC 인가 방식의 구성 및 기능을 가지고 있다. 여기서 교류(AC)는, 플라즈마 중의 이온이 추종할 수 있는 주파수, 즉 이온 플라즈마 주파수보다 낮은 주파수(f)를 가지고 있고, 교류 전원(64)에서 그 파워, 전압 파고값 또는 실효값을 가변할 수 있도록 되어 있다. 또한, 이온 플라즈마 주파수(fpi)는 다음의 식 (1)로 부여된다.
fpi = (e2no / ε0 mi)1/2/2π····(1)
단, e는 전자의 전하량, no는 플라즈마 밀도, ε0은 진공 중의 유전율, mi는 이온의 질량이다.
예를 들면 Ar 이온의 경우, 플라즈마 밀도(no)가 1 × 109 cm-3일 때는, 이온 플라즈마 주파수(fpi)는 약 1 MHz이다. no가 4 × 109 cm-3 일 때는, fpi는 약 2 MHz이다. no가 1 × 1010 cm-3일 때는, fpi는 약 3 MHz이다.
도 2에, 이 용량 결합형 플라즈마 에칭 장치에 있어서, 상부 전극(46) 및 하부 전극(서셉터)(16) 간의 퍼텐셜 분포 및 상부 전극(46)에 입사하는 이온의 이온 에너지 분포를 나타낸다. 에칭 프로세스 중에 교류 전원(64)으로부터의 교류(AC)가 블로킹 콘덴서(68)를 개재하여 상부 전극(46)에 인가되면, 상부 전극(46)에는 음의 직류 전압 즉 자기 바이어스 전압(VB)이 발생하고, 이 자기 바이어스 전압(VB)에 교류(AC)의 전압(순간값)이 중첩된다. 여기서, 교류(AC)의 주파수(f)가 이온 플라즈마 주파수(fpi)보다 낮고, 상부 전극(46) 부근의 전자 온도는 낮으므로, 자기 바이어스 전압(VB)은 교류(AC)의 전압 파고값에 가까운 값이 된다. 이렇게 하여, 상부 전극(46)의 전위는, 자기 바이어스 전압(VB)에 교류(AC)의 전압 레벨(순간값)이 중첩되어 주기적으로 변화한다.
한편, 상부 전극(46)에는, 플라즈마로부터 양전하의 이온이 상부 전극(46)의 전위에 따른 시스 내의 전계에 의해 가속되어 입사한다. 이 상부 전극(46)에 입사하는 이온의 에너지는, 상부 전극(46)의 음전위 방향의 깊이(절대값)에 비례하고, 주기적으로 변화한다. 따라서, 각 사이클 중에서, 교류(AC)의 전압 레벨이 극소가 될 때 이온 에너지는 최대가 되고, 교류(AC)의 전압 레벨이 극대가 될 때 이온 에너지는 최소가 된다. 이 경우, 이온 에너지 분포(IED)는, 전압의 시간 변화가 작은 시간대(극대 또는 극소가 되는 부근)에서 많은 이온이 전극에 입사하기 때문에, 최대 에너지 부근 및 최소 에너지 부근에 이온이 많은 분포가 된다. 본 발명의 상부 AC 인가 방식에 의하면, 교류(AC)의 전압 레벨이 각 사이클 중에서 극소가 될 때마다, 자기 바이어스 전압(VB)과 교류(AC)의 전압 파고값을 서로 더한 최대의 음전위에 대응하는 최대 에너지의 이온이 상부 전극(46)에 다수 입사한다.
또한, 교류(AC)의 주파수(f)가 이온 플라즈마 주파수(fpi)보다 높을 경우에는, 이온의 추종성이 저하되고, AC의 주파수(f)를 높게 할수록, 도 2에 나타낸 바와 같이 이온 에너지 분포(IED)에 있어서 에너지 밴드의 폭이 좁아진다. 즉, 이온 에너지는 편차가 작아져, 자기 바이어스 전압(VB)에 대응하는 중심값을 향해 평균화되어 간다. 그에 따라, 이온 에너지의 최대값은 작아진다.
도 3에, AC 인가 방식에 대한 비교예로서, 종래 기술의 상부 DC 인가 방식에 따라 DC 전원(84)에 의해 상부 전극(46)에 음극성의 DC 전압(Vdc)를 인가했을 경우의 퍼텐셜 분포 및 상부 전극(46)에 입사하는 이온의 이온 에너지 분포를 나타낸다. 이 경우, 이온 에너지 분포(IED)는, DC 전압(Vdc)에 대응하는 국소폭의 에너지대 내에 모든 입사 이온의 에너지가 들어가는 것과 같은 프로파일이 된다. 따라서, 플라즈마 프로세스 중에는, 정상적으로 일정한 좁은 에너지대에 들어가는 이온이 상부 전극(46)에 대략 일정한 레이트로 입사한다.
일반적으로, 이온 에너지(Ei)와 스퍼터율(SY) 간에는 SY ∝ Ei 1 /2의 관계가 있다. 따라서 도 4에 나타낸 바와 같이, 스퍼터율은 입사 이온의 개수보다 이온 에너지의 크기(최대값)에 크게 의존한다. 이로부터, 상부 전극(46)에 인가하는 전원 전압의 절대값 또는 진폭이 동일해도, 상부 DC 인가 방식보다 본 발명의 상부 AC 인가 방식의 경우, 보다 높은 에너지를 가지는 이온이 상부 전극(46)에 입사한다. 따라서 본 발명의 상부 AC 인가 방식은, 상부 전극(46)에서의 스퍼터율을 보다 효율적으로 향상시킬 수 있다.
또한 상부 DC 인가 방식에 의하면, 상부 전극(46)의 전위가 음극성의 DC 전압(Vdc)으로 고정되기 때문에, 플라즈마의 퍼텐셜이 낮은 쪽으로 인하된다. 이에 대하여, 본 발명의 상부 AC 인가 방식에 의하면, 교류(AC)의 전압 레벨이 양극성이 되는 반사이클에서는, 상부 전극(46)의 전위가 자기 바이어스 전압(VB)보다 높아지고, 그에 따라 플라즈마 퍼텐셜도 인상된다. 특히, 교류(AC)의 전압 레벨이 극대가 되는 부근에서는, 챔버 측벽 부근의 플라즈마 퍼텐셜이 상당히 높은 값으로 상승한다.
이와 같이, 본 발명의 상부 AC 인가 방식에 의하면, 상부 DC 인가 방식에 비해, 챔버(10)의 측벽 부근의 플라즈마 퍼텐셜이 시간 평균으로도 상당히 높고, 플라즈마로부터 챔버(10)의 측벽에 입사하는 이온의 에너지가 현격히 커진다. 이에 의해, 챔버 측벽에 부착하고 있는 퇴적물을 제거하는 스퍼터링 효과도 크게 향상된다. 그리고, 챔버 측벽의 퇴적물을 효율 좋게 제거함으로써, 프로세스의 재현성 및 장치의 양산성을 향상시킬 수 있다.
(HARC 프로세스에 관한 실험예)
본 발명자는, 이 실시예의 플라즈마 에칭 장치(도 1)를 이용하여, HARC 프로세스에 있어서 본 발명의 상부 AC 인가 방식과 종래 기술의 상부 DC 인가 방식을 비교하는 실험을 행했다. 도 5a 및 도 5b에, 상부 AC 인가 방식의 실험 결과로서 실리콘 산화막(피에칭막) 및 포토레지스트(마스크)의 에칭 레이트(E / R)의 웨이퍼 면내 분포 특성을 각각 나타낸다. 도 6a 및 도 6b에, 상부 DC 인가 방식의 실험 결과로서 실리콘 산화막 및 포토레지스트의 에칭 레이트(E / R)의 웨이퍼 면내 분포 특성을 각각 나타낸다. 이 HARC 프로세스의 실험에서의 주요 프로세스 조건은 다음과 같다.
레지스트 : 아크릴레이트 베이스용의 ArF 레지스트
처리 가스 : C4F6 / C4F8 / Ar / O2 = 20 / 35 / 500 / 36 sccm
챔버 내의 압력 : 20 mTorr
온도 : 상부 전극 / 챔버 측벽 / 하부 전극 = 60 / 60 / 40℃
고주파 전력 : 40 MHz / 13 MHz = 1000 / 4500 W
교류 주파수 : AC = 380 kHz
교류 전력 : AC = 0 W, 250 W, 500 W, 1000 W
직류 전압 : Vdc = 0 V, -150 V, -300 V, -450 V, -600 V
도 5b 및 도 6b에 나타낸 바와 같이, 포토레지스트(PR)의 에칭 레이트 분포 특성에 관해서는, 상부 AC 인가 방식과 상부 DC 인가 방식에서 그다지 다르지 않고, 양자 모두 낮고 평탄한 프로파일이 얻어지고 있다. 즉, 포토레지스트(PR)의 플라즈마 내성을 강화하는 점에 대해서는, HARC 프로세스에서도 상부 AC 인가 방식은 상기 (1), (3)의 효과를 충분히 발휘하고 있고, 상부 DC 인가 방식도 상부 AC 인가 방식과 동등하게 상기 (1), (3)의 효과가 나타난다.
그러나 도 5a 및 도 6a에 나타낸 바와 같이, 실리콘 산화막(SiO2)의 에칭 레이트 분포 특성에 관해서는, 양자의 프로파일이 명확히 상이하다. 즉, 상부 DC 인가 방식의 프로파일은, DC 전압(Vdc)의 절대값을 크게 할수록, 웨이퍼 중심부의 에칭 레이트가 웨이퍼 엣지부의 에칭 레이트보다 높아지는 정도가 증가하고, 웨이퍼 중심부가 눈에 띄게 높아진다. 요컨대, 상부 DC의 인가 전압(절대값)을 크게 할수록, SiO2 에칭 레이트의 면내 균일성이 악화된다. 이에 대하여, 상부 AC 인가 방식의 프로파일은, 교류(AC)의 파워를 크게 할수록, 웨이퍼 중심부의 에칭 레이트가 웨이퍼 엣지부의 에칭 레이트보다 높아지는 정도는 증가하지만, 상대적으로 웨이퍼 중심부가 그다지 높아지지는 않는다. 즉, SiO2 에칭 레이트의 면내 균일성이 개선된다.
이와 같이 HARC 프로세스에서의 SiO2 에칭 레이트의 면내 균일성에 대하여, 상부 DC 인가 방식과 상부 AC 인가 방식에서 차이가 발생하는 것은, 상기 (6)의 효과의 차이에 인한 것이라고 생각된다. 즉, 상부 DC 인가 방식에서는 DC 전압(Vdc)의 절대값을 크게 함으로써 상기 (6)의 효과도 원하지 않게 강하게 되는 것에 반해, 상부 AC 인가 방식에서는 AC의 파워를 크게 해도 상기 (6)의 효과가 상부 DC 인가 방식만큼 강하지는 않다고 생각된다.
상기 HARC 프로세스의 실험에서는, 도 5a의 산화막 에칭 특성 및 도 5b의 레지스트 에칭 특성으로부터, AC의 파워를 파라미터로서, 상부 AC 인가 방식에서의 마스크 선택비와 SiO2 에칭 레이트 면내 균일성과의 상관 관계(FAC)를 구했다. 또한, 도 6a의 산화막 에칭 특성 및 도 6b의 레지스트 에칭 특성으로부터, DC 전압(Vdc)의 전압값(절대값)을 파라미터로서, 상부 DC 인가 방식에서의 마스크 선택비와 SiO2 에칭 레이트 면내 균일성과의 상관 관계(FDC)를 구했다. 도 7에, 각각의 상관 관계(FAC, FDC)를 플롯으로 대비하여 나타낸다.
이 실시예의 플라즈마 에칭 장치와 같이, 하부 전극(서셉터)(16)에 플라즈마 생성용과 이온 인입용의 2 개의 고주파(RFH, RFL)를 인가할 경우에는, 양 고주파(RFH, RFL)의 파워가 주요한 조정 노브(제 1 조정 노브)가 되어 에칭 레이트 특성을 제어할 수 있도록 되어 있다. 한편 선택성에 있어서는, 상기와 같이 상부 DC 인가 방식에서는 DC 전압(Vdc)의 전압값(절대값)이, 상부 AC 인가 방식에서는 교류(AC)의 파워(또는 AC의 전압 파고값, 실효값 등)가 각각 조정 노브(제 2 조정 노브)가 될 수 있다. 여기서, 에칭 레이트 특성과 선택성을 동시에 최적화하기 위해서는, 제 1 조정 노브와 제 2 조정 노브가 가능한 한 서로 독립되어 있는 것이 바람직하다. 따라서, 제 2 조정 노브를 이용하여 마스크 선택비를 변경했을 때, 에칭 레이트 특성(예를 들면 면내 균일성)이 그 영향을 받아 변화한다 하더라도, 그 변화량은 가능한 한 작은 것이 바람직하다. 이러한 제 1 및 제 2 조정 노브 간의 독립성에 관해서는, 도 7에 나타낸 바와 같이, 상부 AC 인가 방식이 상부 DC 인가 방식보다 뛰어난 것을 알 수 있다.
상기 HARC 프로세스의 실험에서는, 에칭 형상에 대해서도 조사했다. 도 8에, 에칭 형상의 측정에 이용한 SEM 사진을 나타낸다. 도 9에, 상부 AC 인가 방식과 상부 DC 인가 방식을 대비시켜, 마스크 선택비와 보잉량과의 상관 관계를 나타낸다. 또한 보잉량은, 실리콘 산화막에 형성되는 미세홀에서의 홀 내의 최대의 구경(보잉 CD)과 최상단의 구경(톱 CD)의 차분이다. 보잉량이 적을수록, 수직 가공 형상이 뛰어나다.
도 8에 나타낸 바와 같이, 상부 DC 인가 방식에서는, DC 전압(Vdc)의 절대값을 크게 할수록, 마스크 선택비 및 보잉량 모두 향상된다. 한편 상부 AC 인가 방식에서도, AC의 파워를 크게 할수록, 마스크 선택비 및 보잉량 모두 향상된다. 그러나 도 9에 나타낸 바와 같이, 보잉량이 동등할 때는, 상부 AC 인가 방식이 상부 DC 인가 방식보다 큰 마스크 선택비가 얻어지는 것을 알 수 있다. 즉, 에칭 형상과 마스크 선택과의 양립을 도모함에 있어서, 상부 DC 인가 방식보다 상부 AC 인가 방식이 뛰어나다.
(BEOL 프로세스에 관한 실험예)
본 발명자는, 이 실시예의 플라즈마 에칭 장치(도 1)를 이용하여, BEOL 프로세스에 있어서 본 발명의 상부 AC 인가 방식과 종래 기술의 상부 DC 인가 방식을 비교하는 2 개의 실험을 행했다. BEOL 프로세스는, LSI 제조의 배선 형성 공정에 있어서 층간 절연막에 비교적 얕은 비아홀을 플라즈마 에칭에 의해 형성하는 기술이며, 최근에는 가공 대상의 층간 절연막에 유기 Low-k막이 많이 이용되고 있다.
이 BEOL 프로세스의 실험에서는, 도 10a 및 도 10b에 도시한 바와 같이, 하지층 또는 에칭 정지층으로서의 SiC막(90), 피에칭 재료로서의 2 층의 유기 Low-k막(92, 94), 하드 마스크로서의 TEOS막(96), 최상층 패턴 마스크로서의 포토레지스트(98)를 이 순서로 아래로부터 적층하여 형성한 반도체 웨이퍼(W)를 피처리 기판에 이용했다. 유기 Low-k막(92, 94)에는 Si, O, C 및 H를 포함하는 SiOC계의 유기막을 이용했다. 또한 도 10a에 도시한 바와 같이, 실험에 앞서, 전공정의 플라즈마 에칭에 의해 TEOS막(96)에 도중의 깊이까지 홀(100)을 미리 형성해 두었다. 그리고 도 10b에 도시한 바와 같이, 제 1 및 제 2 실험에 의해 에칭홀(100)을 하층 Low-k막(92)의 바닥 근처까지 형성했다.
이 종류의 BEOL 프로세스에서는, 물리적 또한 화학적으로 손상되기 쉬운 유기 Low-k막에 데미지를 최대한 주지 않는 것이 중요하다. 이 때문에, 제 1 및 제 2 실험의 평가 항목에, 유기 Low-k막(92, 94)의 데미지량을 더했다. SiOC계의 유기막은, 플라즈마 에칭에 의해 데미지를 받으면, 그 부분의 조성이 SiO로 변질되어, HF 용액에 녹게 된다. 따라서, 에칭의 종료 후에 시료의 반도체 웨이퍼를 HF 용액에 30 초간 침지하고, 그에 따라 에칭홀(100) 내에서 유기 Low-k막(92, 94)의 내벽이 깍여진 치수(보잉 CD의 증가량)를 Low-k 데미지량으로서 측정했다.
제 1 실험은, 하부 전극(서셉터)(16)에 인가하는 제 1 및 제 2 고주파(RFH, RFL)의 파워를 각각 630 W, 160 W로 고정했다. 제 2 실험은, 전자 밀도(Ne) 및 하부 전극(서셉터)(16)의 자기 바이어스 전압(VL)(하부 VL)을 각각 4 × 1010 cm-3, 300 V로 고정했다. 다른 프로세스 조건은, 제 1 및 제 2 실험에서 공통되고 있고, 다음과 같다.
처리 가스 : C4F8 / Ar / N2 / O2 = 30 / 1200 / 70 / 17 sccm
챔버 내의 압력 : 80 mTorr
온도 : 상부 전극 / 챔버 측벽 / 하부 전극 = 60 / 60 / 60℃
교류 주파수 : AC = 380 kHz
교류 전력 : AC = 0 W, 250 W, 500 W
직류 전압 : Vdc = 0 V, -300 V, -700 V
도 11에, BEOL 프로세스의 제 1 실험으로 얻어진 패턴의 단면도(SEM 사진) 및 각종 평가 항목의 측정값을 나타낸다. 도시한 바와 같이, 상부 DC 인가 방식에서는 DC 전압(Vdc)의 절대값을 크게 할수록, 상부 AC 인가 방식에서는 AC의 파워를 크게 할수록, 톱 CD는 감소하고, Low-k 데미지량은 증대한다. 특히, 고전압(고파워) 영역에서는, 상부 DC 인가 방식보다 상부 AC 인가 방식이 그 경향이 강하다.
하지만, 이 종류의 BEOL 프로세스에서 허용되는 Low-k 데미지량은 5 nm 이하이기 때문에, 양 방식 모두 저전압(저파워) 영역을 이용하게 된다. 예를 들면, 상부 DC 인가 방식에서는, Vdc = -300 V의 경우, Low-k 데미지량이 4 nm이다. 이 때, 에칭 깊이는 160 nm, 톱 CD는 47 nm, 보잉 CD는 49 nm이다. 한편 상부 AC 인가 방식에서는, AC = 250 W의 경우, Low-k 데미지량은 5 nm이다. 이 때, 에칭 깊이는 150 nm, 톱 CD = 46 nm, 보잉 CD = 46 nm이다. 이와 같이, 제 1 실험의 결과로서, 유기 Low-k막을 피에칭막으로 하는 실용상의 BEOL 프로세스에서는, 본 발명의 상부 AC 인가 방식과 종래 기술의 상부 DC 인가 방식 간에 실질적인 차는 없는 것을 알 수 있었다.
도 12에, BEOL 프로세스의 제 2 실험으로 얻어진 패턴의 단면도(SEM 사진) 및 각종 평가 항목의 측정값을 나타낸다. 도시한 바와 같이, 제 2 실험에서도, 상부 DC 인가 방식에서는 DC 전압(Vdc)의 절대값을 크게 할수록, 상부 AC 인가 방식에서는 AC의 파워를 크게 할수록, 톱 CD는 감소하고, Low-k 데미지량은 증대한다. Low-k 데미지량이 허용치(5 nm 이하)가 되는 저전압 영역(저파워 영역)에 대하여 비교하면, 상부 DC 인가 방식에서는, Low-k 데미지량이 2 nm가 되는 Vdc = -300 V의 경우, 에칭 깊이는 155 nm, 톱 CD는 51 nm, 보잉 CD는 51 nm이다. 한편 상부 AC 인가 방식에서는, Low-k 데미지량이 5 nm가 되는 AC = 250 W의 경우, 에칭 깊이는 150 nm, 톱 CD는 46 nm, 보잉 CD = 46 nm이다. 이와 같이, 제 2 실험에서도, 본 발명의 상부 AC 인가 방식과 종래 기술의 상부 DC 인가 방식 간에 실질적인 차는 없는 것을 알 수 있었다.
도 13 및 도 14에, 상기 제 1 및 제 2 실험의 결과로부터 얻어진 톱 CD와 Low-k 데미지량과의 상관 관계(플롯도)를 각각 나타낸다. 이들의 상관 관계로부터, Low-k 데미지량이 허용치(5 nm 이하)가 되는 영역에서는, 상부 AC 인가 방식과 상부 DC 인가 방식 사이에서 톱 CD에 실질적인 차는 발생하지 않는 것을 알 수 있다. 또한 상부 AC 인가 방식에서 AC = 0 W의 경우에는, 상부 DC 인가 방식에서 DC 전압(Vdc) = 0 V의 경우에 상당한다.
(그 외의 실험예)
본 발명자는, 제 1 및 제 2 고주파(RFH, RFL)의 파워를 파라미터로서(RFH, RFL = 200, 400, 800 W), 상부 DC 인가 방식 및 상부 AC 인가 방식의 각각에 대하여 상기 BEOL 프로세스의 제 1 실험과 같은 프로세스 조건으로 다른 플라즈마 에칭의 실험을 행했다. 그리고, 그 실험 결과에 기초하여, 도 15 및 도 16에 나타낸 바와 같이, 처리 공간(PS) 내의 전자 밀도(플라즈마 밀도)(Ne)와 하부 전극(16)에 발생하는 자기 바이어스 전압(VL)(하부 VL)과의 상관 관계, 즉 Ne - 하부 VL 특성을 취득했다.
상부 DC 인가 방식의 Ne - 하부 VL 특성(도 15)과 상부 AC 인가 방식의 Ne - 하부 VL 특성(도 16)을 비교하면, 상부 AC 인가 방식이 보다 저Ne / 저하부 VL의 영역에서 프로세스 마진을 확대시킬 수 있는 것을 알 수 있다. 또한, 이러한 저Ne / 저하부 VL의 영역은, 예를 들면 MRAM(Magnetoresistive Random Access Memory)의 절연체 박막을 저속도로 에칭하는 프로세스에 적합하다.
또한 본 발명자는, 상부 AC 인가 방식에서의 교류(AC)의 파워를 AC = 0 W, 100 W, 125 W, 250 W, 500 W의 값으로 가변하고, 상부 DC 인가 방식에서의 직류 전압(Vdc)의 절대값을 Vdc = 0 V, 150 V, 300 V의 값으로 가변하는 것 이외는 상기 BEOL 프로세스의 제 1 실험과 동일한 프로세스 조건으로 다른 플라즈마 에칭의 실험을 행하고, 그 실험 중에서 각 파라미터값마다 챔버(10)의 측벽 부근의 플라즈마 퍼텐셜을 측정했다.
도 17에 그 실험 결과를 나타낸다. 도시한 바와 같이, 상부 DC 인가 방식에 의하면 직류 전압(Vdc)의 절대값을 크게 할수록 챔버 측벽 부근의 플라즈마 퍼텐셜이 저하되는데 반해, 상부 AC 인가 방식에 의하면 교류(AC)의 파워를 크게 할수록 챔버 측벽 부근의 플라즈마 퍼텐셜이 상승하는 것이 검증되었다. 이는, 상술한 바와 같이, 상부 DC 인가 방식에서는, 상부 전극(46)의 전위가 음극성의 DC 전압(Vdc)으로 고정되고, 플라즈마의 퍼텐셜이 인하되기 때문이다. 한편 상부 AC 인가 방식에서는, 교류(AC)의 전압 레벨이 양극성이 되는 반사이클에서는, 상부 전극(46)의 전위가 자기 바이어스 전압(VB)보다 높아지고, 그에 따라 플라즈마의 퍼텐셜이 인상되기 때문에, 시간 평균으로 챔버 측벽 부근의 플라즈마 퍼텐셜이 상승한다.
본 발명자는, 에칭 마스크로서의 ArF 레지스트를 플라즈마 하에서 개질시키는 효과에 대하여 본 발명의 상부 AC 인가 방식과 종래 기술의 상부 DC 인가 방식을 비교하는 실험을 행했다. 주요 프로세스 조건은 다음과 같다.
처리 가스 : H2 / Ar = 100 / 800 sccm
챔버 내의 압력 : 50 mTorr
온도 : 상부 전극 / 챔버 측벽 / 하부 전극 = 60 / 60 / 30℃
고주파 전력 : 40 MHz / 13 MHz = 300 / 0 W
교류 주파수 : AC = 380 kHz
교류 전력 : AC = 0 W, 250 W, 500 W
직류 전압 : Vdc = 0 V, -300 V, -700 V
처리 시간 : 30 초
도 18에, 상기 ArF 레지스트 개질 처리의 실험 결과를 SEM 사진으로 나타낸다. 도시한 바와 같이, 상부 DC 인가 방식을 이용했을 경우의 개질층의 두께는, Vdc = 0 V일 때는 16.7 nm, Vdc = -300 V일 때는 21.4 nm, Vdc = -700 V일 때는 40.8 nm였다. 한편, 상부 AC 인가 방식을 이용했을 경우의 개질층의 두께는, AC = 0 W일 때는 16.7 nm, AC = 250 W일 때는 26.6 nm, AC = 500 W일 때는 50.6 nm였다. 이와 같이, 상부 DC 인가 방식에서는 DC 전압(Vdc)의 절대값을 크게 할수록, 상부 AC 인가 방식에서는 AC의 파워를 크게 할수록, 개질층의 두께가 증대하고, 그 증대율은 양 방식에서 그다지 변함이 없는 것을 알 수 있었다.
상기한 바와 같이, 본 발명의 상부 AC 인가 방식은, 예를 들면 BEOL 프로세스 또는 ArF 레지스트 개질 효과에서는 종래 기술의 상부 DC 인가 방식의 장점을 유지하고, 예를 들면 HARC 프로세스에서는 상부 DC 인가 방식의 단점을 해소할 수 있다.
이상 본 발명의 적합한 실시예에 대하여 설명했지만, 본 발명은 상기 실시예에 한정되지 않고, 그 기술 사상의 범위 내에서 다양한 변형이 가능하다.
예를 들면, 상기 실험예에서의 교류(AC)의 주파수(f)의 값(380 kHz)은 일례이며, 이온이 추종할 수 있는 임의의 값의 주파수(f)를 교류(AC)에 이용할 수 있다. 따라서, 본 발명에서의 교류(AC)의 주파수(f)로서는, 상기 실시예에서의 380 kHz보다 높은 주파수여도 되고, 일반적으로 이온이 추종할 수 있는 주파수(다른 관점으로는 이온에 에너지를 부여할 수 있는 주파수)의 한계로 여겨지는 13 MHz까지의 주파수 영역을 사용할 수 있다.
또한, 상기 실시예에서는 교류 전원(64)으로부터 출력되는 교류(AC)를 최대의 전력 전송 효율로 상부 전극(46)에 인가하기 위하여 정합기(66)를 이용했지만, 정합기(66)를 생략하는 것도 가능하다. 또한, 하부 전극(서셉터)(16)에 대하여 제 2 고주파(RFL)를 인가하지 않는 구성, 즉 고주파 전원(32), 정합기(36), 블로킹 콘덴서(40)를 생략하는 구성도 가능하다. 그 외에, 상부 전극(46)에서의 샤워 헤드 구조 등에서 임의의 변형이 가능하다.
본 발명은 용량 결합형 플라즈마 에칭 장치에 한정되지 않고, 플라즈마 CVD, 플라즈마 ALD, 플라즈마 산화, 플라즈마 질화, 스퍼터링 등 임의의 플라즈마 프로세스를 행하는 용량 결합형 플라즈마 처리 장치에 적용 가능하다. 본 발명에서의 피처리 기판은 반도체 웨이퍼에 한정되지 않고, 플랫 패널 디스플레이, 유기 EL, 태양 전지용의 각종 기판, 또는 포토마스크, CD 기판, 프린트 기판 등도 가능하다.
10 : 챔버
16 : 서셉터(하부 전극)
30 : (플라즈마 생성용의) 고주파 전원
32 : (이온 인입용의) 고주파 전원
46 : 상부 전극
58 : 처리 가스 공급원
64 : 교류 전원
68 : 블로킹 콘덴서
82 : 제어부

Claims (8)

  1. 진공 배기 가능한 처리 용기와,
    상기 처리 용기 내에 배치되고, 피처리 기판을 재치하고 지지하는 제 1 전극과,
    상기 처리 용기 내에 상기 제 1 전극과 소정의 간격을 두고 평행하게 배치되는 제 2 전극과,
    상기 처리 용기 내의 상기 제 1 및 제 2 전극 간의 처리 공간으로 원하는 처리 가스를 공급하는 처리 가스 공급부와,
    상기 처리 가스를 방전시켜 플라즈마를 생성하는데 적합한 주파수를 가지는 제 1 고주파를 상기 제 1 전극에 인가하는 제 1 고주파 전원과,
    플라즈마 중의 이온이 추종할 수 있는 주파수를 가지는 저주파 또는 고주파의 교류를 상기 제 2 전극에 인가하는 교류 전원과,
    상기 교류 전원과 상기 제 2 전극의 사이에 접속되는 블로킹용의 콘덴서와,
    이온의 인입에 적합한 주파수를 가지는 제 2 고주파를 상기 제 1 전극에 인가하는 제 2 고주파 전원을 가지고,
    상기 제 1 고주파의 전원과 제 2 고주파의 전원의 파워가 제 1 조정 노브가 되어, 에칭 레이트 면내 균일성이 제어되고,
    상기 교류의 전원의 파워가 제 2 조정 노브가 되어, 마스크 선택비가 변경될 수 있는 것을 특징으로 하는 플라즈마 처리 장치.
  2. 제 1 항에 있어서,
    상기 교류의 주파수는, 상기 이온의 이온 플라즈마 주파수보다 낮은 플라즈마 처리 장치.
  3. 삭제
  4. 제 1 항에 있어서,
    상기 제 1 고주파의 주파수는 40 MHz 이상이며, 상기 제 2 고주파의 주파수는 13 MHz 이하이고 상기 이온의 이온 플라즈마 주파수보다 높은 플라즈마 처리 장치.
  5. 피처리 기판 상의 실리콘 산화막에 고애스펙트비의 홀을 형성하는 플라즈마 에칭 방법으로서,
    실내에 제 1 전극과 제 2 전극을 소정의 간격을 두고 평행에 배치되어 있는 진공 가능한 처리 용기 내에서 상기 제 1 전극 상에 피처리 기판을 재치하고 지지하는 공정과,
    상기 처리 용기 내를 소정의 압력으로 진공 배기하는 공정과,
    상기 제 1 전극과 상기 제 2 전극의 사이의 처리 공간으로 플루오르카본계의 에칭 가스를 공급하고, 상기 제 1 전극에 제 1 고주파를 인가하여 상기 처리 공간에서 상기 에칭 가스의 플라즈마를 생성하는 공정과,
    플라즈마 중의 이온이 추종할 수 있는 주파수를 가지는 저주파 또는 고주파의 교류를 블로킹용의 콘덴서를 개재하여 상기 제 2 전극에 인가하는 공정을 가지고,
    상기 제 1 전극에 이온의 인입에 적합한 주파수를 가지는 제 2 고주파를 인가하고,
    상기 제 1 고주파의 전원과 제 2 고주파의 전원의 파워가 제 1 조정 노브가 되어, 에칭 레이트 면내 균일성이 제어되고,
    상기 교류의 전원의 파워가 제 2 조정 노브가 되어, 마스크 선택비가 변경될 수 있는 것을 특징으로 하는 플라즈마 에칭 방법.
  6. 삭제
  7. 제 5 항에 있어서,
    상기 에칭 가스가, 플루오르카본 가스와 아르곤 가스와 산소 가스를 포함하는 플라즈마 에칭 방법.
  8. 제 5 항에 있어서,
    상기 제 2 전극의 모재가 실리콘을 포함하는 플라즈마 에칭 방법.
KR1020147007790A 2011-09-26 2012-09-25 플라즈마 처리 장치 및 플라즈마 처리 방법 KR101957348B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
JP2011209133 2011-09-26
JPJP-P-2011-209133 2011-09-26
US201161544331P 2011-10-07 2011-10-07
US61/544,331 2011-10-07
PCT/JP2012/006086 WO2013046640A1 (ja) 2011-09-26 2012-09-25 プラズマ処理装置及びプラズマ処理方法

Publications (2)

Publication Number Publication Date
KR20140068090A KR20140068090A (ko) 2014-06-05
KR101957348B1 true KR101957348B1 (ko) 2019-03-12

Family

ID=47994723

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020147007790A KR101957348B1 (ko) 2011-09-26 2012-09-25 플라즈마 처리 장치 및 플라즈마 처리 방법

Country Status (5)

Country Link
US (2) US20140256147A1 (ko)
JP (2) JPWO2013046640A1 (ko)
KR (1) KR101957348B1 (ko)
TW (1) TWI611454B (ko)
WO (1) WO2013046640A1 (ko)

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105206494B (zh) * 2014-06-18 2017-06-06 北京北方微电子基地设备工艺研究中心有限责任公司 脉冲射频电源的阻抗匹配方法及等离子体设备的匹配方法
JP2016157793A (ja) * 2015-02-24 2016-09-01 東京エレクトロン株式会社 エッチング方法
JP2017098478A (ja) * 2015-11-27 2017-06-01 東京エレクトロン株式会社 エッチング方法
KR101800321B1 (ko) * 2016-04-18 2017-11-22 최상준 건식 에칭장치
KR101913684B1 (ko) * 2016-10-21 2018-11-01 주식회사 볼트크리에이션 건식 에칭장치 및 그 제어방법
JP7008474B2 (ja) * 2016-11-30 2022-01-25 東京エレクトロン株式会社 プラズマエッチング方法
JP6886940B2 (ja) * 2018-04-23 2021-06-16 東京エレクトロン株式会社 プラズマ処理方法
JP7306886B2 (ja) * 2018-07-30 2023-07-11 東京エレクトロン株式会社 制御方法及びプラズマ処理装置
WO2020026802A1 (ja) * 2018-07-30 2020-02-06 東京エレクトロン株式会社 制御方法及びプラズマ処理装置
KR20220010648A (ko) 2020-07-16 2022-01-26 삼성전자주식회사 플라즈마 식각 장치, 플라즈마 식각 방법 및 그를 포함하는 반도체 소자의 제조 방법
JP2024056331A (ja) * 2022-10-11 2024-04-23 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002110650A (ja) * 2000-10-03 2002-04-12 Tokyo Electron Ltd プラズマエッチング方法およびプラズマエッチング装置
JP2007317889A (ja) * 2006-05-25 2007-12-06 Tokyo Electron Ltd エッチング方法
JP2009267352A (ja) * 2008-03-31 2009-11-12 Tokyo Electron Ltd プラズマ処理方法及びコンピュータ読み取り可能な記憶媒体

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3080055B2 (ja) * 1997-12-10 2000-08-21 日本電気株式会社 ドライエッチング方法
JP3621900B2 (ja) * 2000-09-12 2005-02-16 株式会社日立製作所 プラズマ処理装置および方法
US7988816B2 (en) * 2004-06-21 2011-08-02 Tokyo Electron Limited Plasma processing apparatus and method
JP4672456B2 (ja) 2004-06-21 2011-04-20 東京エレクトロン株式会社 プラズマ処理装置
EP2479783B1 (en) * 2004-06-21 2018-12-12 Tokyo Electron Limited Plasma processing apparatus and method
JP2006086325A (ja) * 2004-09-16 2006-03-30 Tokyo Electron Ltd クリーニングの終点検出方法
JP4515950B2 (ja) * 2005-03-31 2010-08-04 東京エレクトロン株式会社 プラズマ処理装置、プラズマ処理方法およびコンピュータ記憶媒体
JP4642528B2 (ja) * 2005-03-31 2011-03-02 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
JP5199595B2 (ja) * 2007-03-27 2013-05-15 東京エレクトロン株式会社 プラズマ処理装置及びそのクリーニング方法
JP4577328B2 (ja) * 2007-04-16 2010-11-10 株式会社日立製作所 半導体装置の製造方法
JP5165993B2 (ja) * 2007-10-18 2013-03-21 東京エレクトロン株式会社 プラズマ処理装置
JP5371238B2 (ja) * 2007-12-20 2013-12-18 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
JP5674280B2 (ja) * 2009-03-02 2015-02-25 東京エレクトロン株式会社 プラズマ処理装置
JP5397464B2 (ja) * 2009-03-04 2014-01-22 富士電機株式会社 成膜方法
JP2011029475A (ja) * 2009-07-28 2011-02-10 Shibaura Mechatronics Corp プラズマ処理装置及びプラズマ処理方法
JP5496568B2 (ja) * 2009-08-04 2014-05-21 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
JP5323628B2 (ja) * 2009-09-17 2013-10-23 東京エレクトロン株式会社 プラズマ処理装置
US8901935B2 (en) * 2009-11-19 2014-12-02 Lam Research Corporation Methods and apparatus for detecting the confinement state of plasma in a plasma processing system

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002110650A (ja) * 2000-10-03 2002-04-12 Tokyo Electron Ltd プラズマエッチング方法およびプラズマエッチング装置
JP2007317889A (ja) * 2006-05-25 2007-12-06 Tokyo Electron Ltd エッチング方法
JP2009267352A (ja) * 2008-03-31 2009-11-12 Tokyo Electron Ltd プラズマ処理方法及びコンピュータ読み取り可能な記憶媒体

Also Published As

Publication number Publication date
WO2013046640A1 (ja) 2013-04-04
JP2017108159A (ja) 2017-06-15
TW201334018A (zh) 2013-08-16
US9852922B2 (en) 2017-12-26
US20140256147A1 (en) 2014-09-11
US20170092509A1 (en) 2017-03-30
JPWO2013046640A1 (ja) 2015-03-26
KR20140068090A (ko) 2014-06-05
JP6431557B2 (ja) 2018-11-28
TWI611454B (zh) 2018-01-11

Similar Documents

Publication Publication Date Title
KR101957348B1 (ko) 플라즈마 처리 장치 및 플라즈마 처리 방법
TWI743072B (zh) 蝕刻方法及蝕刻裝置
JP4827081B2 (ja) プラズマエッチング方法およびコンピュータ読み取り可能な記憶媒体
US9034198B2 (en) Plasma etching method
JP5916056B2 (ja) プラズマ処理方法及びプラズマ処理装置
KR102260339B1 (ko) 반도체 장치의 제조 방법
US7895970B2 (en) Structure for plasma processing chamber, plasma processing chamber, plasma processing apparatus, and plasma processing chamber component
EP2911187A1 (en) Etching method
KR102358732B1 (ko) 플라즈마 에칭 방법 및 플라즈마 에칭 장치
KR20160018367A (ko) 다층막을 에칭하는 방법
KR20150097416A (ko) 반도체 장치의 제조 방법
KR101858324B1 (ko) 플라즈마 에칭 방법
US9418863B2 (en) Method for etching etching target layer
JP4935149B2 (ja) プラズマ処理用の電極板及びプラズマ処理装置
KR20160018366A (ko) 다층막을 에칭하는 방법
KR20080006457A (ko) 플라즈마 에칭 방법 및 컴퓨터 판독 가능한 기억 매체
US20220139719A1 (en) Etching method and plasma processing apparatus
JP2020088174A (ja) エッチング方法及び基板処理装置
JP6045646B2 (ja) プラズマエッチング方法
US11810792B2 (en) Etching method and substrate processing apparatus

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant