TW200401365A - Plasma processing method - Google Patents

Plasma processing method Download PDF

Info

Publication number
TW200401365A
TW200401365A TW092117266A TW92117266A TW200401365A TW 200401365 A TW200401365 A TW 200401365A TW 092117266 A TW092117266 A TW 092117266A TW 92117266 A TW92117266 A TW 92117266A TW 200401365 A TW200401365 A TW 200401365A
Authority
TW
Taiwan
Prior art keywords
layer
etching
plasma
item
scope
Prior art date
Application number
TW092117266A
Other languages
Chinese (zh)
Other versions
TWI265569B (en
Inventor
Kaiteru Koh
Kiwamu Fujimoto
Takashi Fuse
Masanobu Honda
Hiroharu Ito
Akiteru Koh
Takashi Enomoto
Akinori Kitamura
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of TW200401365A publication Critical patent/TW200401365A/en
Application granted granted Critical
Publication of TWI265569B publication Critical patent/TWI265569B/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Analytical Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Physical Or Chemical Processes And Apparatus (AREA)

Abstract

The present invention relates to a plasma processing method, which comprises: the operation to prepare the processed body having an organic layer on the surface, and the operation to enhance the plasma-endurance of the organic layer by irradiating H2 plasma onto the processed body.

Description

200401365 (1) 玖、發明說明 【發明所屬之技術領域】 本發明係關於一種在半導體裝置之製造作業所進行之 電漿處理方法ε 【先前技術】 在對於蝕刻對象層來進行電漿蝕刻時,使用光阻劑等 之阻劑罩幕。特別是在最近,配合微細加工之要求,爲了 形成大約0 · 1 3 # m以下之開口圖案,因此,經常使用藉由 適當之ArF光阻劑或F2光阻劑、也就是ArF氣體或F2氣 體來作爲發光源之雷射光而進行曝光之光阻劑。 但是’ ArF光阻劑層或F2光阻劑層係耐電漿性低, 因此’會有所謂在蝕刻途中而使得光阻劑層之表面皸裂之 問題產生。由於光阻劑層之表面皺裂,因此,隨著蝕刻之 進行’同時’也使得開口部之形狀發生變化,無法形成所 設計之形狀之蝕刻孔或蝕刻溝槽。此外,在蝕刻途中,形 成光阻劑層消失之部位,也在本來不企圖進行蝕刻之部位 ,來進行飩刻。 作爲提高光阻劑層之耐電漿性之方法係有:在光阻劑 層之表面來照射紫外線、電子線或離子束之方法(日本特 開平60-110124號公報、日本特開平2-252233號公報、 曰本特開平57-157523號公報)、對於光阻劑來進行加熱 硬化之方法(日本特開平4 - 2 3 4 2 5號公報)或在有機S i 化合物來施加熱或光之能量而使得薄硬化層塗敷在光阻劑 (2) (2)200401365 層表面之方法(日本特開平2-40914號公報)。 在前述提高光阻劑層之耐電漿性之方法,必須在不同 於後面蝕刻作業所使用之容器之其他容器內,來進行耐電 漿性之提高處理。將被處理體由進行光阻劑層之耐電漿性 之提高處理之容器開始而搬送至蝕刻容器係導致在搬送作 業之良品率之降低或者是由於搬送時間所造成之生產效率 之降低發生。此外,另外設置不同於蝕刻容器之進行耐電 漿性之提高處理之容器係不僅需要多餘之空間,並且,也 會導致成本上升。 此外,也可以不設置不同於蝕刻容器之進行耐電漿性 之提高處理之容器,而在蝕刻容器,來附加紫外線照射手 段或加熱手段,但是,仍然需要紫外線照射手段或加熱手 段,還是會導致成本上升。 另一方面,在直接藉由光阻劑層而覆蓋融刻對象部時 ,則在後面之對於光阻劑層來進行曝光•顯影而形成開口 圖案之作業,降低開口圖案之設計尺寸精度。因此,在蝕 刻對象部和光阻劑層間,插入反射防止層。提議:藉由包 含具有C和F之物質之氣體 '例如C4F8和02之混合氣體 、HBr、CF4和He之混合氣體、CH2F2、CF4和He之混合 氣體之電漿,來蝕刻該反射防止層(日本特開平1 〇-26 1 62號公報)。作爲蝕刻反射防止層之蝕刻氣體係也知 道有例如CF4和02之混合氣體(日本特開平7-307328號 公報)。 但是,在藉由C4F8和〇2之混合氣體或CF4和〇2之 -7- (3) (3)200401365 混合氣體之電漿來蝕刻反射防止層之狀態下,ArF光阻劑 層之表面係也發生皺裂,或在ArF光阻劑層產生縱條紋, 或者是也蝕刻相當量之成爲罩幕層之ArF光阻劑層,而無 法發揮作爲罩幕之功能。 【發明內容】 〔發明之揭示〕 本發明之目的係提供一種不會造成良品率之降低或生 產效率之降低並且不導致成本上升而能夠提高ArF光阻劑 層等之有機層之耐蝕刻性之電漿處理方法。 此外,本發明係提供一種像這樣可以提高有機層之耐 蝕刻性並且進行電漿蝕刻之電漿處理方法。 此外,本發明係提供一種在對於反射防止層或其底材 之蝕刻對象層來進行蝕刻時而能夠高度地維持ArF光阻劑 層或F2光阻劑層等之罩幕層之耐電槳性之電漿處理方法 〇 另外,本發明係提供一種可以抑制 ArF光阻劑層或 F2光阻劑層等之罩幕層之表面皺裂同時維持良好之蝕刻選 擇比並且以大蝕刻速度來鈾刻底材之反射防止層或蝕刻對 象層之電槳處理方法。 如果藉由本發明之第1觀點的話,則提供一種電漿處 理方法,具有:在表面準備具備有機層之被處理體之作業 以及對於前述被處理體來照射^^電漿而提高前述有機層 之耐電漿性之作業。 -8- (4) (4)200401365 如果藉由本發明之第2觀點的話,則提供一種電漿處 理方 去’具有:在表面準備具備有機層之被處理體之作業 以及對於前述被處理體來照射包含H2和惰性氣體之處理 氣體之電漿而提高前述有機層之耐電漿性之作業。 如果藉由本發明之第3觀點的話,則提供一種電漿處 理方丨去’具有:在表面準備具備有機層之被處理體之作業 以及對於前述被處理體來照射包含具有Η之物質和惰性 氣體之處理氣體之電漿而提高前述有機層之耐電漿性之作 業。 如果藉由本發明之第4觀點的話,則提供一種電漿處 理方法,具有:在表面準備具有由ArF光阻劑或F2光阻 劑所構成之光阻劑層之被處理體之作業以及對於前述被處 理體來照射包含具有Η之物質之處理氣體之電漿而提高 前述光阻劑層之耐電漿性之作業。 如果藉由本發明之第5觀點的話,則提供一種電漿處 理方法,具有:將具有蝕刻對象部和覆蓋該蝕刻對象部而 形成開口圖案之有機層之被處理體,配置在處理容器內之 作業;在前述處理容器內,對於包含具有Η之物質之處 理氣體來進行電漿化,在前述有機層,照射該電漿之作業 ;以及,在前述處理容器內,對於蝕刻氣體來進行電漿化 ,通過前述開口圖案而對於前述蝕刻對象部來進行蝕刻之 作業。 如果藉由本發明之第6觀點的話,則提供一種電漿處 理方法,具有:在表面,準備具有由ArF光阻劑或F2光 -9- (5) 200401365 阻劑所搆成之光阻劑層之被處理體之作業;以及,對於前 述被處理體,來照射包含具有N之物質之處理氣體之電 漿,而提高前述光阻劑層之耐電漿性之作業。200401365 (1) 发明. Description of the invention [Technical field to which the invention belongs] The present invention relates to a plasma processing method performed in a semiconductor device manufacturing operation [prior art] When performing plasma etching on an etching target layer, Use a resist mask such as a photoresist. Especially recently, in order to meet the requirements of microfabrication, in order to form an opening pattern below about 0 · 1 3 # m, an appropriate ArF photoresist or F2 photoresist, that is, ArF gas or F2 gas is often used. It is used as a photoresist for exposing laser light as a light source. However, the 'ArF photoresist layer or F2 photoresist layer is low in plasma resistance, and therefore, there is a problem that the surface of the photoresist layer is cracked during etching. Because the surface of the photoresist layer is wrinkled, the shape of the openings is changed 'at the same time as the etching progresses, and an etched hole or etched trench of a designed shape cannot be formed. In addition, the portion where the photoresist layer disappeared during the etching was also etched at the portion where the etching was not originally intended. As a method for improving the plasma resistance of the photoresist layer, there are methods of irradiating ultraviolet rays, electron beams, or ion beams on the surface of the photoresist layer (Japanese Patent Application Laid-Open No. 60-110124, Japanese Patent Application Laid-Open No. 2-252233). Gazette, Japanese Patent Application Laid-Open No. 57-157523), a method for heat curing a photoresist (Japanese Patent Laid-Open No. 4-2 3 4 2 5) or applying heat or light energy to an organic Si compound A method for coating a thin hardened layer on the surface of the photoresist (2) (2) 200401365 layer (Japanese Patent Application Laid-Open No. 2-40914). In the aforementioned method for improving the plasma resistance of the photoresist layer, it is necessary to perform a treatment for improving the plasma resistance in a container different from the container used in the subsequent etching operation. The transfer of the object to be etched from a container that has been improved in the resistance to the plasma of the photoresist layer results in a decrease in the yield of the transfer operation or a decrease in production efficiency due to the transfer time. In addition, providing a container which is different from the etching container for improving the plasma resistance not only requires extra space, but also leads to an increase in cost. In addition, instead of providing a container for improving the plasma resistance of the etching container, an ultraviolet irradiation means or heating means may be added to the etching vessel. However, the ultraviolet irradiation means or heating means are still required, and costs are still caused. rise. On the other hand, when the melting target portion is directly covered by the photoresist layer, the photoresist layer is exposed and developed later to form an opening pattern, which reduces the design dimensional accuracy of the opening pattern. Therefore, an anti-reflection layer is interposed between the etching target portion and the photoresist layer. Proposal: The anti-reflection layer is etched by a plasma containing a gas having a substance of C and F, such as a mixed gas of C4F8 and 02, a mixed gas of HBr, CF4, and He, and a mixed gas of CH2F2, CF4, and He ( Japanese Patent Application Laid-Open No. 10-26 1 62). Also known as an etching gas system for the anti-reflection layer is a mixed gas of, for example, CF4 and 02 (Japanese Patent Application Laid-Open No. 7-307328). However, in a state where the antireflection layer is etched by a plasma of a mixed gas of C4F8 and 〇2 or a mixture of CF4 and 〇7- (3) (3) 200401365, the surface of the ArF photoresist layer is Wrinkles also occur, or vertical streaks occur in the ArF photoresist layer, or a considerable amount of the ArF photoresist layer that becomes the mask layer is also etched, and cannot function as a mask. [Summary of the Invention] [Disclosure of the Invention] The object of the present invention is to provide an etching resistance of an organic layer such as an ArF photoresist layer, which does not cause a decrease in yield or a decrease in production efficiency and does not cause an increase in cost. Plasma treatment method. In addition, the present invention provides a plasma processing method capable of improving the etching resistance of an organic layer and performing plasma etching. In addition, the present invention provides an electric paddle resistance that can highly maintain a cover layer such as an ArF photoresist layer or an F2 photoresist layer when etching an anti-reflection layer or an etching target layer of a substrate thereof. Plasma treatment method. In addition, the present invention provides a method for suppressing surface cracking of a mask layer such as an ArF photoresist layer or an F2 photoresist layer, while maintaining a good etching selection ratio, and performing uranium etch at a high etching rate. An electric paddle treatment method for an anti-reflection layer of a material or an etching target layer. According to the first aspect of the present invention, a plasma processing method is provided, which includes a work of preparing a processed object having an organic layer on a surface, and irradiating the processed object with a plasma to improve the organic layer. Plasma resistance operation. -8- (4) (4) 200401365 According to the second aspect of the present invention, a plasma treatment method is provided to 'have the work of preparing a processed object having an organic layer on the surface, and the above-mentioned processed object. Plasma irradiation with a processing gas containing H2 and an inert gas to improve the plasma resistance of the organic layer. According to the third aspect of the present invention, a plasma treatment method is provided, which includes: preparing an object to be treated with an organic layer on the surface; and irradiating the object to be treated with a substance containing tritium and an inert gas. Plasma processing gas to improve the plasma resistance of the organic layer. According to the fourth aspect of the present invention, there is provided a plasma processing method comprising the steps of preparing a surface-treated object having a photoresist layer composed of an ArF photoresist or an F2 photoresist on a surface, and a process for The object to be treated is irradiated with a plasma containing a processing gas having a substance containing tritium to improve the plasma resistance of the photoresist layer. According to the fifth aspect of the present invention, there is provided a plasma processing method including the operation of arranging a processing object having an etching target portion and an organic layer covering the etching target portion to form an opening pattern in a processing container. ; In the aforementioned processing container, plasma processing is performed on a process gas containing a substance having tritium, and in the aforementioned organic layer, the plasma is irradiated; and in the aforementioned processing container, plasma etching is performed on the etching gas; The etching operation is performed on the etching target portion through the opening pattern. According to the sixth aspect of the present invention, there is provided a plasma treatment method including: preparing, on a surface, a photoresist layer composed of an ArF photoresist or F2 photo-9- (5) 200401365 resist; And the operation of improving the plasma resistance of the photoresist layer by irradiating the plasma of the treatment object with a treatment gas containing a substance having N.

如果藉由本發明之第7觀點的話,則提供一種電漿處 理方法,具有:將具有蝕刻對象部、覆蓋該蝕刻對象部之 反射防止層和覆蓋該反射防止層而形成開口圖案之由ArF 光阻劑或F2光阻劑所構成之光阻劑層之被處理體,配置 在處理容器內之作業;在前述處理容器,導入處理氣體之 作業;對於前述處理氣體,進行電漿化之作業;以及,將 該電漿作用在前述被處理體,提高前述光阻劑層之耐電漿 性,同時,通過前述開口圖案,而對於前述反射防止層, 來進行蝕刻之作業。According to the seventh aspect of the present invention, there is provided a plasma processing method including an ArF photoresist having an etching target portion, an antireflection layer covering the etching target portion, and an opening pattern formed by covering the antireflection layer. A photoresist layer composed of a photoresist or an F2 photoresist, disposed in a processing container; introducing a processing gas into the processing container; and performing plasma processing on the processing gas; and The plasma is applied to the object to be treated to improve the plasma resistance of the photoresist layer, and at the same time, the anti-reflection layer is etched through the opening pattern.

如果藉由本發明之第8觀點的話,則提供一種電漿處 理方法’具有:在處理容器中,配置具有蝕刻對象層 '覆 蓋該蝕刻對象層之反射防止層和覆蓋該反射防止層而形成 開口圖案之罩幕層之被處理體之作業;在前述處理容器內 、導入包含H2之處理氣體之作業;對於前述處理氣體, 進行電漿化之作業;以及,藉由前述電漿,而通過前述罩 幕層之開口圖案,使得前述反射防止層對於前述罩幕層, 來選擇性地進行蝕刻之作業。 如果藉由本發明之第9觀點的話,則提供一種電漿處 理方法’具有:將具有蝕刻對象層和形成覆蓋該蝕刻對象 層之開口圖案而由ArF光阻劑或F2光阻劑所構成之罩幕 層之被處理體,載置在載置台之作業;對於cf4和H2進 -10- (6) (6)200401365 行電漿化,通過前述罩幕層之開口圖案而對於前述蝕刻對 象層,來進行蝕刻,一直到途中爲止之初期蝕刻作業:以 及,在該初期蝕刻作業後,對於包含氟碳之蝕刻氣體來進 行電漿化,蝕刻前述蝕刻對象層之主蝕刻作業^ 如果藉由本發明之第1 〇觀點的話,則提供一種電漿 處理方法,具有:將具有蝕刻對象層、覆蓋該蝕刻對象層 之反射防止層和形成覆蓋該反射防止層之開口圖案而由丙 烯酸樹脂所構成之罩幕層之被處理體,載置在載置台之作 業;對於c F4進行電漿化,通過前述罩幕層之開口圖案而 對於前述反射防止層來進行蝕刻之第1蝕刻作業;對於 CF4和H2進行電漿化,通過前述罩幕層之開口圖案而對 於前述蝕刻對象層,來進行蝕刻,一直到途中爲止之第2 蝕刻作業;以及,在該第2蝕刻作業後,對於包含氟碳之 蝕刻氣體來進行電漿化,蝕刻前述蝕刻對象層之第3蝕刻 作業。 如果藉由本發明之第1 1觀點的話,則提供一種電漿 處理方法,具有:在配置於處理容器中之感測器’載置具 有蝕刻對象層和覆蓋該蝕刻對象層而形成開口之罩幕層之 被處理體之作業;在前述處理容器內,導入包含H2之處 理氣體之作業;在前述感測器,供應100MHz以上頻率之 高頻電力和3MHz以上頻率之高頻電力之作業;以及’使 得前述處理容器內之壓力成爲13.3Pa(100mTorr)以下之 作業。 如果藉由本發明之第1 2觀點的話,則提供一種電獎 -11 - (7) (7)200401365 處理方法,具有:將具有蝕刻對象部和形成覆蓋該蝕刻對 象部之開口圖案而由ArF光阻劑或F2光阻劑所構成之罩 幕層之被處理體’載置在處理容器內之作業;在前述處理 容器內’對於包含具有N之物質之處理氣體來進行電漿 化,照射在前述光阻劑層之作業;以及,在前述處理容器 內,對於蝕刻氣體來進行電漿化,通過前述開口圖案而對 於前述t虫刻對象部,來進行蝕刻之作業。 如果藉由本發明之第1 3觀點的話,則提供一種電漿 處理方法,具有:將具有蝕刻對象部、覆蓋該蝕刻對象部 之反射防止層和形成覆蓋該反射防止層之開口圖案而由 A r F光阻劑或F 2光阻劑所構成之光阻劑層之被處理體, 配置在處理容器內之作業;在前述處理容器內,對於包含 具有N之物質之處理氣體來進行電漿化,通過前述開口 圖案而對於前述反射防止層,來進行蝕刻之第1蝕刻作業 ;以及,在前述處理容器內,對於蝕刻氣體來進行電漿化 ,通過前述開口圖案而對於前述蝕刻對象部,來進行蝕刻 之第2鈾刻作業。 如果藉由本發明之第1 4觀點的話,則提供一種電漿 處理方法,具有:將具有蝕刻對象層和形成覆蓋前述蝕刻 對象層之開口圖案之有機罩幕層之被處理體,配置在具備 包含Si之物質露出部之構成構件之處理容器內之作業; 在前述處理容器內,導入由H2、N2和He所構成之群組 而選出之至少1種處理氣體之作業;以及,對於前述處理 氣體來進行電漿化,對於前述有機罩幕層來進行電漿處理 -12- (8) (8)200401365 之作業。 如果藉由本發明之第】5觀點的話,則提供一種電獎 處理方法’具有:將具有蝕刻對象層、覆蓋前述蝕刻對象 層之有機膜和形成覆蓋前述有機膜之開口圖案之有機罩幕 層之被處理體,配置在具備包含Si之物質露出部之構成 構件之處理容器內之作業;在前述處理容器內,導入蝕刻 氣體之作業;對於前述蝕刻氣體來進行電漿化,通過前述 有機罩幕層之開口圖案而對於前述有機膜,來進行齡刻之 作業;在前述處理容器內,導入由H2、N2和He所構成 之群組而選出之至少1種處理氣體之作業;以及,對於前 述處理氣體來進行電漿化,對於前述有機罩幕層來進行電 漿處理之作業。 如果藉由本發明之第1 6觀點的話,則提供一種電漿 處理方法,具有:將具有蝕刻對象層、覆蓋前述蝕刻對象 層之有機膜和形成覆蓋前述有機膜之開口圖案之有機罩幕 層之被處理體,配置在具備包含Si之物質露出部之構成 構件之處理容器內之作業;在前述處理容器內,導入H2 之作業;以及,對於導入之h2來進行電漿化,通過前述 有機罩幕層之開口圖案而對於前述有機膜’來進行蝕刻之 作業。 如果藉由本發明之第1 7觀點的話’則提供一種電獎 處理方法,具有:將具有蝕刻對象層和形成覆蓋該鈾刻對 象層之開口圖案而由ArF光阻劑或F2光阻劑所構成之光 阻劑層之被處理體,配置在處理容器內之作業;在前述收 -13- (9) (9)200401365 納被處理體之處理容器內,導入包含c2F4之處理氣體之 作業;對於前述處理氣體來進行電漿化之作業;以及’藉 由前述處理氣體之電漿,而使得前述被處理體中之蝕刻對 象層,通過前述光阻劑層之開口圖案,來進行蝕刻之作業 〇 如果藉由本發明之第1 8觀點的話,則提供一種電漿 處理方法,具有:將具有蝕刻對象層和形成覆蓋該蝕刻對 象層之開口圖案之罩幕層之被處理體,配置在處理容器內 之作業;在前述收納被處理體之處理容器內,導入包含 c2F4和02之處理氣體之作業;對於前述處理氣體來進行 電漿化之作業;以及,藉由前述處理氣體之電漿,而使得 前述被處理體中之蝕刻對象層,通過前述罩幕層之開口圖 案,來進行蝕刻之作業。 如果藉由本發明之第1 9觀點的話,則提供一種電漿 處理方法’具有:將具有蝕刻對象部、覆蓋該蝕刻對象部 之反射防止層和形成覆蓋該反射防止層之開口圖案而由 ArF光阻劑或F2光阻劑所構成之光阻劑層之被處理體, 配置在處理容器內之作業;在前述處理容器內,對於包含 具有C和F之物質及具有η之物質之蝕刻氣體來進行電 漿化’透過前述開口圖案而蝕刻前述反射防止層之作業; 以及,蝕刻前述蝕刻對象部之作業。 如果藉由本發明之第2 0觀點的話,則提供一種電漿 處理方法’具有:將具有蝕刻對象部、覆蓋該蝕刻對象部 之反射防止層和形成覆蓋該反射防止層之開口圖案之罩幕 -14 - (10) (10)200401365 層之被處理體,配置在處理容器內之作業;在前述處理容 器內’對於包含具有C和F之物質及氫碳之蝕刻氣體來 進行電漿化,透過前述開口圖案而蝕刻前述反射防止層之 作業;以及,蝕刻前述蝕刻對象部之作業。 如果藉由本發明之第2 1觀點的話,則提供一種電漿 處理方法,具有:將具有蝕刻對象部、覆蓋該蝕刻對象部 之反射防止層和形成覆蓋該反射防止層之開口圖案之罩幕 層之被處理體,配置在處理容器內之作業;在前述處理容 器內,對於包含具有C和F之物質及具有C、Η和F而Η 原子數相對於F原子數之比値3以上之物質之蝕刻氣體來 進行電漿化,透過前述開口圖案而蝕刻前述反射防止層之 作業;以及,蝕刻前述蝕刻對象部之作業。 如果藉由本發明之第22觀點的話,則提供一種電漿 處理方法,具有:將具有蝕刻對象部和形成覆蓋該蝕刻對 象部之開口圖案而由ArF光阻劑或F2光阻劑所構成之光 阻劑層之被處理體,配置在處理容器內之作業;在前述處 理容器內,對於包含具有C和F之物質及CO之處理氣體 來進行電獎化’將該電發照射在前述光阻劑層之作業;以 及,在前述處理容器內,對於蝕刻氣體來進行電漿化,藉 由該電漿,透過前述開口圖案,來蝕刻前述蝕刻對象部之 作業。 如果藉由本發明之第2 3觀點的話,則提供一種電漿 處理方法,具有:將具有触刻對象部、覆蓋該餓刻對象部 之反射防止層和形成覆蓋該反射防止層之開口圖案而由 -15- (11) (11)200401365According to the eighth aspect of the present invention, there is provided a plasma processing method 'having: in a processing container, an etching target layer is disposed' to cover the anti-reflection layer covering the etching target layer and covering the anti-reflection layer to form an opening pattern Operation of the object to be treated in the cover layer; operation of introducing a processing gas containing H2 into the processing container; plasma processing of the processing gas; and passing the cover through the plasma The opening pattern of the curtain layer enables the anti-reflection layer to selectively etch the cover curtain layer. According to the ninth aspect of the present invention, a plasma processing method is provided. The method includes a mask formed of an ArF photoresist or an F2 photoresist having an etching target layer and an opening pattern covering the etching target layer. The object to be treated in the curtain layer is placed on the mounting table. For cf4 and H2, -10- (6) (6) 200401365 lines are plasmatized, and for the etching target layer through the opening pattern of the mask layer, The initial etching operation until the middle of the process: and after this initial etching operation, plasma etching is performed on the etching gas containing fluorocarbon, and the main etching operation is performed to etch the aforementioned etching target layer. According to the tenth aspect, a plasma processing method is provided, which comprises an etching target layer, an anti-reflection layer covering the etching target layer, and a mask made of acrylic resin to form an opening pattern covering the anti-reflection layer. Layer to-be-processed object; placing c F4 into plasma, and feeding the anti-reflection layer through the opening pattern of the cover layer. The first etching operation of etching; the second etching operation of plasma etching of CF4 and H2, and the etching of the etching target layer through the opening pattern of the mask layer, until the middle of the etching operation; and (2) After the etching operation, plasma etching is performed on an etching gas containing fluorocarbon, and a third etching operation is performed to etch the aforementioned etching target layer. According to the eleventh aspect of the present invention, there is provided a plasma processing method including: placing a sensor having an etching target layer on a sensor disposed in a processing container, and forming a cover covering the etching target layer to form an opening. Work of layered objects to be processed; operation of introducing a processing gas containing H2 into the aforementioned processing container; operation of supplying high-frequency power at frequencies above 100 MHz and high-frequency power at frequencies above 3 MHz in the aforementioned sensors; and ' The operation to make the pressure in the processing container be 13.3 Pa (100 mTorr) or less. According to the twelfth aspect of the present invention, an electric award -11-(7) (7) 200401365 processing method is provided, which includes an ArF light having an etching target portion and forming an opening pattern covering the etching target portion. The object to be processed in the mask layer composed of a resist or F2 photoresist is placed in a processing container; in the aforementioned processing container, a processing gas containing a substance having N is plasmatized and irradiated on The operation of the photoresist layer; and the plasma treatment of an etching gas in the processing container, and the etching operation of the t-worm-etched part through the opening pattern. According to the thirteenth aspect of the present invention, there is provided a plasma processing method including: forming an antireflection layer having an etching target portion, covering the etching target portion, and forming an opening pattern covering the antireflection layer; The object to be processed with a photoresist layer composed of F photoresist or F 2 photoresist is disposed in a processing container; in the foregoing processing container, a processing gas containing a substance having N is plasmatized A first etching operation for etching the anti-reflection layer through the opening pattern; and plasma-etching the etching gas in the processing container, and using the opening pattern for the etching target portion, The second uranium etching operation is performed. According to the fourteenth aspect of the present invention, there is provided a plasma processing method comprising: arranging a processed object having an etching target layer and an organic mask layer forming an opening pattern covering the etching target layer, the target body including Operations in the processing container of the constituent members of the substance exposed portion of Si; introduction of at least one processing gas selected from the group consisting of H2, N2, and He into the processing container; and Plasma treatment is performed, and plasma treatment is performed on the aforementioned organic cover curtain layer. (12) (8) (8) 200401365. According to the fifth aspect of the present invention, an electric award processing method is provided. The method includes: an organic film having an etching target layer, an organic film covering the etching target layer, and an organic mask layer forming an opening pattern covering the organic film. The object to be processed is disposed in a processing container provided with a constituent member containing a substance exposed portion of Si. The operation of introducing an etching gas into the processing container is performed by plasma forming the etching gas through the organic mask. Layer opening pattern for the organic film to perform ageing operations; to introduce at least one processing gas selected from the group consisting of H2, N2, and He into the processing container; and for the foregoing Plasma treatment is performed by processing gas, and plasma treatment is performed on the organic cover layer. According to the sixteenth aspect of the present invention, there is provided a plasma processing method including: an organic film having an etching target layer, an organic film covering the etching target layer, and an organic mask layer forming an opening pattern covering the organic film; The object to be processed is disposed in a processing container having a constituent member containing a substance exposed portion of Si; the operation of introducing H2 into the processing container; and performing plasmaization of the introduced h2 through the organic cover The opening pattern of the curtain layer etches the organic film. According to the seventeenth aspect of the present invention, 'an electric prize processing method is provided, which includes an ArF photoresist or an F2 photoresist with an etching target layer and an opening pattern covering the uranium etching target layer. The photoresist layer of the object to be processed is arranged in the processing container; in the aforementioned collection of 13- (9) (9) 200401365, the processing container containing the object to be processed, the operation of introducing the processing gas containing c2F4; Plasmaization of the processing gas; and 'Electrosification of the target layer in the object to be processed by the plasma of the processing gas through the opening pattern of the photoresist layer. According to the eighteenth aspect of the present invention, there is provided a plasma processing method comprising: arranging a processing object having an etching target layer and a mask layer forming an opening pattern covering the etching target layer in a processing container; The operation of introducing the processing gas containing c2F4 and 02 into the processing container containing the object to be processed; the operation of plasmaizing the processing gas; , By plasma treatment of the gas, such that the etching target layer of the body being treated, etching work is performed through the opening pattern of the mask layer curtain. According to the nineteenth aspect of the present invention, a plasma processing method is provided. The method includes: having an etching target portion, an anti-reflection layer covering the etching target portion, and forming an opening pattern covering the anti-reflection layer, and using ArF light The object to be processed with a photoresist layer composed of a photoresist or F2 photoresist is disposed in a processing container. In the aforementioned processing container, for an etching gas containing a substance having C and F and a substance having η, Performing a plasma operation to etch the anti-reflection layer through the opening pattern; and an operation to etch the etching target portion. According to the twentieth aspect of the present invention, a plasma processing method is provided. The method includes: having an etching target portion, an anti-reflection layer covering the etching target portion, and a mask forming an opening pattern covering the anti-reflection layer- 14-(10) (10) 200401365 layer of the object to be disposed in the processing container; in the aforementioned processing container, the plasma of the etching gas containing substances containing C and F and hydrogen and carbon is transmitted through An operation of etching the anti-reflection layer by the opening pattern; and an operation of etching the etching target portion. According to the twenty-first aspect of the present invention, there is provided a plasma processing method including: an anti-reflection layer having an etching target portion, covering the etching target portion, and a mask layer forming an opening pattern covering the anti-reflection layer. The object to be processed is disposed in a processing container. In the foregoing processing container, for a substance containing C and F and a substance having C, Η, and F, the ratio of the number of Η atoms to the number of F atoms is 値 3 or more. Plasma etching using an etching gas, etching the anti-reflection layer through the opening pattern, and etching the object to be etched. According to the twenty-second aspect of the present invention, there is provided a plasma processing method including a light having an etched portion and forming an opening pattern covering the etched portion, and comprising light consisting of an ArF photoresist or an F2 photoresist. The object to be processed in the resist layer is disposed in a processing container. In the foregoing processing container, an electric treatment is performed on a processing gas containing a substance having C and F and CO. The light is irradiated to the photoresist. An operation of an agent layer; and an operation of plasma-etching an etching gas in the processing container, and using the plasma to etch the etching target portion through the opening pattern. According to the twenty-third aspect of the present invention, there is provided a plasma processing method comprising: forming an antireflection layer having a target portion to be etched, covering the portion to be engraved, and forming an opening pattern covering the antireflection layer; -15- (11) (11) 200401365

ArF光阻劑或F2光阻劑所構成之光阻劑層之被處理體· 配置在處理容器內之作業;在前述處理容器內,對於包含 具有C和F之物質及C Ο之第1蝕刻氣體來進行電漿化' 藉由該電漿,透過前述開口圖案,而對於前述反射防止層 來進行蝕刻之第1蝕刻作業;以及,在前述處理容器內' 對於第2蝕刻氣體來進行電漿化,藉由該電漿,透過前述 開口圖案,而對於前述蝕刻對象部,來進行蝕刻之第2蝕 刻作業。 如果藉由本發明之第2 4觀點的話,則提供一種電漿 處理方法,具有:將具有蝕刻對象部、覆蓋蝕刻對象部之 反射防止層和形成覆蓋該反射防止層之開口圖案之罩幕層 之被處理體,配置在處理容器內之作業;在前述處理容器 內,對於包含CF4和CO之第1蝕刻氣體來進行電漿化, 藉由該電漿,透過前述開口圖案,而對於前述反射防止層 來進行蝕刻之第1蝕刻作業;以及,在前述處理容器內, 對於第2蝕刻氣體來進行電漿化,藉由該電漿,透過前述 開口圖案,而對於前述蝕刻對象部,來進行蝕刻之第2蝕 刻作業。 如果藉由本發明之第2 5觀點的話,則提供一種電漿 處理方法,具有:將具有蝕刻對象層、覆蓋該蝕刻對象層 之有機反射防止層和形成覆蓋該有機反射防止層之開口圖 案而由ArF光阻劑或F2光阻劑所構成之光阻劑層之被處 理體,配置在處理容器內之作業;在該處理容器內,導入 具有包含S i之物質之蝕刻氣體之作業;以及,對於該蝕 -16- (12) (12)200401365 刻氣體來進行電漿化,通過前述光阻劑層之開口圖案,來 蝕刻有機反射防止層之作業。 如果藉由本發明之第2 6觀點的話,則提供一種電漿 處理方法,具有:在位處於處理容器中之感測器、載置具 有蝕刻對象層和覆蓋該蝕刻對象層而形成開口之罩幕層之 被處理體之作業;在前述處理容器內而存在前述被處理體 和表面至少一部分成爲Si之構件下,於前述處理容器中 ,導入惰性氣體之作業;將使得前述惰性氣體至少一部分 成爲離子化之高頻能量,施加至前述處理容器中之作業; 在前述處理容器中,導入蝕刻氣體之作業;對於該蝕刻氣 體來進行電漿化之作業:以及,藉由前述蝕刻氣體之電漿 ’而在前述處理容器中,透過前述罩幕層之開口圖案’對 於前述蝕刻對象層來進行蝕刻之作業。 如果藉由本發明之第2 7觀點的話,則提供一種電漿 處理方法,具有:在位處於處理容器中之感測器,載置具 有蝕刻對象層和覆蓋該蝕刻對象層而形成開口圖案之罩幕 層之被處理體之作業;在前述處理容器內,於前述罩幕層 之表面,形成Si含有層之之作業;在前述處理容器內’ 導入蝕刻氣體之作業;對於前述蝕刻氣體來進行電漿化之 作業;以及’在前述處理容器中,藉由前述蝕刻氣體之電 漿,通過前述罩幕層之開口圖案,而對於前述飩刻對象層 來進行蝕刻之作業。 如果藉由本發明之第2 8觀點的話,則提供一種電漿 處理方法’具有:準備在內部設置表面至少一部分成爲 -17- (13) 200401365The object to be processed of the photoresist layer composed of ArF photoresist or F2 photoresist · Arranged in a processing container; in the aforementioned processing container, the first etching containing a substance having C and F and C 0 Plasma by gas' through the plasma through the opening pattern to perform the first etching operation on the anti-reflection layer; and in the processing container, to perform the plasma on the second etching gas The second etching operation for etching is performed on the etching target portion by the plasma through the opening pattern. According to the twenty-fourth aspect of the present invention, there is provided a plasma processing method comprising: forming a mask layer having an etching target portion, an anti-reflection layer covering the etching target portion, and a mask layer forming an opening pattern covering the anti-reflection layer; The object to be processed is disposed in a processing container. In the processing container, the first etching gas containing CF4 and CO is plasmatized, and the plasma is transmitted through the opening pattern to prevent the reflection. And performing a first etching operation to perform an etching step; and plasma-forming a second etching gas in the processing container, and the plasma is etched through the opening pattern and the etching target portion is etched. The second etching operation. According to the twenty-fifth aspect of the present invention, there is provided a plasma processing method including: forming an organic reflection prevention layer having an etching target layer, covering the etching target layer, and forming an opening pattern covering the organic reflection preventing layer, and ArF photoresist or F2 photoresist layer of the photoresist layer of the object to be processed in the processing container; the processing container, the introduction of the substance containing Si etching gas operation; and, The etching-16- (12) (12) 200401365 etching gas is used for plasma, and the opening pattern of the photoresist layer is used to etch the organic reflection prevention layer. According to the twenty-sixth aspect of the present invention, a plasma processing method is provided, which includes a sensor in a processing container, placing a layer having an etching target layer, and forming a cover covering the etching target layer to form an opening. The operation of the layered object; the operation of introducing an inert gas into the processing container under the structure in which the at least a portion of the object and the surface becomes Si in the processing container; at least a part of the inert gas becomes ions The operation of applying the high-frequency energy converted into the aforementioned processing container; the operation of introducing an etching gas into the aforementioned processing container; the operation of plasmaizing the etching gas: and the plasma of the aforementioned etching gas' In the processing container, an etching operation is performed on the etching target layer through the opening pattern of the cover layer. According to the twenty-seventh aspect of the present invention, there is provided a plasma processing method including a sensor in a processing container, and placing a cover having an etching target layer and a cover covering the etching target layer to form an opening pattern. The operation of the object to be treated in the curtain layer; the operation of forming an Si-containing layer on the surface of the cover layer in the processing container; the operation of introducing an etching gas in the processing container; the electrical operation of the etching gas. The operation of slurrying; and 'the operation of performing etching on the etched object layer through the opening pattern of the mask layer by the plasma of the etching gas in the processing container. According to the twenty-eighth aspect of the present invention, a plasma processing method is provided. The method includes: preparing at least a part of the surface to be -17- (13) 200401365

Si之構件、第1電極和位處在面對該第1電極之對向位 置上之第2電極之處理容器之作業;在前述處理容器內之 前述第1電極,載置具有蝕刻對象層和覆蓋該蝕刻對象層 而形成開口圖案之罩幕層之被處理體之作業;在前述處理 容器內,導入惰性氣體之作業;在前述第1電極,施加高 頻電力之作業;在前述第2電極,施加高頻電力之作業; 在前述處理容器中,導入蝕刻氣體之作業;以及,在前述 處理容器中,藉由利用前述高頻電力所電漿化之蝕刻氣體 ,而通過前述罩幕層之開口圖案,對於前述蝕刻對象層來 進行蝕刻之作業。The operation of a component of Si, a first electrode, and a processing container of a second electrode at a position facing the first electrode; and the first electrode in the processing container is provided with an etching target layer and Covering the object layer to be etched to form an opening pattern of the object to be processed; work of introducing an inert gas into the processing container; work of applying high-frequency power to the first electrode; and work of the second electrode The operation of applying high-frequency power; the operation of introducing an etching gas in the aforementioned processing container; and, in the aforementioned processing container, by using the etching gas that is plasmatized by the aforementioned high-frequency power, it passes through the cover layer. The opening pattern is etched to the aforementioned etching target layer.

如果藉由本發明之第29觀點的話,則提供一種電漿 處理方法,具有:在位處於處理容器中之感測器,載置具 有鈾刻對象層和覆蓋該蝕刻對象層而形成開口圖案並且由 ArF光阻劑或f2光阻劑所構成之光阻劑層之被處理體之 作業;在前述處理容器中,導入包含Si化合物之蝕刻氣 體之作業;對於前述蝕刻氣體來進行電漿化之作業;以及 ’在前述處理容器中,藉由前述蝕刻氣體之電漿’通過前 述光阻劑層之開口圖案,而對於前述蝕刻對象層來進行蝕 刻之作業。 【實施方式】 〔發明之最佳實施形態〕 以下,參照附件圖式而就本發明之實施形態’來進行 說明。 -18- (14) (14)200401365 第1圖係顯示可實施本發明之電漿處理方法之電漿處 理裝置之某一例之剖面圖。 該電漿處理裝置1係具有處理容器2。處理容器2係 藉由金屬、例如表面進行氧化處理之鋁所形成而進行保全 接地。在處理容器2內之底部,透過絕緣體3,設置發揮 作爲平行平板電極之下部電極功能之感測器5。在該感測 器 5,連接高通濾波器(HPF ) 6,此外,還透過整合器 5 1而連接第2高頻電源5 0。在感測器5上,設置靜電夾 頭11,在其上面,載置半導體晶圓等之被處理體W。 靜電夾頭1 1係成爲在絕緣體間而介在電極1 2之構造 ,藉著由連接在電極1 2之直流電源1 3而施加直流電壓, 以便於靜電吸附被處理體W。接著,配置由氧化鋁、Si 或Si02等之所構成之聚焦環15,以便於包圍被處理體W ,提高蝕刻之均一性。 此外,在感測器5之上方,設置由s i、S i Ο 2或非結 晶質碳等之所構成之蓮蓬頭狀之上部電極板24,支持在 支持體25上而面對著感測器5。藉由上部電極板24和支 持體2 5而構成面對著感測器5之平行平板電極之上部電 極2]。在上部電極21’連接低通濾波器42,並且’還透 過整合器41而連接第1高頻電源40。 在上部電極21上面之中央’設置氣體導入口 26’在 該氣體導入口 26,連接氣體供應管27,在該氣體供應管 2 7,由氣體導入口 2 6側開始’依序地連接閥2 8 '質量流 控制器29和處理氣體供應源30。由該處理氣體供應源30 -19- (15) (15)200401365 開始而供應既定之處理氣體。 另一方面’在處理容器2之底部,連接排氣管31 在該排氣管31,連接排氣裝置35。此外,在處理容器 之側壁’具有閘閥3 2,在和相鄰接之裝載鎖定室(並 圖示)間,搬送被處理體W。 在這樣構成之裝置,首先,開放閘閥3 2,將被處 體W搬入至處理容器2內,配置在靜電夾頭η上。接 ’關閉閘閥32,在藉由排氣裝置35而對於處理容器2 來進行減壓後,開放閥2 8,由蝕刻氣體供應源3 0來供 既定之處理氣體,使得處理容器2內之壓力成爲既定値 在該狀態下,由第]、第2高頻電源40、50來供 高頻電力,對於處理氣體來進行電漿化,實施對於被處 體W之既定膜之電漿處理(耐電漿性提升處理或電漿 刻)。在該狀態下,在由第1、第2高頻電源4 0、5 0 供應高頻電力之時間前後,施加直流電壓至靜電夾頭 內之電極]2,將被處理體W靜電吸附在靜電夾頭11上 在該狀態下,實施既定之電漿處理。 第2圖係顯示實施本發明之電漿處理裝置之其他例 剖面圖。 該電漿蝕刻裝置61係具有處理容器62。處理容器 係成爲由小直徑之上部62a和大直徑之下部62b所構成 附段圓筒狀,藉由金屬、例如表面進行氧化處理之鋁所 成而進行接地。在處理容器62內之底部,透過絕緣體 而設置由發揮作爲平行平板電極之下部電極功能之鋁所 -20- (16) 200401365 成之感測器65。在感測器65上,設置靜電夾頭71,在其 上面’載置半導體晶圓等之被處理體W。 靜電夾頭7 1係成爲在絕緣體間而介在電極7 2之構造 ,藉由施加連接在電極7 2之直流電源7 3而靜電吸附被處 理體W。接著,配置由Si或Si〇2等之所構成之聚焦環75 ,以便於包圍被處理體W,提高蝕刻之均一性。According to the twenty-ninth aspect of the present invention, there is provided a plasma processing method including: a sensor positioned in a processing container; placing a uranium-etched object layer and covering the etching object layer to form an opening pattern; and ArF photoresist or f2 photoresist layer composed of photoresist layer to be processed; the aforementioned processing container, the introduction of an etching gas containing Si compounds; the aforementioned etching gas to plasma ; And 'In the processing container, the plasma of the etching gas passes through the opening pattern of the photoresist layer, and the etching target layer is etched. [Embodiment] [Best Embodiment of the Invention] Hereinafter, an embodiment of the present invention will be described with reference to the attached drawings. -18- (14) (14) 200401365 Figure 1 is a cross-sectional view showing an example of a plasma processing apparatus that can implement the plasma processing method of the present invention. The plasma processing apparatus 1 includes a processing container 2. The processing container 2 is formed by metal, for example, aluminum whose surface is oxidized, and is grounded. A sensor 5 is provided on the bottom of the processing container 2 through the insulator 3 to function as an electrode at the lower portion of the parallel flat electrode. A high-pass filter (HPF) 6 is connected to the sensor 5, and a second high-frequency power source 50 is also connected through the integrator 51. An electrostatic chuck 11 is provided on the sensor 5, and a processing object W such as a semiconductor wafer is placed thereon. The electrostatic chuck 11 has a structure in which an electrode 12 is interposed between insulators, and a DC voltage is applied by a DC power source 13 connected to the electrode 12 in order to electrostatically adsorb the object to be processed W. Next, a focus ring 15 made of alumina, Si, or SiO 2 is arranged so as to surround the object to be processed W and improve the uniformity of etching. In addition, a shower head-shaped upper electrode plate 24 made of si, Si 02, amorphous carbon, or the like is provided above the sensor 5 and supported on a support 25 to face the sensor 5 . The upper electrode plate 24 and the supporting body 25 constitute the upper electrode 2 of the parallel plate electrode facing the sensor 5]. A low-pass filter 42 is connected to the upper electrode 21 ', and a first high-frequency power source 40 is also connected through the integrator 41. A gas introduction port 26 is provided at the center of the upper electrode 21, and a gas supply pipe 27 is connected to the gas introduction port 26. The gas supply pipe 27 is connected to the valve 2 sequentially from the gas introduction port 26 side. 8'mass flow controller 29 and process gas supply source 30. The predetermined processing gas is supplied from this processing gas supply source 30 -19- (15) (15) 200401365. On the other hand, an exhaust pipe 31 is connected to the bottom of the processing container 2 and an exhaust device 35 is connected to the exhaust pipe 31. In addition, a gate valve 32 is provided on the side wall 'of the processing container, and the object to be processed W is transferred between the load lock chamber (not shown) adjacent thereto. In the device having such a configuration, first, the gate valve 32 is opened, and the object W is carried into the processing container 2 and placed on the electrostatic chuck η. After closing the gate valve 32 and depressurizing the processing container 2 by the exhaust device 35, the valve 28 is opened, and the etching gas supply source 30 is used to supply a predetermined processing gas to make the pressure in the processing container 2 In this state, high-frequency power is supplied from the second and second high-frequency power sources 40 and 50, and the processing gas is plasmatized, and the plasma treatment of the predetermined film of the object W is performed (electric resistance Slurry enhancement treatment or plasma engraving). In this state, before and after the high-frequency power is supplied from the first and second high-frequency power sources 40 and 50, a DC voltage is applied to the electrode in the electrostatic chuck] 2 to electrostatically adsorb the object W to be electrostatically charged. In this state, the chuck 11 is subjected to a predetermined plasma treatment. Fig. 2 is a sectional view showing another example of a plasma processing apparatus embodying the present invention. The plasma etching apparatus 61 includes a processing container 62. The processing vessel has a cylindrical shape with an upper portion 62a having a small diameter and a lower portion 62b having a large diameter, and is grounded by a metal such as aluminum whose surface is oxidized. A sensor 65 made of aluminum -20- (16) 200401365, which functions as the lower electrode of the parallel plate electrode, is provided at the bottom of the processing container 62 through an insulator. An electrostatic chuck 71 is provided on the sensor 65, and a to-be-processed object W such as a semiconductor wafer is placed on its top surface '. The electrostatic chuck 7 1 has a structure in which an electrode 72 is interposed between insulators. A DC power source 7 3 connected to the electrode 72 is applied to electrostatically adsorb the processing object W. Next, a focus ring 75 made of Si, SiO 2 or the like is arranged so as to surround the object to be processed W and improve the uniformity of etching.

此外’在感測器65之上方,設置蓮蓬頭狀之由Si等 之所構成之上部電極板81,支持在處理容器62之上部 62a而面對著感測器65。處理容器62係也發揮作爲面對 著感測器之平行平板型電極之功能。在處理容器62之上 部62a之周圍,可自由旋轉地設置多極環磁鐵82。In addition, above the sensor 65, a shower head-shaped upper electrode plate 81 made of Si or the like is provided, and is supported on the upper portion 62a of the processing container 62 to face the sensor 65. The processing container 62 also functions as a parallel plate-type electrode facing the sensor. A multi-pole ring magnet 82 is rotatably provided around the upper portion 62a of the processing container 62.

在處理容器62上面之中央,設置氣體導入口 86,在 該氣體導入口 86,連接氣體供應管87,在該氣體供應管 8 7,由氣體導入口 8 6側開始,依序地連接閥8 8、質量流 控制器89和處理氣體供應源90。由該處理氣體供應源90 開始而供應既定之處理氣體。 另一方面,在處理容器62之底部,連接排氣管91, 在該排氣管91,連接排氣裝置95。此外,在處理容器62 之側壁,具有閘閥(並未圖示),在和相鄰接之裝載鎖定 室(並未圖示)間,搬送被處理體W。 在成爲下部電極之感測器6 5,透過整合器]〇 0而連 接第1高頻電源101和第2高頻電源1〇2。第1、第2高 頻電源1 0 1、1 0 2之頻率係分別爲例如1 〇 〇 Μ Η z和3.2 Μ Η z -21 - (17) (17)200401365 在這樣構成之裝置,首先,開放閘閥(並未圖示), 將被處理體w搬入至處理容器62內,配置在靜電夾頭71 上。接著,關閉閘閥,在藉由排氣裝置95而對於處理容 器6 2內來進行減壓後’開放閥8 8,由飩刻氣體供應源9 0 來供應既定之處理氣體,使得處理容器62內之壓力成爲 既定値。 在該狀態下’由第1、第2高頻電源ι〇1、1〇2來供 應高頻電力,對於處理氣體來進行電漿化,實施對於被處 理體W之既定膜之電漿處理(耐電漿性提升處理或電漿 蝕刻)。在該狀態下,在由第1、第2高頻電源1 〇 1、1 0 2 來供應高頻電力之時間前後,施加直流電壓至靜電夾頭 7 1內之電極72,將被處理體W靜電吸附在靜電夾頭7 1 上,在該狀態下,實施既定之電漿處理。 接著,就本發明之電漿處理方法之實施形態而進行說 明。 (第〗實施形態) 在此,使用第1圖所示之電漿處理裝置1,實施:對 於第3圖所示之具有成爲蝕刻對象層之Si 02膜121和成 爲覆蓋該5丨02膜121之罩幕層並且由ArF光阻劑或F2* 阻劑所構成之光阻劑層】22的被處理體W,進行雷射照射 ,來提高光阻劑層1 22之耐電漿性之作業;以及,在該作 業後,以光阻劑層1 22來作爲罩幕,對於蝕刻對象層1 2 1 來進行電漿蝕刻之作業。 -22- (18) (18)200401365 作爲A r F光阻劑或F 2光阻劑’係可以使用含脂環族 丙烯酸樹脂、環烯烴樹脂、環烯烴-順丁烯二酸酐樹脂、 甲基丙烯酸樹脂等。 首先’開放閘閥3 2 ’將被處理體W搬入至處理容器 2內’配置在靜電夾頭1 1上。接著,關閉閘閥3 2,在藉 由排氣裝置35而對於處理容器2內來進行減壓後,開放 閥2 8 ’由處理氣體供應源3 0來供應處理氣體、例如H2, 使得處理容器2內之壓力成爲既定値、最好是13.3 Pa ( lOOmTorr)以下 '例如6.67Pa(50mTorr)。在該狀態下 ,對於上部電極2 1和成爲下部電極之感測器5來施加高 頻電力,對於處理氣體來進行電漿化,在被處理體 W中 之光阻劑層122,進行電漿照射。此時,在上下電極來供 應高頻電力之時間前後,施加直流電源1 3至靜電夾頭1 1 內之電極1 2,將被處理體W靜電吸附在靜電夾頭]1上。 爲了取代H2電漿,因此,可以照射:包含Η;:和He 、Ne、Ar ' Kr、Xe等之惰性氣體之處理氣體之電漿或者 是其他具有Η之物質之電漿、包含具有Η之物質和其他 物質、例如惰性氣體之處理氣體之電漿。作爲其他具有Η 之物質係可以列舉例如ΝΗ3。藉由這些氣體之照射而提高 成爲有機層之光阻劑層1 2 2之耐電漿性。詳細之機構係不 一定明確,但是,認爲:具有Η之電漿係促進成爲有機 層之光阻劑層]22之交聯反應,或者是藉由使得C—Ο鍵 或C - Η鍵變成爲C-C鍵而強化化學鍵’提高耐電漿性 。由容易處理來看的話,則作爲具有Η之物質係最好是 -23- (19) (19)200401365 前述H2或NH3。NH3係也是具有N之物質,但是’作爲 處理氣體係也可以是其他具有N之物質、例如包含N2。 N2係也具有所謂容易處理之優點。如果由藉著也使用具 有N之物質而提高光阻劑層1 22之耐電漿性來看的話’ 作爲處理氣體係可以不使用具有Η之物質而使用具有N 之物質。提高該狀態下之耐電漿性之詳細機構係不一定明 確,但是,認爲··結合Ν和ArF光阻劑中之C而在ArF 光阻劑之表面,形成CN系保護膜’提高ArF光阻劑之耐 電漿性。在處理氣體包含N2等之具有N之物質之狀態下 ,最好是還包含具有Η之物質。由於認爲藉由Η之存在 而促進Ν和C間之結合之緣故。作爲具有Η之物質係可 以使用由Η2、CHF3、CH2F2、CH3F中而選出之1種以上 〇 在以上這樣而僅在既定時間來照射電漿後,停止處理 氣體之供應及高頻電力之施加。 然後,使得處理容器2內之壓力,成爲適合於蝕刻作 業之既定値、例如2.0Pa ( I 5mTo:n·),由處理氣體供應源 3 0來供應蝕刻氣體。作爲蝕刻氣體係最好是包含氟碳之 氣體、例如包含C5F8者。作爲具體例係可以列舉C5F8 + 02 + Ar。蝕刻對象部係 Si02層,在蝕刻氣體成爲包含 C5F8之狀態下,成爲蝕刻對象部之Si〇2膜m對於成爲 有機層之光阻劑層1 2 2之選擇比(蝕刻對象部之鈾刻速度 /有機層之蝕刻速度)係變高。即使是在C5F8中,也最 好是選擇比更高之直鏈c5F8,即使是在其中,也特別是 -24- (20) (20)200401365 在使用1 ’ 1 ’ 1,4,4,5,5,5 —八氟—2 —戊炔(以下 ,記載爲p 2 — CSF8」。)之狀態下,前述選擇比係變得 極爲大。此外,作爲蝕刻氣體係也適合爲包含C4F6者。 藉由使用C4F6而在蝕刻作業,於ArF光阻劑上’堆積聚 合物,因此,並無發生光阻劑之孔洞減少,可以在仍然維 持所要求之開口形狀之狀態下,形成蝕刻孔洞。 像這樣,流動蝕刻氣體,同時,在上部電極2 1和成 爲下部電極之感測器5,來施加高頻電力,對於蝕刻氣體 來進行電漿化,藉由該電漿,以光阻劑層1 22作爲罩幕, 而蝕刻Si02膜121。 在蝕刻中,藉由終點檢測器(並未圖示)而檢測既定 之發光強度,根據這個而結束蝕刻。 此外,蝕刻對象部係並非限定在Si02膜,也可以適 用在 TEOS、BPSG、PSG、SOG' 熱氧化膜、HTO、FSG、 有機系氧化Si膜' CORAL (Novel as公司)等之氧化膜( 氧化合物)或低介電質有機絕緣膜等之蝕刻上。在該狀態 下,可以隨著蝕刻對象部之材質,而使用僅在處理氣體來 添加其他氣體之氣體,來作爲蝕刻氣體。如果可以像這樣 而在照射處理氣體之電漿之作業後、僅藉由添加其他氣體 來進行蝕刻的話,則可以仍然維持電漿放電,而連續地進 行:照射處理氣體之電漿之作業和蝕刻作業。作爲具體例 係列舉實施:在照射處理氣體之電漿之作業’使用H2來 作爲處理氣體,然後,使用H2、CF4和Ar之混合氣體來 作爲蝕刻氣體,蝕刻例如作爲蝕刻對象部之有機氧化膜之 -25- (21) 200401365 作業。 此外’並不限定在ArF光阻劑或F2光阻劑之耐電漿 性低之光阻劑材料’爲了取代這些,因此,也可以是其他 有機光阻劑層,甚至不限定在光阻劑,也可以是其他有機 層。電漿處理裝置之構造係也不限定在第2圖者。 接著’就前述第1實施形態之方法之實施例而進行說 明。A gas inlet port 86 is provided in the center of the upper surface of the processing container 62. A gas supply pipe 87 is connected to the gas inlet port 86. The gas supply pipe 87 is connected to the valve 8 in sequence from the gas inlet port 86 side. 8. Mass flow controller 89 and process gas supply source 90. A predetermined processing gas is supplied from the processing gas supply source 90. On the other hand, an exhaust pipe 91 is connected to the bottom of the processing container 62, and an exhaust device 95 is connected to the exhaust pipe 91. In addition, a gate valve (not shown) is provided on the side wall of the processing container 62, and the object to be processed W is transported between the load lock chamber (not shown) adjacent thereto. The first high-frequency power source 101 and the second high-frequency power source 102 are connected to the sensor 65 which is the lower electrode through the integrator] 0. The frequencies of the first and second high-frequency power sources 101, 102 are, for example, 100M Η z and 3.2 Μ Η z -21-(17) (17) 200401365 In the device thus constituted, first, The gate valve (not shown) is opened, and the object to be processed w is carried into the processing container 62 and is placed on the electrostatic chuck 71. Next, the gate valve is closed, and the pressure in the processing container 62 is reduced by the exhaust device 95. The valve 8 8 is opened, and a predetermined processing gas is supplied from the engraved gas supply source 90, so that the inside of the processing container 62 is supplied. The pressure becomes established. In this state, high-frequency power is supplied from the first and second high-frequency power sources ι01 and 102, plasma processing is performed on the processing gas, and plasma processing of a predetermined film of the object W is performed ( Resistance to plasma treatment (plasma etching). In this state, before and after the high-frequency power is supplied by the first and second high-frequency power sources 101 and 102, a DC voltage is applied to the electrode 72 in the electrostatic chuck 71, and the object to be processed W The static electricity is attracted to the electrostatic chuck 7 1, and in this state, a predetermined plasma treatment is performed. Next, an embodiment of the plasma processing method of the present invention will be described. (Embodiment Mode) Here, the plasma processing apparatus 1 shown in FIG. 1 is used to implement the Si 02 film 121 having the layer to be etched as shown in FIG. 3 and the film covering the 5 02 film 121 The photoresist layer [22], which is a mask layer composed of ArF photoresist or F2 * resist], is subjected to laser irradiation to improve the plasma resistance of photoresist layer 1 22; And after this operation, the photoresist layer 1 22 is used as a mask, and the plasma etching operation is performed on the etching target layer 1 2 1. -22- (18) (18) 200401365 As the A F photoresist or F 2 photoresist 'series, alicyclic acrylic resin, cycloolefin resin, cycloolefin-maleic anhydride resin, methyl Acrylic resin, etc. First, the "open gate valve 3 2" carries the object W into the processing container 2 "and is disposed on the electrostatic chuck 11. Next, the gate valve 32 is closed, and after the inside of the processing container 2 is depressurized by the exhaust device 35, the valve 2 8 ′ is supplied with a processing gas such as H2 from the processing gas supply source 30 to make the processing container 2 The internal pressure is a predetermined pressure, preferably 13.3 Pa (100 mTorr) or less, such as 6.67 Pa (50 mTorr). In this state, high-frequency power is applied to the upper electrode 21 and the sensor 5 serving as the lower electrode, plasma is applied to the processing gas, and a photoresist layer 122 in the object W is subjected to plasma. Irradiation. At this time, before and after the time when the upper and lower electrodes are used to supply high-frequency power, a DC power source 13 is applied to the electrodes 12 in the electrostatic chuck 1 1 to electrostatically adsorb the object W to the electrostatic chuck] 1. In order to replace the H2 plasma, therefore, you can irradiate: Plasma containing radon ;: Plasma with a processing gas of an inert gas such as He, Ne, Ar 'Kr, Xe or other plasma with other substances containing radon, including Plasma of substances and other substances, such as processing gases of inert gas. Examples of other substance systems having Η include NΗ3. Irradiation of these gases improves the plasma resistance of the photoresist layer 1 2 2 which becomes an organic layer. The detailed mechanism is not necessarily clear, but it is believed that the plasma system with fluorene promotes the crosslinking reaction of the photoresist layer that becomes an organic layer] 22, or by making the C-0 bond or C-fluorene bond become Strengthening chemical bonds for CC bonds' improves plasma resistance. In terms of ease of handling, it is preferable to use -23- (19) (19) 200401365 as the substance system having tritium as the aforementioned H2 or NH3. The NH3 system is also a substance having N, but as the process gas system, other substances having N, such as N2, may be used. The N2 system also has the advantage of being easy to handle. From the standpoint of improving the plasma resistance of the photoresist layer 1 22 by also using a substance having N, as the process gas system, a substance having N can be used instead of a substance having rhenium. The detailed mechanism for improving the plasma resistance in this state is not necessarily clear, but it is believed that the combination of N and C in the ArF photoresist forms a CN-based protective film on the surface of the ArF photoresist to increase the ArF light. Resistant to plasma resistance. In a state where the processing gas contains a substance having N such as N2, it is preferable to further include a substance having tritium. It is considered that the combination between N and C is promoted by the existence of Η. As a substance having tritium, one or more selected from tritium 2, CHF3, CH2F2, and CH3F can be used. ○ In this way, after the plasma is irradiated only for a predetermined time, the supply of processing gas and the application of high-frequency power are stopped. Then, the pressure in the processing container 2 is set to a predetermined pressure suitable for an etching operation, for example, 2.0 Pa (1.5 mTo: n ·), and the etching gas is supplied from a processing gas supply source 30. The etching gas system is preferably a gas containing fluorocarbon, for example, a gas containing C5F8. Specific examples include C5F8 + 02 + Ar. The etching target portion is a Si02 layer. When the etching gas contains C5F8, the selection ratio of the Si02 film m serving as the etching target portion to the photoresist layer 1 2 2 serving as the organic layer (the uranium etching speed of the etching target portion). / Etch rate of the organic layer) becomes higher. Even in C5F8, it is better to choose a higher linear c5F8, even if it is among them, especially -24- (20) (20) 200401365 when using 1 '1' 1, 4, 4, 5 In the state of 5,5 -octafluoro-2 -pentyne (hereinafter referred to as p 2 -CSF8 ".), The aforementioned selection ratio becomes extremely large. In addition, as the etching gas system, C4F6 is also suitable. By using C4F6 in the etching operation, the polymer is deposited on the ArF photoresist. Therefore, the reduction of the photoresist's pores does not occur, and the etched holes can be formed while maintaining the required opening shape. In this way, an etching gas flows, and high-frequency power is applied to the upper electrode 21 and the sensor 5 serving as a lower electrode. The etching gas is plasmatized, and the plasma is used as a photoresist layer. 12 is used as a mask, and the Si02 film 121 is etched. In the etching, an end point detector (not shown) detects a predetermined luminous intensity, and the etching is terminated based on this. In addition, the etching target system is not limited to the Si02 film, and can also be applied to oxide films (oxygen such as TEOS, BPSG, PSG, SOG 'thermal oxide film, HTO, FSG, organic silicon oxide film' CORAL (Novel as)). Compounds) or low dielectric organic insulating films. In this state, it is possible to use, as the etching gas, a gas in which another gas is added only to the processing gas depending on the material of the etching target portion. If the plasma can be irradiated with the processing gas and the etching is performed only by adding another gas, the plasma discharge can be maintained and continuously performed: the plasma irradiated with the processing gas and the etching operation. As a specific example, it is implemented in series: the operation of plasma irradiating the processing gas' uses H2 as a processing gas, and then uses a mixed gas of H2, CF4, and Ar as an etching gas, and etches, for example, an organic oxide film as an etching target portion -25- (21) 200401365 assignment. In addition, 'it is not limited to photoresist materials with low plasma resistance of ArF or F2 photoresist'. In order to replace these, it may also be other organic photoresist layers, not even limited to photoresist. It may be another organic layer. The structure of the plasma processing apparatus is not limited to that shown in FIG. 2. Next, an example of the method of the first embodiment will be described.

在此’作爲在照射電漿之作業之各種條件,係處理容 器內壓力成爲6.7Pa(50mTorr),處理氣體H2之流量成 爲 0·05〜0.2L/min(50〜200sccm),照射時間成爲 30 秒鐘’在上部電極,以500〜1000W功率而施加 60MHz 頻率之高頻電力’在下部電極,並無施加高頻電力。此外 ’作爲在蝕刻作業之各種條件,係使得處理容器內壓力成 爲2_0Pa(15mT〇rr),蝕刻氣體C5Fs、Ar、02之流量分 別成爲 0.015L / min ( 15sccm) 、0.38L/min ( 380sccm )' 〇.〇I9L/min(19sccm),在上部電極,以 2170W 之 功率來施加 60MHz頻率之高頻電力,在下部電極,以 1 5 5 0W之功率來施加2MHz頻率之高頻電力。 在此種實施例及省略照射電漿之作業之比較例,來比 較在蝕刻作業之Si02膜對於ArF光阻劑罩幕之選擇比( Si〇2膜之蝕刻速度/ ArF光阻劑罩幕之蝕刻速度)。就被 處理體W之測定部位4點全部而言,正如實施例,藉由 進行電漿照射而比起不進行電漿照射之比較例,還使得前 述選擇比更加地上升。上升率係6〜1 9 %。 -26- (22) 200401365 (第2實施形態)Here, as various conditions for the operation of plasma irradiation, the pressure in the processing vessel is 6.7 Pa (50 mTorr), the flow rate of the processing gas H2 is 0.05 to 0.2 L / min (50 to 200 sccm), and the irradiation time is 30 In the second 'the high-frequency power of 60 MHz is applied to the upper electrode at a power of 500 to 1000 W', the high-frequency power is not applied to the lower electrode. In addition, as conditions for the etching operation, the pressure in the processing vessel is set to 2_0Pa (15mT0rr), and the flow rates of the etching gases C5Fs, Ar, and 02 are 0.015L / min (15sccm) and 0.38L / min (380sccm) 〇.〇I9L / min (19sccm), the high-frequency power of 60MHz frequency is applied to the upper electrode with 2170W power, and the high-frequency power of 2MHz frequency is applied to the lower electrode with 1550W power. In this embodiment and the comparative example in which the plasma irradiation operation is omitted, the selection ratio of the Si02 film to the ArF photoresist mask during the etching operation (the etching speed of the Si02 film / the ArF photoresist mask Etch rate). For all four measurement sites of the object to be treated W, as in the example, the plasma selective irradiation was performed to increase the selection ratio as compared to the comparative example in which the plasma irradiation was not performed. The increase rate is 6 ~ 19%. -26- (22) 200401365 (second embodiment)

在此,使用前述電漿蝕刻裝置〗,實施:對於第4A 圖所示之具有S i Ο 2膜1 3 1、覆蓋該S i Ο 2膜1 3 1之反射防 止膜132及覆蓋該反射防止膜】32並且由ArF光阻劑或 F2光阻劑所構成之光阻劑層I 3 3的被處理體W ’通過光 阻劑層1 3 3之開口圖案,來蝕刻反射防止膜1 3 2,同時, 提高光阻劑層1 3 3之耐電漿性之第1蝕刻作業(第4 A圖 );以及,在該作業後之通過光阻劑層133而對於Si〇2 膜〗3 1來進行電漿蝕刻之第2飩刻作業(第4B圖)。Here, using the aforementioned plasma etching apparatus, the following is implemented: for the S i Ο 2 film 1 3 1 shown in FIG. 4A, the anti-reflection film 132 covering the S i Ο 2 film 1 3 1 and covering the reflection prevention Film] 32 and the object to be processed W 3 of the photoresist layer I 3 3 composed of an ArF photoresist or F2 photoresist passes through the opening pattern of the photoresist layer 1 3 3 to etch the antireflection film 1 3 2 At the same time, the first etching operation to improve the plasma resistance of the photoresist layer 1 3 3 (Fig. 4 A); and after this operation, the photoresist layer 133 is passed to the Si0 2 film. The second etching operation of plasma etching is performed (FIG. 4B).

首先,將被處理體W搬入•配置在處理容器2內, 由處理氣體供應源30來供應兼具第1蝕刻氣體之處理氣 體、例如N2和H2,同時,使得處理容器2內之壓力成爲 既定値、例如】07Pa ( 8 00mTorr )。此時之處理容器內壓 力係最好是 107〜160Pa( 800〜1200mTorr)。由於在低 於1 0 7 P a時,光阻劑層1 3 3、特別是開口圖案之肩部係也 被蝕刻之緣故’在大於1 6 0 P a時’則不進行開口圖案之蝕 刻之緣故=作爲兼具第1蝕刻氣體之處理氣體,係可以使 用包含N之氣體、例如N2 ' NHs ’此外’也可以使用包 含Η之氣體、例如由H2、CHF3、CH2F2、CH3F中之所選 出之1種以上。 接著,在上下部電極’施加高頻電力’對於第1蝕刻 氣體來進行電漿化’以光阻劑層1 3 3作爲罩幕而蝕刻反射 防止膜1 3 2。作爲反射防止膜’係可以使用非結晶質碳或 -27- (23) 200401365 有機系高分子材料。該蝕刻係也同時兼具提高光阻劑層 1 3 3之耐電漿性之處理,在僅以既定時間而進行蝕刻時, 結束第1飽刻。First, the object to be processed W is placed in the processing container 2 and a processing gas supply source 30 is used to supply a processing gas, such as N2 and H2, which also serves as the first etching gas. At the same time, the pressure in the processing container 2 is set to a predetermined level.値, for example] 07Pa (8000mTorr). The pressure in the processing container at this time is preferably 107 to 160 Pa (800 to 1200 mTorr). Since the photoresist layer 1 3 3, especially the shoulders of the opening pattern is also etched when it is lower than 10 7 P a, the opening pattern is not etched when the opening pattern is larger than 16 0 P a. Sake = As a processing gas that also has the first etching gas, a gas containing N, such as N2 'NHs' in addition', or a gas containing tritium, for example, selected from H2, CHF3, CH2F2, and CH3F can be used. 1 or more. Next, the upper and lower electrodes "apply high-frequency power" to plasmatize the first etching gas ", and the antireflection film 1 3 2 is etched with the photoresist layer 1 3 3 as a mask. As the anti-reflection film 'system, amorphous carbon or -27- (23) 200401365 organic polymer material can be used. This etching system also has a treatment for improving the plasma resistance of the photoresist layer 1 3 3. When the etching is performed only for a predetermined time, the first saturation is completed.

可以藉由像這樣使得處理氣體和蝕刻氣體來成爲相同 ,而不需要進行在光阻劑層1 3 3來照射電漿之作業和蝕刻 反射防止膜1 3 2之作業間之氣體切換,進行短時間之處理 ,能夠達到生產效率之提升。此外,在反射防止層1 3 2之 蝕刻時’能夠進行ArF光阻劑之耐電漿性提升處理’因此 ’不需要該多餘之裝置或空間。 接著,在處理氣體(第1飩刻氣體)切換成爲蝕刻氣 體(第2蝕刻氣體),相同於第1鈾刻氣體,進行通過光 阻劑1 3 3而對於s i Ο 2膜1 3 1來進行電漿蝕刻之第2蝕刻 。作爲此時之蝕刻氣體係相同於第1實施形態,最好是包 含氟碳、例如包含C5F8。作爲具體例,係可以列舉C5F8 + 02+C0+Ar。即使是在C5F8中,也最好是直鏈C5F8,The process gas and the etching gas can be made the same in this way, without the need to switch the gas between the photoresist layer 1 3 3 to irradiate the plasma and the operation to etch the antireflection film 1 3 2 for short The treatment of time can achieve the improvement of production efficiency. In addition, during the etching of the anti-reflection layer 1 2 3, 'the plasma resistance improvement treatment of the ArF photoresist can be performed', and therefore, this extra device or space is not required. Next, the process gas (the first etching gas) is switched to the etching gas (the second etching gas), which is the same as the first uranium etching gas, and is performed with the photoresist 1 3 3 and the SiO 2 film 1 31. The second etching of plasma etching. The etching gas system at this time is the same as that of the first embodiment, and preferably contains fluorocarbon, for example, C5F8. Specific examples include C5F8 + 02 + C0 + Ar. Even in C5F8, linear C5F8 is preferred,

特別是2 - C5Fs。作爲使用在蝕刻氣體之氟碳係也適合爲 C4F6。 此外,即使是在該第2實施形態,蝕刻對象部係並非 限定在Si02膜,也可以適用在TEOS、BPSG、PSG、SOG 、熱氧化膜、HTO、FSG、有機系氧化 Si膜、CORAL ( Novel as公司)等之氧化膜(氧化合物)或低介電質有機 絕緣膜等之蝕刻上。此外,並不限定在ArF光阻劑或F2 光阻劑之耐電漿性低之光阻劑材料,可以是其他有機光阻 劑層,甚至不限定在光阻劑,也可以是其他有機層。電漿 -28- (24) 200401365 處理裝置之構造係也不限定在第1圖者。 接著,就前述第2實施形態之方法之實施例而進行說 明。Especially 2-C5Fs. C4F6 is also suitable as the fluorocarbon system used as the etching gas. In addition, even in this second embodiment, the etching target system is not limited to the Si02 film, but can be applied to TEOS, BPSG, PSG, SOG, thermal oxide film, HTO, FSG, organic silicon oxide film, CORAL (Novel as company) and other oxide films (oxygen compounds) or low dielectric organic insulating films. In addition, the photoresist material having a low plasma resistance is not limited to the ArF photoresist or the F2 photoresist, and may be other organic photoresist layers, not even the photoresist, and other organic layers. Plasma -28- (24) 200401365 The structure of the processing device is not limited to the one shown in Figure 1. Next, an example of the method of the second embodiment will be described.

在此,作爲第1蝕刻之各種條件,係處理容器內壓力 成爲1 07Pa ( 800mT〇rr ),處理氣體(第1鈾刻氣體)N2 、Η 2 之流量分別成爲 0.6 L / m i η ( 6 0 0 s c c m ),以 1 0 0 0 W 功率而施加60 MHz頻率之高頻電力至上部電極,以3 00W 功率而施加2MHz頻率之高頻電力至下部電極。作爲第2 蝕刻之各種條件,係在蝕刻氣體成爲包含1,2,3,3,4 ,4,5,5 —八氟一環-1—戊炔(以下,記載爲「c — C5FS」。)氣體之狀態(實施例2 - 1 ),處理容器內壓 力成爲 2.0Pa ( 15mT〇rr),鈾刻氣體 c— C5F8、Ar、02之 流量分別成爲 0.015L/min ( 15sccm) 、0.38L/min ( 380sccm ) 、0.019L/min(19sccm),以頻率 60MHz、Here, as various conditions of the first etching, the pressure in the processing vessel is 107 Pa (800 mT0rr), and the flow rates of the processing gas (first uranium etching gas) N2 and plutonium 2 are 0.6 L / mi η (6 0 0 sccm), applying high frequency power of 60 MHz to the upper electrode with 100 W power, and applying high frequency power of 2 MHz to the lower electrode with 300 W power. Various conditions for the second etching are such that the etching gas contains 1,2,3,3,4,4,5,5-5-octafluoromonocyclo-1-pentyne (hereinafter, described as "c-C5FS".) The state of the gas (Example 2-1), the pressure in the processing vessel was 2.0 Pa (15mTorr), and the flow rates of the uranium-engraved gases c—C5F8, Ar, and 02 were 0.015 L / min (15 sccm) and 0.38 L / min, respectively. (380sccm), 0.019L / min (19sccm), at a frequency of 60MHz,

功率21 70W而施加高頻電力至上部電極,以頻率2MHz ' 功率1 5 5 0W而施加高頻電力至下部電極;在蝕刻氣體成 爲包含2 — C5F8之狀態(實施例2 - 2 ),處理容器內壓力 成爲 2.7Pa(20mTorr),蝕刻氣體 2 — C;;F8、Ar、〇2' CO 之流量分別成爲 0.027L/min(27sccm) 、0_5L/min (SOOsccm ) 、0.027LX min ( 2 7 s c c m ) 、0.05L/min ( 50sccm),以頻率60MHz、功率1 600W而施加高頻電力 至上部電極,以頻率2MHz、功率2000W而施加高頻電力 至下部電極。 相對於此,在藉由認爲處理氣體沒有ArF光阻劑之耐 -29- (25) 200401365 電漿性之提高作用之c F 4而進行第】f虫刻後’將相同於M 施例2-1而藉由包含c— CsFg之氣體來進行第2 ΙίΜ者 ,作爲比較例2 - 1,將相同於實施例2 — 2而藉由包含2 一 C 5 F 8之氣體來進行第2蝕刻者’作爲比較例2 — 2。將 結果顯示在表1。 表1 處理氣體 蝕刻氣體 在第2蝕刻作業之 Si02之蝕刻速度/ Ar 光阻劑之蝕刻速度 實施例2 -1 n2 + h2 含C-QF8氣體 8.3 比較例2 -1 cf4 含C - C 5 F 8氣體 6.3 實施例2 - 2 n2 + h2 含2-C5F8氣體 Λ Λ 0 J . J 比較例2 - 2 cf4 含2 - C 5 F 8氣體 2 2.5High-frequency power is applied to the upper electrode at a power of 21 70W, and high-frequency power is applied to the lower electrode at a frequency of 2MHz 'power at 1550W; when the etching gas is in a state containing 2-C5F8 (Example 2-2), the processing container Internal pressure becomes 2.7Pa (20mTorr), etching gas 2 — C ;; F8, Ar, 〇2 'CO flow rate is 0.027L / min (27sccm), 0_5L / min (SOOsccm), 0.027LX min (2 7 sccm) ), 0.05L / min (50sccm), applying high-frequency power to the upper electrode at a frequency of 60MHz and a power of 1,600W, and applying high-frequency power to the lower electrode at a frequency of 2MHz and a power of 2000W. On the other hand, after performing c F 4 by considering that the treatment gas does not have the resistance of ArF photoresist -29- (25) 200401365 to improve the plasma property, the [] f worm will be the same as the M example. 2-1, and the second ΙΜ is performed by using a gas containing c—CsFg. As Comparative Example 2-1, it is the same as that in Example 2-1 and the second is performed by using a gas containing 2—C 5 F 8 Etcher 'is used as Comparative Example 2-2. The results are shown in Table 1. Table 1 Etching speed of Si02 / Ar photoresist for processing gas etching gas in the second etching operation Example 2 -1 n2 + h2 C-QF8 gas-containing 8.3 Comparative Example 2 -1 cf4 C-C 5 F 8 gas 6.3 Example 2-2 n2 + h2 containing 2-C5F8 gas Λ Λ 0 J. J Comparative Example 2-2 cf4 containing 2-C 5 F 8 gas 2 2.5

正如表1所示,確認:在蝕刻反射防止膜之第1蝕刻 作業,藉由使用Ν2 + Η2之混合氣體之電漿而提高ArF光 阻劑膜之耐電漿性,在蝕刻後面之Si〇2膜之第2蝕刻作 業,S i Ο 2膜對於A r F光阻劑膜之選擇比(S i 02之蝕刻速 度/ ArF光阻劑之蝕刻速度)變高。 (第3實施形態) 在此,使用第2圖所示之電漿蝕刻裝置61’實施: 對於第5A圖所示之具有成爲蝕刻對象層之Si〇2膜]41、 -30- (26) (26)200401365 覆蓋該Si〇2膜141之反射防止膜142及覆蓋該反射防止 膜1 42並且由ArF光阻劑或Fs光阻劑所構成之光阻劑層 ]43的被處理體W’藉由電漿而提高光阻劑層M3之耐電 漿性,同時’通過光阻劑層1 4 3之開口圖案1 4 3 a,來倉虫 刻反射防止膜1 42之作業(第5 A圖);以及,在該作業 後之通過光阻劑層143而對於Si02膜141來進行電漿鈾 刻之作業(第5 B圖)。 即使是在該實施形態,作爲ArF光阻劑或ρ2光阻劑 ’係也可以使用含脂環族丙烯酸樹脂、環烯烴樹脂、環烯 烴-順丁烯二酸酐樹脂。作爲反射防止層,係可以使用有 機系高分子材料或非結晶質碳。 首先’開放並未圖示之閘閥,將被處理體W搬入至 處理容器6 2內’配置在靜電夾頭7 1上。接著,關閉閘閥 ’在藉由排氣裝置95而對於處理容器62內來進行減壓後 ,開放閥8 8 ’由處理氣體供應源9 〇來供應處理氣體、例 如Η:’使得處理容器62內之壓力成爲既定値。處理氣體 係可以僅是Η2 ’也可以添加例如相同於η 2之同樣流量程 度之Ar等之稀釋氣體。作爲處理氣體,係可以使用其他 具有Η之物質來取代h2。 在該狀態下’由第1、第2高頻電源101 '102來供 應高頻電力’對於處理氣體來進行電漿化,作用於被處理 體W。此時’在供應高頻電力之時間前後,施加直流電源 73至靜電夾頭71內之電極72,將被處理體w靜電吸附 在靜電夾頭71上。 -31 - (27) (27)200401365 像以上這樣’在既定時間,進行電漿處理,提高光阻 劑層1 4 3之耐電漿性’同時,蝕刻反射防止層〗4 2,但是 ’此時之處理容器6 2內之壓力係最好成爲1 3 · 3 P a ( 1 OOmTorr )以下。像這樣,在成爲低壓而使得包含η之 處理氣體之電漿來照射於成爲罩幕層之光阻劑層143時, 提高其表面經過改質之罩幕層之耐電漿性。可以藉由提高 光阻劑層1 4 3之耐電漿性,而在後面透過光阻劑層1 4 3之 開口圖案1 4 3 a來對於蝕刻對象層進行電漿触刻時,提高 蝕刻對象層對於罩幕層之選擇比、也就是蝕刻對象層之蝕 刻速度/罩幕層之蝕刻速度。此外,在該蝕刻作業,可以 防止由於電漿而使得條紋或溝槽進入至成爲罩幕層之光阻 劑層1 4 3。此外,可以抑制成爲罩幕層之光阻劑層1 4 3之 開口部擴大。提高成爲罩幕層之光阻劑層1 4 3之耐電漿性 之詳細機構係不一定明確,但是,認爲是由於在光阻劑層 143之表層來作用Η自由基而由光阻劑層內來抽出CH4等 之氣體,以致於罩幕層內之碳素間之化學鍵,變化成爲更 加強力之緣故。此外,最好是在處理氣體,不包含具有N 之物質。由於在處理氣體中而包含具有N之物質時,以C 和N作爲主成分之保護膜係覆蓋罩幕層之側壁表面,認 爲具有提高耐電漿性作用之Η自由基係無法由側壁表面 開始而滲透至內部,無法越過罩幕層側壁表面之耐電漿性 提升之厚幅寬之緣故。由更加緩和對於處理中之光阻劑層 1 4 3之損傷之觀點來看的話,則處理壓力係最好是8〜 3 OmTorr。 -32- (28) (28)200401365 此外,也藉著由第1高頻電源1 0 1來供應電漿形成用 高頻電力至感測器65,而提高成爲罩幕層之光阻劑層1 43 之耐電漿性。此時之頻率係最好是100MHz以上。此外, 可以藉由在感測器6 5,由第2高頻電源1 〇 2,來施加不同 於前面敘述之其他高頻電力 '最好是頻率3MHz以上者, 而抑制電漿中之活化種、特別是離子。該其他之高頻電力 係最好是1 〇 0 W以下。由於可以藉由在低壓·低電力(低 偏壓)之氣氛下來進行處理而使得對於成爲罩幕層之光阻 劑層1 4 3之損傷’成爲最低限度之緣故。此外,在低壓· 低電力(低偏壓)之氣氛下’ Η自由基係由光阻劑層1 4 3 之側壁開始而滲透至內部爲止,因此,能夠達到在由光阻 劑層1 4 3之側壁開始而一直到內部之厚部分之耐電漿性之 提升。由於光阻劑層143係有機材料而含有碳,結果,此 種表面改質作用係變得顯著之緣故。此外,就構成光阻劑 層1 43之ArF光阻劑或F2光阻劑而言,在耐電漿性提升 處理之前後,耐電漿性係發生相當變化,因此,在微細加 工時而適用此種處理之際,得到絕大之效果。此外,在此 種耐電漿性提升處理之同時,爲了蝕刻對象層之蝕刻而蝕 刻必要之反射防止層1 4 2,因此,幾乎不蝕刻成爲罩幕層 之光阻劑層1 43而能夠飩刻反射防止層1 42。 此時,正如前面敘述,藉由在感測器 65,供應 100MHz以上頻率之高頻電力,而解離處理容器62內之 H2,成爲各種活化種,在該活化種中,Η自由基係主要造 成成爲罩幕層之光阻劑層143之耐電漿性提升,η自由基 -33- (29) 200401365 和離子係主要造成反射防止層1 42之蝕刻。這些活化種之 造成平衡係良好,因此,可以提高成爲罩幕層之光阻劑層 1 43之耐電漿性,同時,有效地蝕刻反射防止層]42。此 外,可以藉著由第2高頻電源102及由3MHz以上頻率之 高頻電源來供應高頻電力至感測器65而控制該活化種中 之離子動作。As shown in Table 1, it was confirmed that in the first etching operation of the anti-reflection film, the plasma resistance of the ArF photoresist film was improved by using a plasma of a mixed gas of N2 + Η2, and SiO2 after the etching In the second etching operation of the film, the selection ratio of the Si O 2 film to the Ar F photoresist film (etching speed of Si 02 / etching speed of ArF photoresist) becomes higher. (Third Embodiment) Here, the plasma etching apparatus 61 'shown in FIG. 2 is used to implement: For the Si02 film having a layer to be etched as shown in FIG. 5A] 41, -30- (26) (26) 200401365 Antireflection film 142 covering the Si02 film 141 and a photoresist layer covering the antireflection film 1 42 and composed of an ArF photoresist or an Fs photoresist] 43 to be processed W ' Plasma improves the plasma resistance of the photoresist layer M3, and at the same time, through the opening pattern 1 4 3 a of the photoresist layer 1 4 3 a, the operation of engraving the anti-reflection film 1 42 is performed (Figure 5 A) ); And, after this operation, plasma uranium engraving is performed on the Si02 film 141 through the photoresist layer 143 (FIG. 5B). Even in this embodiment, an alicyclic acrylic resin, a cycloolefin resin, or a cycloolefin-maleic anhydride resin can be used as the ArF photoresist or ρ2 photoresist 'system. As the anti-reflection layer, an organic polymer material or amorphous carbon can be used. First, a gate valve (not shown) is opened, and the object to be processed W is carried into the processing container 62, and the electrostatic chuck 71 is arranged. Next, the gate valve is closed, and after the pressure in the processing container 62 is reduced by the exhaust device 95, the valve 8 8 is supplied with a processing gas from a processing gas supply source 90, for example, Η: The pressure becomes established. The process gas may be only Η2 ', or a diluent gas such as Ar having the same flow rate as η 2 may be added. As the processing gas, it is possible to use other substances having rhenium instead of h2. In this state, 'the high-frequency power is supplied from the first and second high-frequency power sources 101'102' is plasma-treated with respect to the processing gas, and acts on the object W to be processed. At this time ', before and after the high-frequency power is supplied, a DC power source 73 is applied to the electrode 72 in the electrostatic chuck 71 to electrostatically adsorb the object to be processed w on the electrostatic chuck 71. -31-(27) (27) 200401365 As described above, 'the plasma treatment is performed at a predetermined time to improve the plasma resistance of the photoresist layer 1 4 3' and the antireflection layer is etched 4 2 but 'at this time The pressure in the processing vessel 62 is preferably 1 3 · 3 Pa (100 mTorr) or less. In this manner, when the plasma having a low-pressure treatment gas containing η is irradiated onto the photoresist layer 143 as the cover layer, the plasma resistance of the modified cover layer is improved. By increasing the plasma resistance of the photoresist layer 1 4 3 and by opening the opening pattern 1 4 3 a of the photoresist layer 1 4 3 a later, when performing plasma etching on the etching target layer, the etching target layer can be improved. The selection ratio for the mask layer, that is, the etching speed of the etching target layer / the etching speed of the mask layer. In addition, in this etching operation, it is possible to prevent streaks or grooves from entering the photoresist layer 1 4 3 serving as a mask layer due to the plasma. In addition, it is possible to suppress the opening of the photoresist layer 1 4 3 serving as the cover layer from expanding. The detailed mechanism for improving the plasma resistance of the photoresist layer 1 4 3 serving as the cover layer is not necessarily clear, but it is considered that the photoresist layer is caused by the action of the samarium radicals on the surface layer of the photoresist layer 143. The gas such as CH4 is extracted from the inside, so that the chemical bond between the carbon in the cover layer is changed to become stronger. In addition, it is preferable that the processing gas does not contain a substance having N. When a substance containing N is contained in the processing gas, a protective film system containing C and N as the main component covers the side wall surface of the cover layer. It is believed that the tritium radical system having the effect of improving the plasma resistance cannot start from the side wall surface. The penetration to the inside cannot exceed the thick width of the plasma resistance of the side wall surface of the cover. From the viewpoint of further alleviating the damage to the photoresist layer 1 4 3 during processing, the processing pressure is preferably 8 to 3 OmTorr. -32- (28) (28) 200401365 In addition, by supplying high-frequency power for plasma formation to the sensor 65 from the first high-frequency power source 101, the photoresist layer that becomes the cover layer is improved. 1 43 resistance to plasma. The frequency at this time is preferably 100 MHz or more. In addition, the sensor 65 and the second high-frequency power source 102 can be used to apply other high-frequency power different from the above-mentioned one, preferably one with a frequency of 3 MHz or more, to suppress activated species in the plasma. , Especially ions. The other high-frequency power system is preferably 1000 W or less. Since it can be processed under an atmosphere of low voltage and low power (low bias voltage), the damage to the photoresist layer 143 which becomes the cover layer is minimized. In addition, in a low-pressure, low-power (low-bias) atmosphere, 'Η radicals start from the side walls of the photoresist layer 1 4 3 and penetrate into the interior. Therefore, the photoresist layer 1 4 3 can be reached. The plasma resistance of the side wall starts to the thick part of the interior. Since the photoresist layer 143 is an organic material and contains carbon, as a result, such a surface modification effect becomes remarkable. In addition, as for the ArF photoresist or F2 photoresist constituting the photoresist layer 143, the plasma resistance is considerably changed before and after the plasma resistance improvement treatment. Therefore, this type is suitable for microfabrication. On the occasion of the treatment, the great effect was obtained. In addition, at the same time as the improvement of the plasma resistance, the anti-reflection layer 1 4 2 necessary for the etching of the target layer is etched. Therefore, the photoresist layer 1 43 that becomes the mask layer can be etched and etched. Anti-reflection layer 1 42. At this time, as described above, by supplying high-frequency power at a frequency of 100 MHz or more to the sensor 65, the H2 in the dissociation processing container 62 becomes various activated species. Among the activated species, the tritium radicals mainly cause The plasma resistance of the photoresist layer 143, which becomes the cover layer, is improved. Η radical -33- (29) 200401365 and the ion system mainly cause the etching of the reflection prevention layer 1 42. These active species have a good balance system. Therefore, it is possible to improve the plasma resistance of the photoresist layer 1 43 as the cover layer, and at the same time, effectively etch the anti-reflection layer 42. In addition, the ionic action in the activated species can be controlled by supplying high-frequency power to the sensor 65 from the second high-frequency power source 102 and a high-frequency power source having a frequency of 3 MHz or more.

接著,供應前述處理氣體、也就是用以蝕刻成爲蝕刻 對象層之Si02膜141之蝕刻氣體、例如包含C4F6、02和 Ar之混合氣體之氟碳之氣體,由第1及第2高頻電源來 施加高頻電力至感測器65,對於前述處理氣體來進行電 漿化,藉由該電漿,以光阻劑層1 4 3作爲罩幕,而蝕刻 Si02 膜 141。 在蝕刻中,可以藉由終點檢測器(並未圖示)而檢測 既定之發光強度,根據這個而結束蝕刻。Next, the aforementioned processing gas, that is, an etching gas for etching the Si02 film 141 to be an etching target layer, such as a fluorocarbon gas containing a mixed gas of C4F6, 02, and Ar, is supplied by the first and second high-frequency power sources. A high-frequency power is applied to the sensor 65, and the aforementioned processing gas is plasmatized. With the plasma, the photoresist layer 1 4 3 is used as a mask to etch the Si02 film 141. In the etching, an end point detector (not shown) can be used to detect a predetermined luminous intensity, and the etching can be terminated based on this.

此外,即使是在本實施形態,蝕刻對象部係並非限定 在Si02膜,也可以適用在TEOS、BPSG、PSG、SOG'熱 氧化膜、HTO、FSG、有機系氧化 Si膜、CORAL ( Novel as公司)等之氧化膜(氧化合物)或低介電質有機 絕緣膜等之蝕刻上。此外,並不限定在ArF光阻劑或F2 光阻劑之耐電漿性低之光阻劑材料,可以是其他有機光阻 劑層,甚至不限定在光阻劑,也可以是其他罩幕層。電漿 處理裝置之構造係也不限定在第2圖者。 接著,就根據本實施形態之實施例而進行說明。 在此,首先,使得處理室內壓力成爲 l.〇7Pa( -34- (30) 200401365 8 OmTorr ) 、40 0P a ( 3 0mTorr ) 、1 3 . 8 Pa ( lOOmTorr )之 3種,由處理氣體供應源來供應作爲處理氣體之Η:。第1 及第2高頻電源之頻率係分別爲100MHz、3.2MHz,其電 力成爲24〇OW、500W。此外,即使是就不由第2高頻電 源來供應電力之狀態(=0W )也進行評價。評價係藉由 顯微鏡(SEM (掃描式電子顯微鏡))來觀測罩幕層之剖 面狀態而進行。 結果,在壓力成爲 1.07Pa ( 80mTorr ) 、400Pa ( 3〇mTorr )時,幾乎並無對於罩幕層之條紋進入•溝槽進 入或開口部之擴大。在壓力成爲1 33Pa ( ] OOmTorr )時, 還不太有對於罩幕層之條紋進入•溝槽進入或開口部之擴 大。在壓力變高時,容易發生條紋進入•溝槽進入。In addition, even in this embodiment, the etching target system is not limited to the Si02 film, but it can also be applied to TEOS, BPSG, PSG, SOG 'thermal oxide film, HTO, FSG, organic Si oxide film, CORAL (Novel as a company) ) And other oxide films (oxygen compounds) or low dielectric organic insulating films. In addition, the photoresist material with low plasma resistance is not limited to ArF photoresist or F2 photoresist, and may be other organic photoresist layers, not even limited to photoresist, or other cover layers. . The structure of the plasma processing apparatus is not limited to that shown in FIG. Next, an example based on this embodiment will be described. Here, first, the pressure in the processing chamber was set to three types: 1.07Pa (-34- (30) 200401365 8 OmTorr), 40Pa (30mTorr), and 13.8 Pa (100mTorr). Source to supply as a source of processing gas :. The frequencies of the first and second high-frequency power sources are 100MHz and 3.2MHz, respectively, and their powers are 240OW and 500W. In addition, the evaluation was performed even in a state where power was not supplied by the second high-frequency power source (= 0W). The evaluation was performed by observing the cross-sectional state of the mask layer with a microscope (SEM (scanning electron microscope)). As a result, when the pressure was 1.07Pa (80mTorr) or 400Pa (30mTorr), there was almost no entry of stripes into the cover layer, entry of grooves, or expansion of the openings. When the pressure becomes 1 33Pa (] OOmTorr), there is not much entry for the streaks or grooves of the cover layer or expansion of the openings. When the pressure becomes high, streak entry and groove entry are likely to occur.

此外,就由第2高頻電源所供應之電力而言,在0W 時,比起5 00 W時,還使得對於罩幕層之條紋進入•溝槽 進入或開口部之擴大,變得更少。如果由這些結果等而進 行考慮的話,則由第2高頻電源所供應之電力係最好是 Γ0 0 W以下。 此外,在將壓力固定於1.07Pa(80mTorr)而使得H2 流量變化成爲 50mL / min ( seem) 、l〇〇mL / min ( seem )、120mL/min(sccm)和 200mL/min(sccm)時,流 量變少時係比較使得對於罩幕層之條紋進入•溝槽進入或 開口部之擴大,變得更少。 在後面之對於成爲蝕刻對象層之S i02膜來進行蝕刻 之作業,於處理容器內,使用C4F6、〇2和Ar之混合氣體 -35- (31) (31)200401365 ,來作爲蝕刻氣體,使得處理容器內之壓力,成爲666Pa (SOmTorr ),供應至感測器5之高頻電力係來自第!高 頻電源,成爲600W,來自第2高頻電源,成爲〗8〇〇w。 藉由來自第〗咼頻電源之局頻電力之供應而對於|虫刻氣體 來進行電漿化,蝕刻成爲蝕刻對象層之Si〇2膜。在藉由 終點檢測法等而結束蝕刻後,同樣地進行SEM觀察,結 果,即使是在蝕刻對象層之電漿蝕刻結束後,也不太有罩 幕層之大幅度減少、對於罩幕層之條紋進入•溝槽進入或 罩幕層之開口部擴大。藉此而得知:藉由本發明所造成之 罩幕層之耐電漿性之提升效果係也持續在蝕刻對象層之電 漿蝕刻後。 (第4實施形態) 在此,使用前述第1圖所示之電漿處理裝置1,實施 :對於第6 A圖所示之具有成爲蝕刻對象層之S i 02層1 5 1 、覆蓋該Si 02層151之反射防止層152及成爲形成覆蓋 反射防止層152之開口圖案153a之罩幕層並且由ArF光 阻劑或F2光阻劑所構成之光阻劑層1 5 3的被處理體W ’ 通過光阻劑層1 5 3之開口圖案’對於反射防止膜1 6 2來進 行電漿蝕刻之作業;以及’蝕刻S i〇2層1 5 1之作業。 即使是在本實施形態,作爲ArF光阻劑及F2光阻劑 ,係也可以使用含脂環族丙烯酸樹脂、環烯烴樹脂、環烯 烴-順丁烯二酸酐樹脂。作爲反射防止層,係可以使用有 機系高分子材料或非結晶質碳。 -36- (32) (32)200401365 在本實施形態,以通過光阻劑層1 5 3之開口圖案 1 5 3 a而對於反射防止膜1 5 2來進行電漿蝕刻之第1蝕刻 作業、通過光阻劑層1 53之開口圖案而触刻Si〇2層】5 1 一直到途中爲止之第2蝕刻作業以及在第2蝕刻作業後而 還蝕刻Si 02層1 5 1之第3蝕刻作業之3個階段’來進行 此種蝕刻作業。這些作業中之第2蝕刻作業係成爲S!。〕 層】5〗之初期蝕刻作業而進行,第3蝕刻作業係成爲 Si 02層151之主蝕刻作業而進行。 首先,開放閘閥32,將被處理體W搬入至處理容器 2內,配置在靜電夾頭1 1上。接著,關閉閘閥3 2,在藉 由排氣裝置3 5而對於處理容器2內來進行減壓後,開放 閥2 8,由蝕刻氣體供應源3 0來供應H2,使得處理容器2 內之壓力成爲既定値。在該狀態下,由第】 '第2高頻電 源40 ' 5 0來供應高頻電力’對於H2氣體來進行電漿化, 作用在被處理體w ’通過光阻劑層I 5 3之開口圖案,對於 反射防止層1 5 2來進行蝕刻(第1蝕刻;第6 A圖)。另 一方面,在由第1'第2咼頻電源40、50來供應高頻電 力之時間前後’於靜電夾頭n內之電極1 2,施加直流電 源1 3,將被處理體W靜電吸附在靜電夾頭】】上。在蝕刻 中,藉由終點檢測器(並未圖不)而檢測既定之發光強度 ,根據這個而停止高頻電力之供應,結束第1蝕刻作業。 接著,在同一個處理容器內或其他處理容器內,相同 於第1蝕刻作業,供應C F 4和H 2之混合氣體,通過光阻 劑層1 5 3之開口圖案而蝕刻S丨〇2層I 5 1 —直到途中爲止 (33) (33)200401365 (第2蝕刻作業;第6B圖)。如果經過既定之蝕刻時間 、例如6 0秒鐘的話,則結束該第2蝕刻作業。然後,在 同一個處理容器內或其他處理容器內,相同於第2蝕刻作 業’供應不同於第2蝕刻作業之氣體、例如直鏈C 5 F s、 〇2和Ar之混合氣體,還蝕刻Si02層I 5 1 (第3蝕刻作業 :第6 C圖)。根據終點檢測而結束該第3蝕刻作業。 像這樣,能夠藉由使用CF4和H2之電漿之Si02層 1 5 1之第2蝕刻作業,而在成爲罩幕層之ArF光阻劑層 1 5 3之表面、特別是和Si02層1 5 1間之界限附近,形成許 多保護膜,可以在後面之第3蝕刻作業,抑制光阻劑層 1 5 3之形狀變形。此外,可以在第1鈾刻作業,藉由使用 Η 2之電漿,來蝕刻反射防止層1 5 2,而更加有效地抑制第 3蝕刻作業之光阻劑層1 5 3之形狀變形。認爲這個係由於 藉著Η2之電漿而由成爲罩幕層之光阻劑層153之表面附 近,脫離氧原子,藉由構造而形成牢固之碳間結合之緣故 〇 抑制由於此種電漿所造成之光阻劑層〗5 3之形狀變形 之效果,係在其材料成爲特別容易由於電漿而發生變形之 甲基丙烯酸樹脂(稱爲在構造中而放入甲基丙烯酸之樹脂 )之狀態下,變得顯著,但是,即使是丙烯酸樹脂(稱爲 在構造中而放入丙烯酸之樹脂)等之其他樹脂,也可以得 到同樣之效果。但是,在光阻劑層之材料爲丙烯酸樹脂之 狀態下,於可微細加工之罩幕材料中,對於電漿之耐變形 性係比較大,因此,在蝕刻反射防止層之第1蝕刻時,不 -38- (34) (34)200401365 —定必須使用Ha氣體’也可以使用蝕刻速度更加高於H2 並且即使是在氟碳中也是對於罩幕層之損傷最少之CF4之 電漿,以高速來對於反射防止層1 5 2進行蝕刻。 此外,作爲第3蝕刻作業之蝕刻氣體,係可以藉由使 用包含直鏈C5F8和〇2之氣體,而對於成爲蝕刻對象層之 S i Ο 2層1 5 1,來更加異方、更加迅速地進行蝕刻。此外’ 第3蝕刻作業之蝕刻氣體係不限定於此,但是,最好是不 同於第2蝕刻作業所使用之CF4和H2之混合氣體之其他 氣體。這個係由於在第2蝕刻作業來形成抑制罩幕層之形 狀變形之構造後,可以藉由切換成爲第3蝕刻作業之蝕刻 氣體,而具有例如更加異方之蝕刻或更加高速之鈾刻等之 所要求功能之緣故。由更加異方 '更加迅速地蝕刻S i 0 2 層1 5 1之觀點來看的話,作爲蝕刻氣體係可以適合使用包 含氟碳之氣體,但是,特別最好是前述包含直鏈C 5 F 8和 〇2之氣體= 以上,就存在反射防止層1 52之狀態下之蝕刻作業而 進行說明,但是,在不存在反射防止層之狀態下,可以省 略前述第1蝕刻作業,首先,能夠實施:對於CF4和h2 來進行電漿化並且通過ArF光阻劑層之開口圖案而對於成 爲蝕刻對象層之Si 02層來進行蝕刻一直到途中爲止之初 期蝕刻作業;在該初期蝕刻作業後,實施·‘對於最好是包 含氟碳之蝕刻氣體、更加理想是前述包含直鏈c5F8和02 之氣體來進行電漿化而蝕刻成爲蝕刻對象層之Si02層之 殘餘部之主蝕刻作業。在該狀態下,也在成爲罩幕層之 -39 - (35) (35)200401365In addition, the electric power supplied by the second high-frequency power supply also reduces the number of streaks and grooves or openings of the cover layer at 0W compared with 500W. . Taking these results into consideration, it is preferable that the power system supplied from the second high-frequency power source is Γ0 0 W or less. In addition, when the pressure was fixed at 1.07Pa (80mTorr) and the H2 flow rate was changed to 50 mL / min (seek), 100 mL / min (seek), 120 mL / min (sccm), and 200 mL / min (sccm), When the flow rate is reduced, the streak entry and groove entry or the opening of the mask layer are enlarged, and the number of openings is reduced. In the following, the etching process is performed on the Si 102 film which is the object layer to be etched. In the processing container, a mixed gas of C4F6, 〇2, and Ar -35- (31) (31) 200401365 is used as an etching gas so that The pressure inside the processing vessel becomes 666Pa (SOmTorr), and the high-frequency power supply to the sensor 5 comes from the first! The high-frequency power source is 600W, and the second high-frequency power source is 800W. The worm-etched gas is plasmatized by the supply of local frequency power from the first frequency power source, and the Si02 film is etched to become an etching target layer. After the end of the etching by the end point detection method or the like, the SEM observation was performed in the same manner. As a result, even after the plasma etching of the etching target layer was completed, the mask layer was not significantly reduced. Stripe entry • Groove entry or the opening of the cover layer is enlarged. From this, it is known that the improvement effect of the plasma resistance of the cover layer caused by the present invention is also continued after the plasma etching of the etching target layer. (Fourth Embodiment) Here, using the plasma processing apparatus 1 shown in FIG. 1 described above, it is performed to cover the Si Si layer 1 5 1 having an etching target layer shown in FIG. 6A and cover the Si The anti-reflection layer 152 of the 02 layer 151 and the photoresist layer 1 5 3 which is a mask layer forming an opening pattern 153 a covering the anti-reflection layer 152 and composed of an ArF photoresist or an F2 photoresist W 'Plasma etching through the opening pattern of the photoresist layer 1 5 3' for the antireflection film 16 2; and 'work of etching the Si 102 layer 1 51'. Even in this embodiment, as the ArF photoresist and the F2 photoresist, an alicyclic acrylic resin, a cycloolefin resin, a cycloolefin-maleic anhydride resin can be used. As the anti-reflection layer, an organic polymer material or amorphous carbon can be used. -36- (32) (32) 200401365 In this embodiment, the first etching operation for plasma etching is performed on the antireflection film 1 5 2 through the opening pattern 1 5 3 a of the photoresist layer 1 5 3, The Si02 layer is etched by the opening pattern of the photoresist layer 1 53] 5 1 The second etching operation up to the middle and the third etching operation that also etches the Si 02 layer 1 5 1 after the second etching operation 3 stages' to perform such an etching operation. The second etching operation among these operations becomes S !. [Layer]] The initial etching operation is performed at 5], and the third etching operation is performed as the main etching operation of the Si 02 layer 151. First, the gate valve 32 is opened, and the object to be processed W is carried into the processing container 2 and placed on the electrostatic chuck 11. Next, the gate valve 32 is closed, and after the pressure in the processing container 2 is reduced by the exhaust device 35, the valve 28 is opened, and the etching gas supply source 30 is used to supply H2 so that the pressure in the processing container 2 is increased. Become established. In this state, the "second high-frequency power source 40" 50 is used to supply high-frequency power ", and the plasma is converted to H2 gas, which acts on the object w" through the opening of the photoresist layer I 5 3 The pattern is etched for the anti-reflection layer 152 (first etching; FIG. 6A). On the other hand, before and after the time when the first and second radio frequency power sources 40 and 50 are used to supply high-frequency power, the electrode 12 in the electrostatic chuck n is applied with a DC power source 13 to electrostatically adsorb the subject W. On the electrostatic chuck]]. In the etching, a predetermined luminous intensity is detected by an end point detector (not shown), and the supply of high-frequency power is stopped based on this, and the first etching operation is ended. Next, in the same processing container or other processing container, the same etching operation as in the first etching operation is performed, and a mixed gas of CF 4 and H 2 is supplied, and the S 〇 2 layer I is etched through the opening pattern of the photoresist layer 153. 5 1 —Until on the way (33) (33) 200401365 (second etching operation; Figure 6B). If a predetermined etching time elapses, for example, 60 seconds, the second etching operation is terminated. Then, in the same processing container or other processing container, the same as the second etching operation, a gas different from the second etching operation, such as a mixed gas of linear C 5 F s, 〇2, and Ar, and Si02 is also etched. Layer I 5 1 (3rd etching operation: FIG. 6C). This third etching operation is terminated based on the end point detection. In this way, the second etching operation of the Si02 layer 1 5 1 using the plasma of CF4 and H2 can be performed on the surface of the ArF photoresist layer 1 5 3 which becomes the mask layer, especially the Si02 layer 1 5 Many protective films are formed near the boundary between the first and second layers, which can suppress the deformation of the shape of the photoresist layer 153 in the third etching operation later. In addition, the anti-reflection layer 15 2 can be etched by using the plasma of plutonium 2 in the first uranium etching operation, and the shape deformation of the photoresist layer 15 3 in the third etching operation can be more effectively suppressed. It is considered that this is because the plasma near the surface of the photoresist layer 153 serving as the cover layer is separated from oxygen atoms by the plasma of Η2, and a strong carbon-carbon bond is formed by the structure. The effect of deforming the shape of the photoresist layer [53] is that the material becomes a methacrylic resin (referred to as a resin in which methacrylic acid is put into the structure) which is particularly easily deformed by the plasma. In the state, it becomes remarkable. However, the same effect can be obtained even with other resins such as acrylic resin (referred to as a resin in which acrylic is incorporated in the structure). However, in a state where the material of the photoresist layer is an acrylic resin, among the finely-processable mask materials, the resistance to deformation of the plasma is relatively large. Therefore, in the first etching of the antireflection layer, No-38- (34) (34) 200401365 — Ha gas must be used. You can also use CF4 plasma, which has an etching rate higher than H2 and has the least damage to the cover layer even in fluorocarbon, at high speed. Here, the anti-reflection layer 15 is etched. In addition, as the etching gas for the third etching operation, a gas including linear C5F8 and 〇2 can be used to make the S i Ο 2 layer 1 5 1 which is an object to be etched more exotic and faster. Etching. In addition, the etching gas system of the third etching operation is not limited to this, but it is preferably another gas different from the mixed gas of CF4 and H2 used in the second etching operation. This is because after the second etching operation to form a structure that suppresses the deformation of the shape of the mask layer, it can be switched to an etching gas for the third etching operation, and has, for example, more exotic etching or higher-speed uranium etching. The reason for the required function. From the viewpoint of etching the Si 0 2 layer 1 5 1 more quickly, a fluorocarbon-containing gas can be suitably used as the etching gas system. However, it is particularly preferable that the foregoing includes a linear C 5 F 8 And gas of 〇2 = above, the etching operation in the state where the antireflection layer 1 52 is present will be described, but in the state where the antireflection layer is not present, the aforementioned first etching operation can be omitted. First, it can be implemented: CF4 and h2 are plasma-etched, and the Si02 layer, which is the etching target layer, is etched through the opening pattern of the ArF photoresist layer until the middle of the etching process. After this initial etching operation, perform · 'For the main etching operation, it is preferable that the etching gas containing fluorocarbon, and more preferably the aforementioned gas containing the linear c5F8 and 02, be plasma-etched to etch the remaining portion of the Si02 layer that becomes the etching target layer. In this state, it also becomes the cover layer -39-(35) (35) 200401365

ArF光阻劑層之表面’特別是藉由和成爲蝕刻對象層之 S i 02層間之界限附近而形成許多保護膜,可以抑制其後面 之主蝕刻作業之ArF光阻劑層之形狀變形。 此外,即使是在本實施形態,蝕刻對象部係並非限定 在Si02膜,也可以適用在TEOS、BPSG、PSG、SOG、熱 氧化膜、HTO、FSG、有機系氧化Si膜、CORAL (The surface of the ArF photoresist layer is formed with a large number of protective films near the boundary between the Si 02 layers that are to be etched layers, which can suppress the shape deformation of the ArF photoresist layer in the main etching operation thereafter. In addition, even in this embodiment, the etching target system is not limited to the SiO 2 film, but can be applied to TEOS, BPSG, PSG, SOG, thermal oxide film, HTO, FSG, organic Si oxide film, CORAL (

No velas公司)等之氧化膜(氧化合物)或低介電質有機 絕緣膜等之蝕刻上。此外’並不限定在 A r F光阻劑或F 2 光阻劑之耐電漿性低之光阻劑材料’可以是其他有機光阻 劑層,甚至不限定在光阻劑’也可以是其他罩幕層。電漿 處理裝置之構造係也不限定在第1圖者。 接著,就根據本實施形態之實施例而進行說明。 就前述第6A圖所示之被處理體之反射防止層152及 成爲蝕刻對象層之Si 02層151而言’使用第1圖所示之 裝置而進行表2所示條件下之No. 1〜6之蝕刻。此外,即 使是在任何一個蝕刻,使得第】高頻電源之頻率成爲 60MHz,第2高頻電源之頻率成爲2MHz。 具體地說,Ν ο · 1〜3係使用丙烯酸樹脂之A r F光阻劑 來作爲光阻劑層1 5 3 ’任何一個第3蝕刻作業係皆使用 C 4 F 6 ' Ο 2和A r,在這些當中,Ν 〇 . 1係在第I蝕刻作業, 使用CF4,不進行第2蝕刻作業;No.2係在第1蝕刻作業 ,使用CF4,在第2蝕刻作業’使用CF4和H2 ; No.3係 在第1蝕刻作業,使用,在第2蝕刻作業,使用CF4 和H2。此外,No.4〜6係使用甲基丙嫌酸樹脂之ArF光阻 -40- (36) (36)200401365 劑來作爲光阻劑層1 5 3,任何一個第3蝕刻作業係皆使用 直鏈c 5 F 8、〇 2和A r,在這些當中’ N 〇 · 4係在第1蝕刻作 業,使用CF4,不進行第2蝕刻作業;No.5係在第1蝕刻 作業,使用C F 4 ’在第2蝕刻作業,使用C F 4和Η 2 ; N 〇. 6 係在第1蝕刻作業,使用Η2,在第2蝕刻作業,使用CF4 和H2。 在全部作業結束後,就各個條件之樣本而調查光阻劑 層1 5 3之形狀變形。結果,在使用丙烯酸樹脂來作爲光阻 劑層153之No.l〜3中,並無進行第2蝕刻作業之No. 1 係存在成爲光阻劑層變形指標之縱條紋,但是,在進行第 2蝕刻作業之N 〇. 2、3,不論是否在第1蝕刻作業所使用 之氣體,存在縱條紋。另一方面,在使用耐電漿性更加低 於丙烯酸樹脂之甲基丙烯酸樹脂來作爲ArF光阻劑層1 5 3 之No.4〜6中,並無進行第2蝕刻作業之Νο·4係存在縱 條紋。此外,確認:在第1蝕刻作業,進行使用C F4之第 2蝕刻作業之No.5係縱條紋變少,藉此而利用第2蝕刻 作業,來抑制縱條紋。在進行第2蝕刻作業並且使得第1 蝕刻作業之氣體成爲H2之N 〇. 6,並無存在縱條紋。也就 是說,確認:在光阻劑層1 5 3藉由對於電漿之耐性低之材 料所構成之狀態下,除了第2蝕刻作業以外,還在第1蝕 刻作業,藉由Η 2,藉由蝕刻反射防止層]5 2 ’而不發生成 爲光阻劑層之變形指標之縱條紋。 -41 - (37)200401365Novelas) and other oxide films (oxygen compounds) or low-dielectric organic insulating films. In addition, 'the photoresist material having a low plasma resistance is not limited to the Ar F photoresist or F 2 photoresist', and may be other organic photoresist layers, and it is not even limited to photoresist. Cover curtain layer. The structure of the plasma processing apparatus is not limited to that shown in the first figure. Next, an example based on this embodiment will be described. Regarding the anti-reflection layer 152 of the object to be processed shown in FIG. 6A and the Si 02 layer 151 as the object to be etched, the apparatus shown in FIG. 1 was used to perform No. 1 ~ under the conditions shown in Table 2. Etching of 6. In addition, even if etching is performed on any one, the frequency of the first high-frequency power source is 60 MHz, and the frequency of the second high-frequency power source is 2 MHz. Specifically, N ο · 1 to 3 are made of Ar F photoresist of acrylic resin as the photoresist layer 1 5 3 'Each of the third etching operations uses C 4 F 6' Ο 2 and A r Among them, NO. 1 is used in the first etching operation, using CF4, and no second etching operation is performed; No. 2 is used in the first etching operation, using CF4, and CF2 and H2 are used in the second etching operation; No. 3 is used in the first etching operation, and CF2 and H2 are used in the second etching operation. In addition, No. 4 to 6 uses ArF photoresist -40- (36) (36) 200401365 as the photoresist layer 1 5 3, and any of the third etching operations uses straight Chains c 5 F 8, 〇2, and Ar. Among these, 'N 〇. 4 is used in the first etching operation, and CF4 is used, and the second etching operation is not performed; No. 5 is used in the first etching operation, and CF 4 is used. 'In the second etching operation, CF 4 and Η 2 were used; N 0.6 was used in the first etching operation, using Η 2, and in the second etching operation, CF 4 and H 2 were used. After the completion of all operations, the shape deformation of the photoresist layer 153 was investigated for samples of each condition. As a result, in Nos. 1 to 3 where an acrylic resin was used as the photoresist layer 153, No. 1 in which the second etching operation was performed did not have a vertical stripe that was an indicator of the deformation of the photoresist layer. No. 2 and 3 of 2 etching operation, whether or not the gas used in the first etching operation, there are vertical stripes. On the other hand, in Nos. 4 to 6 using a methacrylic resin having a lower plasma resistance than an acrylic resin as the ArF photoresist layer 1 5 3, there is no No. · 4 system for performing the second etching operation. Vertical stripes. In addition, it was confirmed that in the first etching operation, the No. 5 series of vertical streaks performed in the second etching operation using C F4 was reduced, and thereby the vertical etching was suppressed by the second etching operation. When the second etching operation was performed and the gas in the first etching operation was changed to N 0.6 of H2, there were no vertical stripes. That is, it was confirmed that, in a state where the photoresist layer 1 5 3 is made of a material having low resistance to plasma, in addition to the second etching operation, the first etching operation is also performed by using Η 2, The anti-reflection layer] 5 2 ′ does not cause a vertical streak that is an index of deformation of the photoresist layer. -41-(37) 200401365

No. 1 2 3 4 5 6 ArF光阻劑 丙烯酸樹脂 甲基丙烯 駿樹脂 第1蝕 刻作業 壓力(Pa)(括弧內 數値係m T 〇 r r) 6.7 (50) 2.0 (15) 6.7 (50) 2.0 (】5) 來自第1高頻電 源之電力(W) 1000 2200 1000 2200 來自第2高頻電 源之電力(W) 100 1 00 100 100 氣體和流量 (mL/min) CF4: 1 〇〇 H2: 1 00 CF4: 100 H2:100 第2蝕 刻作業 壓力(Pa)(括弧內 數値係mTorr) Μ 2.7 (20) ite 2.7 (20) 來自第1高頻電 源之電力(W) 1800 1800 來自第2高頻電 源之電力(W) 1 800 1800 热體和流量 (mL/min) CF4: 120 H,: 1 80 CF4: 1 20 H2:1 80 第3蝕 刻作業 壓力(Pa)(括弧內 數値係mTorr) 6.7 (50) 2.7 (20) 來自第1高頻電 源之電力(W) 1800 1 800 來自第2高頻電 源之電力(W) 1150 1800 氣體和流量 (mL/min) C4F6:25 〇2:26 Ar:700 直鏈c5f 〇2:3 A r : 5 ( 、:27 0 )0 縱條紋 有 脏 ^ 1 \\ ftE > 1 \\ 有 少 姐 / « -42 - (38) 200401365 (第5實施形態)No. 1 2 3 4 5 6 ArF Photoresist Acrylic Resin Methacrylic Resin No. 1 Etching Operation Pressure (Pa) (Number in parentheses is m T 〇rr) 6.7 (50) 2.0 (15) 6.7 (50) 2.0 (] 5) Power from the first high-frequency power supply (W) 1000 2200 1000 2200 Power from the second high-frequency power supply (W) 100 1 00 100 100 Gas and flow rate (mL / min) CF4: 1 〇〇H2 : 1 00 CF4: 100 H2: 100 The second etching operation pressure (Pa) (the number in parentheses is mTorr) M 2.7 (20) ite 2.7 (20) Power from the first high-frequency power source (W) 1800 1800 from 2 Power of high frequency power (W) 1 800 1800 Heating body and flow rate (mL / min) CF4: 120 H ,: 1 80 CF4: 1 20 H2: 1 80 Third etching operation pressure (Pa) (number in parentheses) MTorr) 6.7 (50) 2.7 (20) Power from the first high-frequency power supply (W) 1800 1 800 Power from the second high-frequency power supply (W) 1150 1800 Gas and flow rate (mL / min) C4F6: 25 〇 2:26 Ar: 700 straight chain c5f 〇2: 3 A r: 5 (,: 27 0) 0 vertical stripes are dirty ^ 1 \\ ftE > 1 \\ have younger sister / «-42-(38) 200401365 (Fifth Embodiment)

在此,使用第1圖所示之電漿處理裝置1,實施:對 於第7 A圖所示之具有形成在S i等之底材層1 6 0上之 Si〇2層等之蝕刻對象層161 (厚度例:1500nm)、覆蓋該 蝕刻對象層161之有機反射防止層162 (厚度例:60nm ) 及形成覆蓋該有機反射防止層162之開口圖案163a (直 徑例:0 · 1 8 // m )並且由ArF光阻劑或F2光阻劑所構成之 光阻劑層1 63的被處理體W,通過光阻劑層1 63之開口圖 案1 63 a,對於有機反射防止層1 62來進行電漿蝕刻之作 業;以及,接著,對於鈾刻對象層1 6 1來進行電漿蝕刻而 形成開口圖案161a之作業。 以下,參照第7 A〜7 C圖及第8圖之流程圖而進行說 明。Here, the plasma processing apparatus 1 shown in FIG. 1 is used to implement an etching target layer having a Si02 layer and the like formed on a substrate layer 160 such as Si shown in FIG. 7A. 161 (thickness example: 1500 nm), an organic reflection prevention layer 162 (thickness example: 60 nm) covering the etching target layer 161, and an opening pattern 163a (diameter example: 0 · 1 8 // m) covering the organic reflection prevention layer 162 ) And the object W of the photoresist layer 1 63 composed of an ArF photoresist or an F2 photoresist is passed through the opening pattern 1 63 a of the photoresist layer 1 63 to the organic reflection prevention layer 1 62 Plasma etching; and then, plasma etching is performed on the uranium-etched target layer 161 to form an opening pattern 161a. Hereinafter, description will be made with reference to the flowcharts in FIGS. 7A to 7C and FIG. 8.

作爲構成光阻劑層1 63之ArF光阻劑或F2光阻劑, 係可以使用含脂環族丙烯酸樹脂、環烯烴樹脂 '環烯烴-順丁嫌二酸酐樹脂、甲基丙烯酸樹脂等。 作爲有機反射防止層1 62,係可以適用有機系高分子 材料。 此外’在本實施形態,電漿處理裝置1之上部電極板 24係藉由至少表面包含單結晶Si、Sic等之Si之材料所 構成。 首先’開放閘閥3 2,將被處理體W搬入至處理容器 2內(步驟]),配置在靜電夾頭1 1上。接著,關閉閘閥 -43- (39) (39)200401365 3 2,在藉由排氣裝置3 5而對於處理容器2內來進行減壓 後,開放閥2 8,由處理氣體供應源3 0來供應H2氣體( 步驟2),使得處理容器2內之壓力成爲既定値。 在該狀態下,由第1高頻電源4 0、第2高頻電源5 0 來供應高頻電力,對於H2氣體來進行電漿化,通過光阻 劑層1 6 3之開口圖案,對於有機反射防止層1 6 2來進行蝕 刻(步驟3)(第7A圖)。另一方面,在由第1高頻電 源40、第2高頻電源50來供應高頻電力之時間前後,於 靜電夾頭11內之電極12,施加直流電壓,將被處理體W 靜電吸附在靜電夾頭1 1上。如果僅在既定時間來進行蝕 刻的話,則停止高頻電力或蝕刻氣體之供應,結束有機反 射防止層1 6 2之蝕刻(第7 B圖)。 可以藉由終點檢測器(並未圖示)而檢測電漿中之特 定物質之發光強度,根據這個而結束蝕刻作業。 在本實施形態之狀態下,於藉由H2電漿所造成之有 機反射防止層1 62之蝕刻過程中,藉著從至少表面由Si 所構成之上部電極板24來供應之Si和H2電漿,作用在 光阻劑層1 63之表面上,而在光阻劑層1 63之表面上’形 成包含Si — Ο或Si — C等之薄保護層163b。 也就是說,認爲:在藉由H2電漿所造成之有機反射 防止層1 6 2之蝕刻過程中,發生和光阻劑層1 6 3表面之C 或Η間之反應,結果,成爲反應性高之C或〇多數存在 於光阻劑層1 6 3之表面上之狀態,這些高反應性之c或0 和由上部電極板2 4所供應之S i發生反應,形成包含S i - -44 - (40) 200401365 C或Si — 0等之物質之薄保護層163b。 像這樣’在通過光阻劑層163之開口圖案163a而對 於有機反射防止層1 6 2來進行電漿蝕刻時,於光阻劑層 1 6 3之表面’形成薄保護層1 6 3 b,並不需要其他之多餘作 業’可以提1¾光阻劑層1 6 3之耐電發性。因此,在餘刻有 機反射防止層1 62時,不產生表面皺裂或條紋,能夠高度 地維持光阻劑層1 63之耐電漿性。As the ArF photoresist or F2 photoresist constituting the photoresist layer 163, an alicyclic acrylic resin, a cycloolefin resin, a cycloolefin-maleic anhydride resin, and a methacrylic resin can be used. As the organic antireflection layer 162, an organic polymer material can be applied. In addition, in this embodiment, the upper electrode plate 24 of the plasma processing apparatus 1 is made of a material containing at least Si on the surface of Si, Sic and the like. First, the gate valve 32 is opened, and the object to be processed W is carried into the processing container 2 (step), and is placed on the electrostatic chuck 11. Next, the gate valve -43- (39) (39) 200401365 3 2 is closed, and the pressure in the processing container 2 is reduced by the exhaust device 35. Then, the valve 28 is opened, and the processing gas supply source 30 is provided. The H2 gas is supplied (step 2) so that the pressure in the processing container 2 becomes a predetermined pressure. In this state, high-frequency power is supplied from the first high-frequency power source 40 and the second high-frequency power source 50, and plasma is generated for H2 gas. The anti-reflection layer 16 is etched (step 3) (FIG. 7A). On the other hand, before and after the high-frequency power is supplied by the first high-frequency power supply 40 and the second high-frequency power supply 50, a DC voltage is applied to the electrode 12 in the electrostatic chuck 11 to electrostatically adsorb the object W to be treated. Electrostatic chucks 1 on 1. If the etching is performed only within a predetermined time, the supply of high-frequency power or etching gas is stopped, and the etching of the organic reflection preventing layer 162 is finished (Fig. 7B). An end point detector (not shown) can be used to detect the luminous intensity of a specific substance in the plasma, and the etching operation can be terminated based on this. In the state of this embodiment, during the etching of the organic reflection preventing layer 162 caused by the H2 plasma, Si and H2 plasma are supplied from the upper electrode plate 24 composed of at least the surface of Si. , Acting on the surface of the photoresist layer 163, and on the surface of the photoresist layer 163, a thin protective layer 163b containing Si—O or Si—C is formed. In other words, it is thought that during the etching process of the organic reflection prevention layer 1 62 caused by the H2 plasma, a reaction with C or hafnium on the surface of the photoresist layer 16 3 occurs, and as a result, it becomes reactive. A state where most of the high C or 0 exists on the surface of the photoresist layer 16 3, and these highly reactive c or 0 react with S i supplied from the upper electrode plate 24 to form S i- -44-(40) 200401365 Thin protective layer 163b of C or Si — 0 and other substances. In this way, a thin protective layer 1 6 3 b is formed on the surface of the photoresist layer 1 6 3 when plasma etching is performed on the organic reflection prevention layer 1 6 2 through the opening pattern 163a of the photoresist layer 163. There is no need for any extra work. It can improve the electrical resistance of the photoresist layer 163. Therefore, when the organic reflection preventing layer 162 is etched, no surface cracks or streaks are generated, and the plasma resistance of the photoresist layer 163 can be maintained at a high level.

接著’在同一個處理容器內或其他處理容器內,作爲 蝕刻氣體係供應例如C 5 F 8、Ο 2和A r (步驟4 ),以相同 於有機反射防止層1 62蝕刻之同樣順序而通過光阻劑層 1 6 3之開口圖案1 6 3 a,對於蝕刻對象層1 6 1來進行電漿蝕 刻(步驟5 )。藉此而在蝕刻對象層1 6 1,形成例如高縱 橫比之開口圖案1 6 1 a (第7 C圖)。接著,在蝕刻對象層 1 6 1之蝕刻結束後,使得被處理體w通過閘閥3 2而取出 至處理容器2之外部(步驟6)。Then, 'in the same processing container or other processing containers, as the etching gas system, for example, C 5 F 8, 0 2 and A r are supplied (step 4), and passed in the same order as that of the organic reflection prevention layer 1 62. The opening pattern 16 3 a of the photoresist layer 16 3 is subjected to plasma etching on the etching target layer 16 1 (step 5). Thereby, for example, an opening pattern 1 6 1 a with a high aspect ratio is formed on the etching target layer 1 6 (FIG. 7C). Next, after the etching of the etching target layer 161 is completed, the object w to be processed is taken out of the processing container 2 through the gate valve 32 (step 6).

在該蝕刻對象層1 6 1之蝕刻時,於本實施形態之狀態 下’藉由在光阻劑層163之表面,形成保護層163b,而 位處在高耐電漿性之狀態,因此,即使是在蝕刻對象層 1 6 1之電漿蝕刻,也高度地維持光阻劑層〗63之耐電漿性 或蝕刻對象層1 6 1對於光阻劑層1 6 3之選擇比。因此,不 產生光阻劑層1 63之表面皺裂或縱條紋發生,能夠以高蝕 刻速度之條件而對於蝕刻對象層1 6 1來進行電漿蝕刻。結 果,並不需要其他多餘之作業,同時,也提高在電漿蝕刻 作業之生產效率。此外,並無產生在光阻劑層1 63之開口 * 45 - (41) (41)200401365 圖案163a之縱條紋發生,因此,以光阻劑層163作爲罩 幕而形成在触刻對象層I 6 1上之開口圖案1 6 1 a之精度係 也提高。 在前述步驟2,由光阻劑層163之耐電漿性提高之觀 點來看的話,爲了取代Η 2,因此,可以使用H e、Ν 2。但 是,在使用He、N2之狀態下,有機反射防止層1 62係幾 乎並無蝕刻。此外,也可以沒有有機反射防止層]62,此 時,可以藉由H2、He、N2之至少一種電漿處理而專門進 行光阻劑層1 63之耐電漿性之提升處理。 接著,參照第9A〜9C圖及第10圖之流程圖而就本 實施形態之變化例,來進行說明。 在該變化例,顯示:在藉由CF4氣體之電漿而對於有 機反射防止層1 6 2來進行蝕刻後,於蝕刻對象層1 6 1之蝕 刻前,藉著由於H2氣體所造成之電漿處理而在光阻劑層 1 6 3之表面,形成保護層1 6 3 b之例子。 也就是說,首先,開放閘閥3 2,將被處理體W搬入 至處理容器2內(步驟〗),配置在靜電夾頭1 1上。接 著,關閉閘閥3 2,在藉由排氣裝置3 5而對於處理容器2 內來進行減壓後,開放閥2 8,由處理氣體供應源3 0來供 應CF4氣體(步驟12 ),使得處理容器2內之壓力成爲 既定値。 在該狀態下,由第1高頻電源4 0、第2高頻電源5 0 來供應高頻電力’對於CF4氣體來進行電漿化,通過光阻 劑層1 63之開口圖案,對於有機反射防止層1 62來進行蝕 -46- (42) 200401365 刻(步驟13 )(第9A圖)。 另一方面’在由第1高頻電源40、第2高頻電源50 來供應高頻電力之時間前後,於靜電夾頭11內之電極12 ,施加直流電壓’將被處理體W靜電吸附在靜電夾頭1 1 上。如果僅在既定時間來進行蝕刻的話,則停止高頻電力 或蝕刻氣體之供應,結束有機反射防止層1 6 2之蝕刻。可 以藉由終點檢測器(並未圖示)而檢測電獎中之特定物質 之發光強度,根據這個而結束蝕刻作業。In the etching of the etching target layer 161, in the state of this embodiment, 'the protective layer 163b is formed on the surface of the photoresist layer 163, and the state is in a state of high plasma resistance. Therefore, even if It is plasma etching in the etching target layer 1 61, and also maintains the plasma resistance of the photoresist layer 63 or the selection ratio of the etching target layer 16 1 to the photoresist layer 16 3 to a high degree. Therefore, the surface of the photoresist layer 163 does not cause wrinkles or vertical streaks, and plasma etching can be performed on the etching target layer 161 under the condition of a high etching rate. As a result, no extra work is required, and at the same time, the production efficiency in plasma etching is improved. In addition, no openings in the photoresist layer 1 63 * 45-(41) (41) 200401365 pattern 163a occurred. Therefore, the photoresist layer 163 was used as a mask to form the target layer I. The accuracy of the opening pattern 1 6 1 a on 6 1 is also improved. From the viewpoint of improving the plasma resistance of the photoresist layer 163 in the aforementioned step 2, in order to replace Η2, He or N2 may be used. However, in the state where He and N2 are used, the organic antireflection layer 1 62 is hardly etched. In addition, there may be no organic reflection prevention layer] 62. At this time, the plasma resistance of the photoresist layer 163 may be specifically improved by at least one plasma treatment of H2, He, and N2. Next, a modification of this embodiment will be described with reference to the flowcharts of FIGS. 9A to 9C and FIG. 10. In this modification, it is shown that after the organic antireflection layer 16 is etched by a plasma of CF4 gas, the plasma is caused by the plasma of H2 gas before the etching of the target layer 16 is performed. An example in which a protective layer 16 3 b is formed on the surface of the photoresist layer 16 3 by processing. That is, first, the gate valve 32 is opened, the object to be processed W is carried into the processing container 2 (step), and it is placed on the electrostatic chuck 11. Next, the gate valve 32 is closed, and the inside of the processing container 2 is depressurized by the exhaust device 35. Then, the valve 28 is opened, and CF4 gas is supplied from the processing gas supply source 30 (step 12), so that the processing is performed. The pressure inside the container 2 becomes a predetermined pressure. In this state, high-frequency power is supplied from the first high-frequency power source 40 and the second high-frequency power source 50. The plasma of CF4 gas is passed through the opening pattern of the photoresist layer 163. Prevent layer 162 to etch -46- (42) 200401365 (step 13) (Figure 9A). On the other hand, before and after the high-frequency power is supplied by the first high-frequency power source 40 and the second high-frequency power source 50, a DC voltage is applied to the electrode 12 in the electrostatic chuck 11 to electrostatically adsorb the object W to be treated. The electrostatic chuck 1 1 is on. If the etching is performed only within a predetermined time, the supply of high-frequency power or etching gas is stopped, and the etching of the organic reflection preventing layer 162 is terminated. An end point detector (not shown) can be used to detect the luminous intensity of a specific substance in the electric prize, and the etching operation can be terminated based on this.

接者,將供應至處理容器2之氣體,切換成爲H2氣 體(步驟1 4 ),對於該H2氣體來進行電漿化,使得H2 電漿和由上部電極板24所供應之Si,僅在既定時間來作 用在光阻劑層1 63之表面,於光阻劑層1 63之表面,形成 包含Si— Ο或Si-C等之薄保護層163b(步驟]5)(第 9B 圖)。Then, the gas supplied to the processing container 2 is switched to H2 gas (step 14), and the H2 gas is plasmatized so that the H2 plasma and the Si supplied from the upper electrode plate 24 are only Time acts on the surface of the photoresist layer 163, and on the surface of the photoresist layer 163, a thin protective layer 163b containing Si-O or Si-C is formed (step 5) (Fig. 9B).

也就是說,認爲:在該變化例之狀態下,於光阻劑層 1 6 3之過程中,發生和光阻劑層1 6 3表面之C或Η間之反 應,結果,成爲反應性高之C或0多數存在於光阻劑層 1 6 3之表面上之狀態,高反應性之C或Ο和由上部電極板 24所供應之Si發生反應,成爲Si — Ο或Si — C而形成薄 保護層163b。藉由該包含Si - Ο或Si_C等之薄保護層 163b而提高光阻劑層163之耐電漿性。 接著,在同一個處理容器內或其他處理容器內,作爲 蝕刻氣體係使用例如C5F8、Ar和02 (步驟1 6 ),以相同 於有機反射防止層1 62蝕刻之同樣順序而通過光阻劑層 -47- (43) 200401365 1 6 3之開口圖案1 6 3 a ’對於蝕刻對象層1 6 1來進行電 刻(步驟17)。藉此而形成例如高縱橫比之開口 1 6 1 a (第9 C圖)。接著,在蝕刻對象層1 6 1之蝕亥[] 後,使得被處理體W通過閘閥3 2而取出至處理容器 外部(步驟1 8 )。 在該蝕刻對象層1 6 1之開口圖案1 6 ] a之蝕刻時 本變化例之狀態下,正如前面敘述’藉由在光阻劑層 之表面,形成保護層163b,而位處在具有高耐電漿 狀態,因此,高度地維持光阻劑層1 63之耐電漿性或 對於蝕刻之選擇比。並且,在光阻劑層1 6 3,不產生 鈹裂或縱條紋發生,能夠以高鈾刻速度之條件而進行 電漿蝕刻所造成之開口圖案1 6 1 a之形成。結果,並 要其他多餘之作業,同時,也提高在電漿蝕刻作業之 效率。 在前述步驟15之保護層I 63b之形成處理,爲了 H2,因此,或者是也可以一起使用H2和N2、He。 此外,在本實施形態,蝕刻對象層1 6 1係並非限 例舉之Si02所代表之Si氧化物,也可以適用Si氮 、S i碳化物等之其他S i化合物、單結晶s〗、多結晶 有機材料、有機-無機混合材料、金屬、金屬化合物 此外’在本實施形態,特別有效於例舉之 ArF光阻 Η光阻劑之耐電漿性低之光阻劑材料,但是,並非限 此’即使是在藉由電子線而進行微影之EB阻劑、藉 空紫外線而進行微影之EUV阻劑和KrF阻劑等之其 漿蝕 圖案 結束 2之 ,於 1 63 性之 罩幕 表面 由於 不需 生產 取代 定在 化物 Si ' 等。 劑或 定於 由真 他有 (44) (44)200401365 機光阻劑層,也可以得到同樣效果’此外’不限定在光阻 劑層,也可以是其他罩幕層。此外,電漿處理裝置之構造 係也不限定在第1圖者。 此外,作爲在形成保護層時之S i源係使用上部電極 板,但是,並不限定於此,可以藉由使得處理容器內之構 成構件、例如聚焦環 '屏蔽環、內室’至少其表面包含 Si,而使用作爲同樣之Si源。但是,上部電極板係設置 面對著被處理體,因此,具有所謂能夠在被處理體之面內 來均勻地進行耐電漿性之提升處理之優點,結果,變得適 當。 接著,就根據本實施形態之實施例而進行說明。 在以下之各個實施例及比較例之第1高頻電源40、 第 2高頻電源 50之頻率係分別爲例如 60MHz和 13,56MHz。 (1 )[光阻劑層之電漿處理] 在此,進行:對於形成覆蓋蝕刻對象層之開口圖案之 光阻劑層而使得各個H2、N2、He來進行電漿化及電漿處 理之實施例1〜3以及對於Ar進行電漿化及電漿處理之比 較例〗。電漿處理係進行1分鐘。作爲光阻劑層係使用 ArF光阻劑。 (實施例5— 1) 處理容器內壓力:2.01Pa(15mTorr) -49- (45)200401365That is, it is considered that in the state of this modified example, in the process of the photoresist layer 163, a reaction with C or rhenium on the surface of the photoresist layer 163 occurs, and as a result, it has high reactivity. A state in which most of C or 0 exists on the surface of the photoresist layer 163, and highly reactive C or O reacts with Si supplied by the upper electrode plate 24 to form Si—O or Si—C. Thin protective layer 163b. The thin resist layer 163b containing Si-0, Si_C, or the like improves the plasma resistance of the photoresist layer 163. Next, in the same processing container or other processing container, for example, C5F8, Ar, and 02 are used as an etching gas system (step 16), and the photoresist layer is passed through the same order as that of the organic reflection prevention layer 162, etc. -47- (43) 200401365 1 6 3 opening pattern 1 6 3 a 'Electric etch is performed on the etching target layer 1 6 1 (step 17). Thereby, for example, a high aspect ratio opening 1 6 1 a is formed (FIG. 9C). Next, after the etching of the target layer 161, the object to be processed W is taken out of the processing container through the gate valve 32 (step 18). In the state of the present modification example when the opening pattern 16 of the etching target layer 16 is etched a, as described above, by forming a protective layer 163b on the surface of the photoresist layer, The plasma-resistant state, therefore, maintains the plasma-resistance of the photoresist layer 163 or the selection ratio for etching to a high degree. In addition, in the photoresist layer 1 63, no beryllium cracks or vertical streaks occur, and opening patterns 1 6 1 a due to plasma etching can be formed at a high uranium etch rate. As a result, other unnecessary operations are required, and at the same time, the efficiency of the plasma etching operation is improved. The formation process of the protective layer I 63b in the aforementioned step 15 is H2, so H2, N2, and He may be used together. In addition, in the present embodiment, the etching target layer 1 6 1 is not limited to the Si oxide represented by Si02, and other Si compounds such as Si nitrogen, Si carbide, single crystals, etc. can also be applied. Crystalline organic materials, organic-inorganic hybrid materials, metals, and metal compounds In addition, in this embodiment, it is particularly effective for the exemplified ArF photoresist and photoresist with low plasma resistance, but it is not limited thereto 'Even if the EB resist of photolithography by electron beam, EUV resist and KrF resist of photolithography by vacancy of ultraviolet light are finished, the surface of the mask surface is 163. Since there is no need to produce substitutions for Si 'and so on. The agent may be provided with a photoresist layer of (44) (44) 200401365, and the same effect can be obtained. In addition, the photoresist layer is not limited to the photoresist layer, and may be another cover layer. In addition, the structure of the plasma processing apparatus is not limited to that shown in the first figure. In addition, the upper electrode plate is used as the Si source when the protective layer is formed. However, it is not limited to this, and at least the surface of a processing member such as a focus ring 'shield ring and inner chamber' can be made by Contains Si and is used as the same Si source. However, since the upper electrode plate is disposed to face the object to be processed, there is an advantage in that it is possible to uniformly improve the plasma resistance in the surface of the object to be processed, and as a result, it becomes suitable. Next, an example based on this embodiment will be described. The frequencies of the first high-frequency power supply 40 and the second high-frequency power supply 50 in the following examples and comparative examples are 60 MHz and 13,56 MHz, respectively. (1) [Plasma treatment of photoresist layer] Here, the formation of a photoresist layer covering the opening pattern of the etching target layer and plasma treatment of each H2, N2, and He are performed. Examples 1 to 3 and Comparative Examples of Plasmaization and Plasma Treatment of Ar. The plasma treatment was performed for 1 minute. An ArF photoresist was used as the photoresist layer. (Example 5-1) Pressure in the processing container: 2.01Pa (15mTorr) -49- (45) 200401365

來自第1高頻電源之高頻電力:2200WHigh-frequency power from the first high-frequency power source: 2200W

來自第2高頻電源之高頻電力:100W 蝕刻氣體及其流量:H2成爲0.1L/min( lOOsccm) lOOsccm)High-frequency power from the second high-frequency power source: 100W etching gas and its flow rate: H2 becomes 0.1L / min (lOOsccm) lOOsccm)

(實施例5 - 2 ) 處理容器內壓力: 來自第1高頻電源 來自第2高頻電源 蝕刻氣體及其流量 2.01Pa ( 1 5mTorr ) 之高頻電力:2200W 之高頻電力:1 00 w :N2 成爲 〇.lL / min ( (實施例5 — 3 ) 處理容器內壓力:2.0lPa ( 15mTor〇(Example 5-2) Pressure in the processing vessel: High-frequency power from the first high-frequency power source etching gas from the second high-frequency power source and its flow rate 2.01Pa (15mTorr): High-frequency power of 2200W: 1 00 w: N2 becomes 0.1 L / min ((Examples 5 to 3)) Pressure in the processing vessel: 2.0 lPa (15 mTor.

來自第1高頻電源之高頻電力:22 00 WHigh-frequency power from the first high-frequency power source: 22 00 W

來自第2高頻電源之高頻電力:1〇〇 W 倉虫刻氣體及其流量:He成爲0.1L/min( lOOsccm)High-frequency power from the second high-frequency power source: 100 W. Gas and its flow rate: He becomes 0.1 L / min (100 sccm)

(比較例5 — 1 ) 處理容器內壓力:2.01Pa( 15mTorr)(Comparative Example 5-1) Pressure in the processing container: 2.01Pa (15mTorr)

來自第1高頻電源之高頻電力:2200WHigh-frequency power from the first high-frequency power source: 2200W

來自第2高頻電源之高頻電力:100W 蝕刻氣體及其流量:Ar成爲0.1L/min( lOOsccm) 第HA圖及第11B圖係分別顯示使用丙烯酸系及甲 基丙烯酸系ArF光阻劑之光阻劑層之電漿處理後馬上之表 面分析結果(藉由H2 ' N2、He、Ar所分別表示之線圖) -50- (46)200401365 之圖。正如這些所 即使是藉由丙烯酸 劑,也藉著由於各 理,而在光阻劑層 或Si - C等之結合 相對於此,在 理之狀態下,即使 —種ArF光阻劑 附著。 藉由在光阻劑 是,在該狀態下, 近附著 S i之意外 使用 H2 、 N2 ' He = (2 )[有機反射防 就具有:蝕刻 止層和形成覆蓋有 被處理體 W而言 來進行蝕刻,然後 例5 - 1之同樣條 處理(第9A、9B 處理容器內壓 來自第1高頻 來自第2高頻 示,觀測到:在實施例5 - 1〜5 - 3, 系及甲基丙烯酸系之任何一種ArF光阻 個H2、N2、He之電發所造成之電獎處 之表面上,存在包含具有相當於Si - 0 能之物質之保護層。 藉由比較例5 — 1之Ar所造成之電漿處 是藉由丙烯酸系及甲基丙烯酸系之任何 ,也僅觀測到由上部電極板所供應之Si 層之表面附著Si而提高耐電漿性,但 於硏磨後,會有在蝕刻對象層之孔洞附 產生。由這點而確認可以在電漿處理來High-frequency power from the second high-frequency power supply: 100W etching gas and its flow rate: Ar becomes 0.1L / min (100sccm). Figures HA and 11B show the photoresist using acrylic and methacrylic ArF photoresist, respectively. The surface analysis results of the photoresist layer immediately after the plasma treatment (by the line graphs indicated by H2'N2, He, Ar) -50- (46) 200401365. As mentioned above, even if it is an acrylic agent, it is a combination of a photoresist layer or Si-C due to the principle. In contrast, even if an ArF photoresist is attached under the condition of the principle. In the photoresist, in this state, the accidental use of H i near the Si is H2, N2 'He = (2) [organic reflection prevention has: an etch stop layer and formation of the object to be treated W Etching was performed, and then the same treatment as in Example 5-1 was performed (9A, 9B, the internal pressure of the processing vessel came from the first high frequency and the second high frequency, and it was observed that in Examples 5-1 to 5-3, A protective layer containing a substance having an energy equivalent to Si-0 exists on the surface of the electric award place caused by the electric emission of any of the ArF photoresist H2, N2, and He based on the acrylic. Based on Comparative Example 5-1 The plasma caused by Ar is made of any of acrylic and methacrylic, and only the surface of the Si layer supplied by the upper electrode plate is observed to adhere to Si to improve the plasma resistance, but after honing, Porosity may occur in the layer to be etched. From this, it is confirmed that it can be processed by plasma treatment.

止層之蝕刻後之光阻劑層之電漿處理] 對象層、覆蓋蝕刻對象層之有機反射防 機反射防止層之開口圖案之光阻劑層的 ,藉由以下條件而對於有機反射防止層 ,以相同於實施例5 — 1〜5 — 3和比較 件,而對於光阻劑層】6 3,來進行電漿 圖、第10圖之步驟〗1〜15)。 力:6.7Pa ( 50mTorr ) 電源之高頻電力:1 000W 電源之高頻電力:100WPlasma treatment of photoresist layer after stop layer etching] For the object layer and the photoresist layer covering the opening pattern of the organic reflection anti-reflection layer of the object layer to be etched, the organic reflection prevention layer is subject to the following conditions In the same manner as in Examples 5-1 to 5-3 and the comparative example, and for the photoresist layer] 6 3, the steps of plasma map and FIG. 10 are performed (1 to 15). Force: 6.7Pa (50mTorr) High-frequency power of the power: 1 000W High-frequency power of the power: 100W

-51 - (47) 200401365 蝕刻氣體及其流量:C F 4成爲〇」[/ m i η ( 1 0 0 s c c m ) 接著,藉由以下條件而對於蝕刻對象層〗6 1來進行蝕 刻(第9C圖、第5圖之步驟16〜18) » 處理容器內壓力:2.01Pa( 15mTorr) 來自第〗高頻電源之高頻電力:2170 W 來自第2高頻電源之高頻電力:1550W 蝕刻氣體及其流量:c-C5F8: 0.015L/min( 15sccm-51-(47) 200401365 Etching gas and its flow rate: CF 4 becomes 0 ″ [/ mi η (1 0 0 sccm) Next, the etching target layer 〖6 1 is etched under the following conditions (Fig. 9C, Steps 16 to 18 in Figure 5) »Pressure inside the processing vessel: 2.01Pa (15mTorr) High-frequency power from the first high-frequency power source: 2170 W High-frequency power from the second high-frequency power source: 1550W Etching gas and its flow rate : C-C5F8: 0.015L / min (15sccm

))

Ar : 0.380L/min ( 380sccm) 〇2 : 0.019L / min ( 19sccm)Ar: 0.380L / min (380sccm) 〇2: 0.019L / min (19sccm)

在正如以上而進行蝕刻對象層1 6 I之蝕刻後,藉由電 子顯微鏡相片而觀察各個被處理體之蝕刻部位之剖面形狀 。結果,在藉由Η 2、N 2、H e、A r而對於由A r F光阻劑所 構成之光阻劑層來進行電漿處理之被處理體,皆幾乎並無 發現光阻劑層之表面皺裂或縱條紋產生。相對於此,在前 述作業中,於並無進行光阻劑層之電漿處理之被處理體, 發現光阻劑之表面皲裂或縱條紋產生。 此外,在有機反射防止層之蝕刻後、蝕刻對象層之蝕 刻前而進行光阻劑層之電漿處理之狀態下,比起在有機反 射防止層和蝕刻對象層之蝕刻前而進行光阻劑層1 63之電 漿處理之狀態,蝕刻對象層之蝕刻後之ArF光阻劑層之表 面皸裂或縱條紋產生係比較少。因此,如果在有機反射防 止層之鈾刻,使用蝕刻速度大並且對於ArF光阻劑層之損 傷比較少之CF4電漿,然後,進行ArF光阻劑層之電漿處 -52- (48) 200401365 理,接著,進行蝕刻對象層之蝕刻的話’則能夠達到生產 效率及触刻精度之提升。 (第6實施形態)After the etching of the etching target layer 16 I as described above, the cross-sectional shape of the etched portion of each object to be treated was observed by an electron microscope photograph. As a result, almost no photoresist was found in the to-be-processed object by using Η2, N2, He, and Ar for the photoresist layer composed of Ar F photoresist. The surface of the layer is wrinkled or streaked. On the other hand, in the above-mentioned operation, it was found that the surface of the photoresist had cracks or vertical streaks in the object to be treated without plasma treatment of the photoresist layer. In addition, in a state where the photoresist layer is subjected to plasma treatment after the organic antireflection layer is etched and before the etching target layer is etched, the photoresist is performed more than before the organic antireflection layer and the etching target layer are etched. In the state of the plasma treatment of the layer 1 63, the surface of the ArF photoresist layer after the etching of the etching target layer is cracked or the generation of vertical stripes is relatively small. Therefore, if the uranium is etched in the organic reflection prevention layer, a CF4 plasma with a high etching speed and less damage to the ArF photoresist layer is used, and then the plasma of the ArF photoresist layer -52- (48) 200401365 processing, and then, if the etching target layer is etched, the production efficiency and the accuracy of the touch can be improved. (Sixth embodiment)

在此,使用第1圖之電漿處理裝置1,實施:對於第 12圖所示之例如具有由Si02m構成之底材層171、覆蓋 該底材層〗71之反射防止層172及形成覆蓋反射防止層 1 72之開口圖案並且由ArF光阻劑或F2光阻劑所構成之 光阻劑層1 73的被處理體W,透過光阻劑層1 73之開口圖 案173a,對於反射防止層172來進行電漿蝕刻之作業。 即使是在該實施形態,作爲A r F光阻劑或F 2光阻劑,係 也可以使用含脂環族丙烯酸樹脂、環烯烴樹脂、環烯烴-順丁烯二酸酐樹脂等。此外,作爲反射防止層62,係可 以使用無機系或有機系者,例如能夠使用成爲含碳材料之 非結晶質矽或有機高分子材料。Here, the plasma processing apparatus 1 shown in FIG. 1 is used to implement: for example, the substrate shown in FIG. 12 has a base material layer 171 made of SiO 2 m, an anti-reflection layer 172 covering the base material layer 71, and a cover reflection is formed. The object W of the opening pattern of the prevention layer 1 72 and the photoresist layer 1 73 composed of an ArF photoresist or an F2 photoresist passes through the opening pattern 173 a of the photoresist layer 1 73, and for the reflection prevention layer 172 To perform plasma etching. Even in this embodiment, as the Ar F photoresist or F 2 photoresist, alicyclic acrylic resin, cycloolefin resin, cycloolefin-maleic anhydride resin, or the like can be used. The anti-reflection layer 62 may be an inorganic or organic material. For example, amorphous silicon or an organic polymer material that is a carbonaceous material can be used.

在蝕刻時,首先,開放閘閥3 2,將被處理體W搬入 至處理容器2內,載置在靜電夾頭11上。接著,關閉閘 閥32’在藉由排氣裝置35而對於處理容器2內來進行減 壓後,開放閥2 8,由處理氣體供應源3 0來供應前述處理 氣體、例如C2F4和〇2氣體,使得處理容器2內之壓力成 爲既定値。 在該狀態下,對於上部電極2 1和成爲下部電極之感 測器5 ’施加高頻電源,對於處理氣體來進行電漿化,透 過光阻劑層173之開口圖案173a,對於被處理體W中之 •53- (49) 200401365During etching, first, the gate valve 32 is opened, and the object to be processed W is carried into the processing container 2 and placed on the electrostatic chuck 11. Next, after closing the gate valve 32 ′ to depressurize the inside of the processing container 2 by the exhaust device 35, the valve 28 is opened to supply the aforementioned processing gas, such as C2F4 and O2 gas, from the processing gas supply source 30, This makes the pressure in the processing container 2 a predetermined pressure. In this state, a high-frequency power source is applied to the upper electrode 21 and the sensor 5 ′ serving as the lower electrode, and the process gas is plasmatized, and the opening pattern 173 a of the photoresist layer 173 is transmitted to the object W Nakano53- (49) 200401365

反射防止層1 72來進行蝕刻。另一方面,在對於上下電極 來施加高頻電力之時間前後,於靜電夾頭Π內之電極12 ,施加直流電壓,將被處理體W靜電吸附在靜電夾頭Π 上C 在蝕刻中,藉由終點檢測器(並未圖示)而檢測既定 之發光強度,根據這個而結束蝕刻。The anti-reflection layer 172 is etched. On the other hand, before and after the high-frequency power is applied to the upper and lower electrodes, a DC voltage is applied to the electrode 12 in the electrostatic chuck Π to electrostatically adsorb the object W on the electrostatic chuck C. During the etching, An end point detector (not shown) detects a predetermined luminous intensity, and the etching is terminated based on this.

在本實施形態,像這樣,可以藉由使用包含c2F4之 處理氣體、例如包含c2F4和02之處理氣體,透過光阻劑 層〗73,來蝕刻反射防止層1 72,而抑制光阻劑層1 73之 表面皺裂,高度地維持反射防止層對於光阻劑層之選擇比 ,同時,使得反射防止層1 72之蝕刻速度變大。In this embodiment, as described above, the anti-reflection layer 1 72 can be etched by using a processing gas containing c2F4, for example, a processing gas containing c2F4 and 02, to pass through the photoresist layer 73 to suppress the photoresist layer 1 The surface of 73 is cracked, and the selection ratio of the antireflection layer to the photoresist layer is maintained at a high level. At the same time, the etching rate of the antireflection layer 1 72 is increased.

此外,在本實施形態,本發明係不限定在前述實施形 態’也可以進行各種變化。例如顯示反射防止層來作爲蝕 刻對象層之狀態,但是,並不限定於此,也可以是蝕刻其 他層之狀態。此外,作爲含有C2F4之處理氣體係不限定 在包含C2F4和02者。此外,在使用包含C2F4之處理氣 體之狀態下,作爲罩幕層係不限定在ArF光阻劑或F2光 阻劑’也可以使用其他光阻劑,甚至,也可以使用非阻劑 罩幕層。此外,鈾刻裝置之構造係不限定在第1圖者。 以下,就根據本實施形態之實施例而進行說明。 首先,實施例之條件係正如以下。也就是說,使得處 理谷器內壓力成爲 1.33Pa ( lOmTorr) 和 6.66Pa ( 50mTorr),處理氣體之c2F4和02之流量比成爲C2F4: 〇2=5:2、 3:2、 5:4、 1:1、 3:4,在上部電極,以 -54- (50) (50)200401365 600' 1000、1400W而施加頻率60MHz之高頻電力,在下 部電極’以100W而施加頻率2MHz之高頻電力。 另一方面’比較例之條件係正如以下。也就是說,使 得處理容器內壓力成爲6.66Pa(50mTorr),處理氣體成 爲CF4,在上部電極,以1000W而施加頻率60MHz之高 頻電力,在下部電極,以100W而施加頻率2MHz之高頻 電力。 在此種條件下而進行蝕刻時,反射防止層對於ArF光 阻劑罩幕層之選擇比(反射防止層之蝕刻速度/ ArF光阻 劑罩幕層之蝕刻速度)係在實施例和比較例,並無多大不 同,但是,反射防止層之蝕刻速度係在實施例,成爲比較 例之 1 · 2〜3.6倍。此外,不僅是比較例,即使是在實施 例,也並無發生ArF光阻劑罩幕層之表面皺裂。由這個而 確認:藉由實施例而不產生ArF光阻劑罩幕層之表面皺裂 ,能夠以高蝕刻速度而蝕刻反射防止膜。In addition, in the present embodiment, the present invention is not limited to the aforementioned embodiment ', and various changes can be made. For example, the state of the anti-reflection layer is shown as an etching target layer, but it is not limited to this, and may be a state in which another layer is etched. In addition, the process gas system containing C2F4 is not limited to those containing C2F4 and 02. In addition, in the state where a processing gas containing C2F4 is used, the cover layer is not limited to ArF photoresist or F2 photoresist. Other photoresists can be used, and even non-resistive cover layers can be used. . In addition, the structure of the uranium engraving device is not limited to that shown in FIG. 1. Hereinafter, an example based on this embodiment will be described. First, the conditions of the examples are as follows. That is, the pressure in the processing trough is made to be 1.33Pa (10mTorr) and 6.66Pa (50mTorr), and the flow rate ratio of c2F4 and 02 of the processing gas is C2F4: 〇2 = 5: 2, 3: 2, 5: 4, 1: 1, 3: 4, high-frequency power of 60MHz is applied to the upper electrode at -54- (50) (50) 200401365 600 '1000, 1400W, and high frequency of 2MHz is applied to the lower electrode at 100W. electric power. On the other hand, the conditions of the comparative example are as follows. In other words, the pressure in the processing vessel is 6.66 Pa (50 mTorr), the processing gas becomes CF4, and high frequency power of 60 MHz is applied to the upper electrode at 1000 W, and high frequency power of 2 MHz is applied to the lower electrode at 100 W. . When etching is performed under such conditions, the selection ratio of the anti-reflection layer to the ArF photoresist cover curtain layer (etching speed of the anti-reflection layer / ArF photoresist cover curtain layer) is in the examples and comparative examples. It is not much different, but the etching rate of the anti-reflection layer is in the embodiment, and becomes 1.2 to 3.6 times that of the comparative example. In addition, not only the comparative examples, but also the examples, the surface cracks of the curtain layer of the ArF photoresist cover did not occur. From this, it was confirmed that the examples can prevent the reflection preventing film from being etched at a high etching rate without causing surface cracks of the ArF photoresist mask layer.

(第7實施形態) 在此,使用前述第1圖所示之電漿處理裝置1,實施 :在第13A圖所示之具有成爲蝕刻對象之Si02層181、 覆蓋該3丨02層181之反射防止層182和覆蓋該反射防止 層1 82並且由ArF光阻劑或F2光阻劑所構成之光阻劑層 183的被處理體W,通過光阻劑層183之開口圖案]83a, 對於反射防止層1 8 2來進行蝕刻之作業;以及,在該作業 後之蝕刻Si02層1 8 1之作業。即使是在該實施形態,作 -55- (51) (51)200401365 爲A r F光阻劑或F 2光阻劑,係也可以使用含脂環族丙烯 酸樹脂、環烯烴樹脂、環烯烴-順丁烯二酸酐樹脂。作爲 反射防止層,係可以適用有機高分子材料或非結晶質碳。 首先,開放閘閥3 2,將被處理體W搬入至處理容器 2內,配置在靜電夾頭U上。接著,關閉閘閥3 2,在藉 由排氣裝置3 5而對於處理容器2內來進行減壓後,開放 閥2 8,由處理氣體供應源3 0來供應包含具有C和F之物 質及含有Η之物質之蝕刻氣體,使得處理容器2內之壓 力成爲既定値、例如6.66Pa ( 50mT〇rr )。在該狀態下, 對於上部電極2 1和成爲下部電極之感測器5,施加高頻 電源,對於蝕刻氣體來進行電漿化,蝕刻被處理體W中 之反射防止層1 82 (第1 3 A圖)。可以藉此而使得反射防 止層1 8 2之蝕刻結束後之光阻劑層1 8 3之殘膜量變多,能 夠在下一個蝕刻對象部之蝕刻作業,形成具有所要求之開 口形狀之孔洞或溝槽。 作爲該蝕刻時之所使用之含有C和F之物質,係例 舉賦予至ArF光阻劑層之損傷少之CF4。此外,作爲具有 Η之物質係可以使用氫碳、H2、氫氟碳。作爲氫碳係例舉 CH4等。作爲氫氟碳係適合爲Η原子數對於F原子數之比 値成爲3以上之物質,作爲此種物質係例舉CH3F。在使 用CH3F之狀態下,可以藉由使得蝕刻氣體中之CH3F之 流量對於具有C和F之物質之流量之比値成爲0.0 4〜0 . 〇 7 ’而比起完全不加入C H 3 F時,還更加相當地增加反射防 止層之蝕刻結束後之ArF光阻劑層之殘膜量。 -56- (52) (52)200401365 另一方面,在上下電極來施加高頻電源之時間前後, 於靜電夾頭1 1內之電極1 2,施加直流電源I 3,將被處理 體W靜電吸附在靜電夾頭1 1上。如果像這樣而結束反射 防止層1 8 2之蝕刻的話,則停止蝕刻氣體或高頻電力之供 m 。 接著,在處理容器2內,供應其他之蝕刻氣體、例如 C5F8、02和Ar之混合氣體,調整處理容器2內之壓力成 爲例如2.00Pa ( 15mT〇rr)。對於上部電極21和成爲下部 電極之感測器5,施加高頻電源,對於該蝕刻氣體來進行 電漿化而蝕刻被處理體W中之Si02層181 (第13B圖) 。在蝕刻中,藉由終點檢測器(並未圖示)而檢測既定之 發光強度,根據這個而結束蝕刻。 此外,蝕刻對象部係並非限定在前述Si02膜,也可 以適用在 TEOS、BPSG、PSG、SOG、熱氧化膜、HTO、 FSG、有機系氧化Si膜、CORAL(N〇veIas公司)等之氧 化膜(氧化合物)或低介電質有機絕緣膜等之蝕刻上。此 外,所適用之電漿蝕刻裝置之構造係也不限定在第1圖者 〇 接著,就根據本實施形態之實施例而進行說明。 被處理體係使用具有第13A圖之Si02層(膜厚2/i m )、覆蓋該Si〇2層之反射防止層(膜厚60nm)和覆蓋該 反射防止層之ArF光阻劑層(膜厚360nm)者。 實施例之反射防止層之蝕刻條件係正如以下。也就是 說,使得處理容器2內之壓力成爲6.66Pa(50mTorr), -57- (53) 200401365 會虫刻氣體成爲CF4(流量100mL/min(sccm))和CH3F (流量4或7mL/min(sccm))之混合氣體,在上部電 極,由60MHz頻率之高頻電源而施加l〇〇〇W之高頻電力 ,在下部電極,由2MHz頻率之高頻電源而施加1 〇〇 w之 高頻電力。此外,即使是在爲了取代CH3F而使用各個H2 (流量 5'10 或 15mL/min(sccm) ) 、CH2F:z(流量 5 或 10mL/min(sccm))及 CHF3(流量 10、30、50 或 70mLX min ( seem ))之蝕刻氣體,也同樣地進行蝕刻。(Seventh Embodiment) Here, using the plasma processing apparatus 1 shown in FIG. 1 described above, it is implemented to have a Si02 layer 181 as an etching target shown in FIG. 13A, and a reflection covering the 3/02 layer 181 The anti-reflection layer 182 and the object to be processed W of the photoresist layer 183 covering the antireflection layer 182 and composed of an ArF photoresist or an F2 photoresist, pass through the opening pattern of the photoresist layer 183] 83a. The operation of etching the layer 1 8 2 is performed; and the operation of etching the Si02 layer 1 8 1 after the operation. Even in this embodiment, if -55- (51) (51) 200401365 is A r F photoresist or F 2 photoresist, alicyclic acrylic resin, cycloolefin resin, cycloolefin- Maleic anhydride resin. As the anti-reflection layer, an organic polymer material or amorphous carbon can be applied. First, the gate valve 32 is opened, and the object to be processed W is carried into the processing container 2 and placed on the electrostatic chuck U. Next, the gate valve 32 is closed, and after the pressure in the processing container 2 is reduced by the exhaust device 35, the valve 28 is opened, and a substance containing C and F and a substance containing C and F are supplied from the processing gas supply source 30. The etching gas of the plutonium substance makes the pressure in the processing container 2 a predetermined plutonium, for example, 6.66Pa (50mTorr). In this state, a high-frequency power source is applied to the upper electrode 21 and the sensor 5 serving as the lower electrode, and the etching gas is used to plasmatize the anti-reflection layer 1 82 (the first 3 A picture). This can increase the amount of residual film of the photoresist layer 1 8 3 after the etching of the anti-reflection layer 1 2 2 is completed, and it is possible to form a hole or groove having a desired opening shape in the next etching operation. groove. As the substance containing C and F used in this etching, CF4 with little damage to the ArF photoresist layer is exemplified. In addition, as the substance system having tritium, hydrogen carbon, H2, and hydrofluorocarbon can be used. Examples of the hydrogen carbon system include CH4 and the like. As a hydrofluorocarbon system, the ratio of the number of Η atoms to the number of F atoms 値 is preferably 3 or more. As such a material system, CH3F is exemplified. In the state where CH3F is used, the ratio 流量 of the flow rate of CH3F in the etching gas to the flow rate of the substance having C and F can be 0.0 4 to 0. 〇7 ', compared with when no CH 3 F is added at all, The amount of the residual film of the ArF photoresist layer after the etching of the antireflection layer is also increased considerably. -56- (52) (52) 200401365 On the other hand, before and after the time of applying high-frequency power to the upper and lower electrodes, apply a DC power source I 3 to the electrode 1 2 in the electrostatic chuck 11 to statically treat the object W Adsorbed on the electrostatic chuck 1 1. If the etching of the reflection preventing layer 182 is finished in this way, the supply of the etching gas or high-frequency power m is stopped. Next, in the processing container 2, another etching gas such as a mixed gas of C5F8, 02, and Ar is supplied, and the pressure in the processing container 2 is adjusted to, for example, 2.00 Pa (15 mTorr). A high-frequency power source is applied to the upper electrode 21 and the sensor 5 serving as a lower electrode, and the etching gas is plasmatized to etch the Si02 layer 181 in the object W (Fig. 13B). In the etching, an end point detector (not shown) detects a predetermined luminous intensity, and the etching is terminated based on this. In addition, the etching target system is not limited to the above-mentioned Si02 film, and may be applied to oxide films such as TEOS, BPSG, PSG, SOG, thermal oxide film, HTO, FSG, organic silicon oxide film, and CORAL (Novaveas) (Oxygen compound) or low dielectric organic insulating film. In addition, the structure of the plasma etching apparatus to be applied is not limited to that shown in FIG. 1. Next, an example based on this embodiment will be described. The treated system used the SiO2 layer (film thickness 2 / im) of Figure 13A, the anti-reflection layer (film thickness 60nm) covering the SiO2 layer, and the ArF photoresist layer (film thickness 360nm) covering the anti-reflection layer. )By. The etching conditions of the anti-reflection layer in the examples are as follows. In other words, the pressure in the processing container 2 is 6.66Pa (50mTorr), -57- (53) 200401365 will be engraved into CF4 (flow rate 100mL / min (sccm)) and CH3F (flow rate 4 or 7mL / min ( sccm)), a high frequency power of 60 MHz is applied to the upper electrode by a high frequency power source of 60 MHz and a high frequency power of 2 MHz is applied to the lower electrode by a high frequency power of 1 000 W. electric power. In addition, even when using H2 (flow rate 5'10 or 15mL / min (sccm)), CH2F: z (flow rate 5 or 10mL / min (sccm)), and CHF3 (flow rate 10, 30, 50, or An etching gas of 70 mLX min (seem)) was also similarly etched.

在比較例,使得蝕刻氣體僅成爲CF4 (流量I OOmL/ m i n ( s c c m )),其他之餓刻條件係相同於實施例。 藉由以上之實施例及比較例之條件而進行反射防止膜 1 82之蝕刻,在測定經過一定蝕刻時間後之ArF光阻劑層 之殘膜厚度時,得到以下結果。 在實施例,於使用CH3F之狀態下,厚度係在其流量 4mL/ min 成 爲 3 7 5 n r η,在 7 m L / min 成 爲 4 05 n m 〇 在使 用h2 之狀態 下 ,厚度 :係在其流量 5 m ] L/ mi n 成 爲 3 4 5 n m ,在 1 0 m L / m i η和 1 5 m L / min, 成 爲 3 6 0i l m ο 在 使用 ch2f2 之狀態 下 ,厚度係在其流量 5 m L/ mi n 成 爲 3 4 5 n rr ,在】 L 0 m L / ] mi r 1成爲 4 0 0 n m 〇在使 i用 chf3 之 狀 態 下 ,厚 度係在其流量l〇mL/min成爲350nm’在30mL/min成 爲 3 6 Onm,在 5 0 m L / m i η 成爲 3 6 Onm,在 7 0 m L / m i η 成 爲3 90nm。相對於此,在比較例,厚度係成爲3 3 0nm。In the comparative example, the etching gas was made to only CF4 (flow rate 100 mL / min (s c c m)), and other conditions for etching were the same as in the embodiment. The antireflection film 182 was etched under the conditions of the above examples and comparative examples, and the following results were obtained when the residual film thickness of the ArF photoresist layer was measured after a certain etching time. In the example, under the condition that CH3F is used, the thickness is 37.5 5 nr η at a flow rate of 4mL / min, and 4 05 nm at 7 m L / min. In the state that h2 is used, the thickness is at the flow rate 5 m] L / mi n becomes 3 4 5 nm, at 10 m L / mi η and 15 m L / min, it becomes 3 6 0i lm ο When using ch2f2, the thickness is at the flow rate of 5 m L / mi n becomes 3 4 5 n rr, where] L 0 m L /] mi r 1 becomes 4 0 nm 〇 In the state of chf3 for i, the thickness is at a flow rate of 10 mL / min to 350 nm 'at 30 mL / min becomes 3 6 Onm, it becomes 3 6 Onm at 50 m L / mi η, and it becomes 3 90 nm at 70 m L / mi η. On the other hand, in the comparative example, the thickness is 330 nm.

由以上而確認··即使是在任何一個實施例’也比起比 較例,還更加地增加殘膜厚度。認爲這個係由於蝕刻ArF -58- (54) 200401365 光阻劑層之F活化種和由具有H之氣體所生成之H活化 種適度地發生反應而成爲HF等之氣體來排出至處理容器 外之緣故。From the above, it was confirmed that even in any of the embodiments, the thickness of the residual film was increased more than that of the comparative example. It is thought that this is because the F-activated species of the photoresist layer etched ArF -58- (54) 200401365 and the H-activated species generated from the gas have reacted moderately to become a gas such as HF and discharged to the outside of the processing container. The reason.

此外,即使是在這些實施例中’ C Η 3 F係也特別良好 。認爲不論ch3f之流量是否成爲少量而殘膜量變多係由 於分子中之Η原子數更加多於F原子數之緣故=但是, 例如在Η 2之化學穩定之物質’推測比起即使生成Η活化 種而和F活化種發生反應,則和其他Η活化種發生反應 而進行再結合係比較具有優勢’殘膜量係並沒有比起其他 物質時,還變得更多。In addition, the 'C Η 3 F system is particularly good even in these examples. It is thought that whether the flow rate of ch3f becomes small and the amount of residual film increases is because the number of plutonium atoms in the molecule is more than the number of F atoms = However, for example, a chemically stable substance in plutonium 2 is presumed to be more active than that even if plutonium is generated When the species reacts with the F-activated species, it is advantageous to react with other Η-activated species to perform a recombination system. The amount of the residual membrane system is not more than that of other substances.

因此,確認可以將物質本身呈現某種程度不穩定並且 在該物質中存在許多Η原子之例如氫碳(CH4、C2H4等) 或氫氟碳(特別是Η原子數對於F原子數之比値3以上 者、例如 C Η 3 F )等,加入至蝕刻氣體中。此外,確認: 在使用CH3F之狀態下,即使是CH3F流量對於成爲具有 C和F之物質之CF4流量之比値成爲0.04〜0.07之所謂少 量,也可以使得殘膜量變多。 (第8實施形態) 在此,使用前述第1圖所示之電漿處理裝置1,實施 :對於第】4A圖所示之具有成爲蝕刻對象層之Si02層 191、覆蓋該5丨02層191之反射防止層192和覆蓋該反射 防止層1 92並且由ArF光阻劑或F2光阻劑所構成之光阻 劑層1 93的被處理體W,通過光阻劑層1 93之開口圖案 -59- (55) (55)200401365 1 93 a ’對於反射防止層! 92來進行蝕刻之作業;以及,在 該作業後之蝕刻Si 〇2層〗9 1之作業。即使是在該實施形 5?、’作爲A r F光阻劑及F 2光阻劑’係也可以使用含脂環 族丙燃酸樹脂、環嫌烴樹脂、環嫌烴一順丁嫌二酸肝樹脂 。作爲反射防止層’係可以使用有機高分子材料或非結晶 質碳。 首先’開放閘閥32’將被處理體W搬入至處理容器 2內’配置在靜電夾頭11上。接著,關閉閘閥3 2,在藉 由排氣裝置3 5而對於處理容器2內來進行減壓後,開放 閥2 8 ’由處理氣體供應源3 0來供應包含具有C和j:之物 質及CO之第1蝕刻氣體、例如CF4和CO之混合氣體, 使得處理容器2內之壓力成爲既定値、例如]3 . 3 P a ( 1 0 0m Torr )。在該狀態下,對於上部電極21和成爲下部 電極之感測器5,施加高頻電源,對於第1鈾刻氣體來進 行電漿化,蝕刻被處理體W中之反射防止層〗92 (第1 4A 圖)。另一方面’在上下電極來施加高頻電源之時間前後 ,於靜電夾頭1 1內之電極1 2,施加直流電源丨3,將被處 理體W靜電吸附在靜電夾頭11上。如果結束反射防止層 1 9 2之蝕刻的話,則停止第1蝕刻氣體和高頻電力之供應 〇 接著,在處理容器2內,供應第2蝕刻氣體、例如 c5f8、c4f6之包含氟碳之氣體 '具體地說是c5f8或c4f6 和〇2、Ar之混合氣體,調整處理容器2內之壓力成爲第 2蝕刻氣體之既定値、例如2.00Pa ( 15mT〇rr )。對於上 -60- (56) 200401365 部電極2 1和成爲下部電極之感測器5,施加高頻電源, 對於第2蝕刻氣體來進行電漿化而蝕刻被處理體W中之 Si02層191 (第MB圖)。在蝕刻中,藉由終點檢測器( 並未圖示)而檢測既定之發光強度,根據這個而結束蝕刻 此外,蝕刻對象部係並非限定在前述Si 02層,也可 以適用在 TEOS、BPSG、PSG、SOG、熱氧化膜、HTO' fSG、有機系氧化Si膜、CORAL (Novelas公司)等之氧Therefore, it is confirmed that the substance itself can be present to a certain degree of instability and there are many plutonium atoms in the substance such as hydrogen carbon (CH4, C2H4, etc.) or hydrofluorocarbon (especially the ratio of the number of atom to the number of F atom 原子 3 The above, for example, C Η 3 F) and the like are added to the etching gas. In addition, it was confirmed that, in the case of using CH3F, even if the ratio of the flow rate of CH3F to the flow rate of CF4 which is a substance having C and F is 0.04 to 0.07, the amount of residual film can be increased. (Eighth Embodiment) Here, using the plasma processing apparatus 1 shown in FIG. 1 described above, it is implemented that the Si02 layer 191 having a layer to be etched as shown in FIG. 4A is covered with the 5 丨 02 layer 191 The antireflection layer 192 and the object W of the photoresist layer 193 covering the antireflection layer 192 and composed of an ArF photoresist or an F2 photoresist pass through the opening pattern of the photoresist layer 193- 59- (55) (55) 200401365 1 93 a 'For the anti-reflection layer! The operation of etching is performed at 92; and the operation of etching the Si 02 layer 9 1 after this operation is performed. Even in the fifth embodiment, the "As A F photoresist and F 2 photoresist" system can also use alicyclic propionic acid resin, cyclophosphine resin, cyclophosphine-cis butadiene Acid liver resin. As the anti-reflection layer ', an organic polymer material or amorphous carbon can be used. First, the "open gate valve 32" carries the object to be processed W into the processing container 2 "and is disposed on the electrostatic chuck 11. Next, the gate valve 32 is closed, and after the inside of the processing container 2 is depressurized by the exhaust device 35, the valve 2 8 'is opened to supply a substance containing C and j: from the processing gas supply source 30 and The first etching gas of CO, for example, a mixed gas of CF4 and CO, makes the pressure in the processing vessel 2 a predetermined pressure, for example, 3.3 Pa (100 m Torr). In this state, a high-frequency power source is applied to the upper electrode 21 and the sensor 5 serving as the lower electrode, and the first uranium-etched gas is plasmatized to etch the anti-reflection layer in the object to be treated. 1 4A). On the other hand, before and after the high-frequency power is applied to the upper and lower electrodes, a DC power source 3 is applied to the electrode 12 in the electrostatic chuck 11 to electrostatically adsorb the processing object W on the electrostatic chuck 11. When the etching of the anti-reflection layer 192 is finished, the supply of the first etching gas and high-frequency power is stopped. Then, in the processing container 2, a second etching gas such as a gas containing fluorocarbon such as c5f8 and c4f6 is supplied. Specifically, it is a mixed gas of c5f8 or c4f6 and 〇2 and Ar, and the pressure in the processing container 2 is adjusted to a predetermined value of the second etching gas, for example, 2.00Pa (15mTorr). A high-frequency power source is applied to the upper electrode 60-1 (56) 200401365 and the sensor 5 serving as the lower electrode, and the second etching gas is plasmatized to etch the Si02 layer 191 in the object W ( (Figure MB). In the etching, a predetermined luminous intensity is detected by an end point detector (not shown), and the etching is terminated based on this. In addition, the etching target system is not limited to the aforementioned Si 02 layer, and can also be applied to TEOS, BPSG, and PSG. , SOG, thermal oxide film, HTO 'fSG, organic silicon oxide film, CORAL (Novelas), etc.

化膜(氧化合物)或低介電質有機絕緣膜等之蝕刻上。此 外,所適用之電漿蝕刻裝置之構造係也不限定在第1圖者 接著,就根據本實施形態之實施例而進行說明。Etching of chemical film (oxygen compound) or low dielectric organic insulating film. In addition, the structure of the plasma etching apparatus to be applied is not limited to that shown in Fig. 1. Next, an example based on this embodiment will be described.

作爲被處理體係使用第 MA圖者。實施例之第1蝕 刻條件係正如以下。也就是說,使得處理容器2內之壓力 成爲 6.66Pa ( 50mTorr )或 1 3.3Pa ( 1 OOmTorr ),第 1 蝕 刻氣體之流量成爲 CF4: 75、100或 200mL/min(sccm )、CO: 25、100 或 200mL/mixi(sccm),在上部電極 ’由60MHz頻率之高頻電源而施加1000' 1500或2000W 之高頻電力’在下部電極’由2MHz頻率之高頻電源而施 加100W之高頻電力。 比較例之第1蝕刻條件係正如以下。也就是說,使得 容器內壓力成爲6.66Pa(50mTorr) ’作爲第1蝕刻氣體 係僅以1 0 〇 m L / m i n ( s c c m )之流量而添加c F 4 (不添加 C〇 ),高頻電源之頻率和施加電力係相同於實施例。 -61 - (57) 200401365 實施例及比較例之第2蝕刻條件係正如以下。也就是 說,使得處理谷is內之壓力成爲2.00Pa(15mTorr),第 2鈾刻氣體之C 5 F 8、0 2、A r之流量分別成爲〗5、1 9、 380mL/min(sccm),在上部電極,由60MHz頻率之高 頻電源而施加2170W之高頻電力,在下部電極,由2MHz 頻率之高頻電源而施加1550W之高頻電力。 在以上條件下而進行第1蝕刻及第2蝕刻,結果,在 第2蝕刻作業之Si 02層對於ArF光阻劑層之選擇比( Si〇2層之蝕刻速度/ ArF光阻劑罩幕層之蝕刻速度)係實 施例大幅度地超過比較例。例如在實施例之第1蝕刻條件 一壓力:13.3Pa(100mTorr) 、CF4 流量:75mL/min( seem) 、CO流量:25mL / min ( seem)、上部電極施加 電力:1 000W時之選擇比係9.7,比較例之前述選擇比係 6.3 ^Use the MA map as the processed system. The first etching conditions in the examples are as follows. That is, the pressure in the processing container 2 is 6.66 Pa (50 mTorr) or 1 3.3 Pa (100 mTorr), and the flow rate of the first etching gas becomes CF4: 75, 100, or 200 mL / min (sccm), CO: 25, 100 or 200mL / mixi (sccm), apply 1000 '1500 or 2000W high-frequency power to the upper electrode' from a high-frequency power source of 60MHz frequency, and apply 100W high-frequency power to the lower electrode 'from a 2MHz frequency high-frequency power source . The first etching conditions of the comparative example are as follows. In other words, the pressure in the container is set to 6.66 Pa (50 mTorr). As the first etching gas system, c F 4 (without C 0) is added only at a flow rate of 100 m L / min (sccm). The frequency and applied power are the same as in the embodiment. -61-(57) 200401365 The second etching conditions of Examples and Comparative Examples are as follows. In other words, the pressure in the processing valley is 2.00Pa (15mTorr), and the flow rate of C 5 F 8, 0, and A 2 of the second uranium-engraved gas is 〖5, 19, and 380mL / min (sccm) respectively. In the upper electrode, a high-frequency power of 2170W is applied by a high-frequency power source of 60MHz frequency, and in a lower electrode, a high-frequency power of 1550W is applied by a high-frequency power source of 2MHz frequency. Under the above conditions, the first etching and the second etching were performed. As a result, the selection ratio of the Si 02 layer to the ArF photoresist layer in the second etching operation (etching speed of the Si02 layer / ArF photoresist mask layer) The etching rate) of the example greatly exceeds that of the comparative example. For example, under the first etching condition of the embodiment, a pressure: 13.3 Pa (100 mTorr), a CF4 flow rate: 75 mL / min (seek), a CO flow rate: 25 mL / min (seek), and an upper electrode applied power: 1 000 W. 9.7, the aforementioned selection ratio of the comparative example is 6.3 ^

此外,在爲了取代第2蝕刻作業之C5F8而使用C4F6 時,前述選擇比係實施例(第1蝕刻氣體係C F 4和C 0 ) 超過比較例(第1蝕刻氣體係僅C F4 )。 此外,認爲藉由包含具有C和F之物質和C Ο之氣體 之電漿而使得具有碳間結合之保護膜,形成在ArF光阻劑 層之表面上,因此,可以藉由僅在ArF光阻劑層之表面, 照射包含具有C和F之物質和CO之氣體之電漿,而提高 ArF光阻劑層之耐電漿性。 此外,本發明係也可以適用在例如並無像ArF光阻劑 層狀態一樣之耐電漿性之提升效果之ArF光阻劑層以外之 -62- (58) 200401365 罩幕層上。 此外,作爲第2蝕刻氣體係不限定在包含C5F8或 C 4 F6之氣體,也可以使用包含氟碳、氫氟碳等之其他之含 氟化合物之氣體。 (第9實施形態)In addition, when C4F6 is used in place of C5F8 of the second etching operation, the foregoing selection ratio example (the first etching gas system C F 4 and C 0) exceeds the comparative example (the first etching gas system only C F4). In addition, it is considered that a protective film having an inter-carbon bond is formed on the surface of the ArF photoresist layer by a plasma including a substance having C and F and a gas of C 0. The surface of the photoresist layer is irradiated with a plasma containing a substance having C and F and a gas of CO to improve the plasma resistance of the ArF photoresist layer. In addition, the present invention can also be applied to, for example, an ArF photoresist layer other than an ArF photoresist layer that does not have the effect of improving the plasma resistance like the state of an ArF photoresist layer. The second etching gas system is not limited to a gas containing C5F8 or C4F6, and a gas containing other fluorine-containing compounds such as fluorocarbon and hydrofluorocarbon may be used. (Ninth Embodiment)

在此,使用前述第1圖所示之電漿處理裝置1,實施 :對於第1 5 A圖所示之具有成爲蝕刻對象之S i 〇2層2 0 1 、覆蓋該Si02層201之有機反射防止層2 02和形成覆蓋 該有機反射防止層2 02之開口圖案2 03 a並且由ArF光阻 劑或F2光阻劑所構成之光阻劑層203的被處理體W,通 過光阻劑層2 03之開口圖案,對於有機反射防止層2 02來 進行電漿蝕刻之作業;以及,對於Si02層201來進行電 漿蝕刻之作業。即使是在該實施形態,作爲ArF光阻劑及 F2光阻劑,係也可以使用含脂環族丙烯酸樹脂、環烯烴樹 脂、環烯烴一順丁烯二酸酐樹脂。作爲有機反射防止層 2 02,係藉由例如有機系高分子材料所形成。 首先,開放閘閥3 2,將被處理體W搬入至處理容器 2內,配置在靜電夾頭Π上。接著,關閉閘閥3 2,在藉 由排氣裝置3 5而對於處理容器2內來進行減壓後,開放 閥28,由處理氣體供應源30來供應包含成爲含Si物質 之SiF4之蝕刻氣體,使得處理容器2內之壓力成爲既定 値。爲了取代SiF4,因此,可以使用其他之包含Si之物 質,但是,如果由使得有機反射防止層202之蝕刻速度變 -63- (59) (59)200401365 大之觀點來看的話,則最好是S i F4。在蝕刻氣體’除了含 Si物質以外,也可以包含CHF3、HBr、He或H2’例如使 用 SiF4 和 H2 。 在該狀態下,由第1、第2高頻電源4〇、5 0而供應 高頻電力,對於蝕刻氣體來進行電漿化,通過光阻劑層 203之開口圖案203a,對於有機反射防止層202來進行蝕 刻。另一方面,在由第1、第2高頻電源40、5 〇來施加 高頻電力之時間前後,於靜電夾頭1 1內之電極1 2 ’施加 直流電壓,將被處理體w靜電吸附在靜電夾頭π上。如 果僅在既定時間而進行蝕刻的話’則停止高頻電力或蝕刻 氣體之供應,結束有機反射防止層202之蝕刻。可以藉由 終點檢測器(並未圖示)而檢測既定之發光強度’根據這 個而結束鈾刻作業。 接著,在同一個處理容器內或其他處理容器內’以相 同於有機反射防止層2 〇 2蝕刻之同樣順序而通過光阻劑層 之開口圖案2 0 3 a,對於S i Ο 2層2 0 1來進行電漿鈾刻。作 禽此時之蝕刻氣體係可以使用例如C4F6、02和Ar ’但是 ,並非限定於此。 像這樣,在通過光阻劑層2 03之開口圖案而對於有機 反射防止層202來進行電漿蝕刻時,使用成爲包含Si之 氣體之S i F4氣體,因此,可以在蝕刻中,於光阻劑層2 0 3 之表面,形成包含S i之薄硬化層’能夠提高光阻劑層 203之耐電漿性。因此’在蝕刻有機反射防止層202時’ 不產生表面皴裂或縱條紋發生’可以高度地維持由耐電漿 _ 64 - (60) 200401365 性低之ArF光阻劑或F2光阻劑所構成之光阻劑層203之 耐電漿性。在該狀態下,於有機反射防止層2 02之蝕刻氣 體含有H2之狀態下,光阻劑層203表面之C = 0鍵係藉 由化學而變換成爲牢固之C-C鍵或c=c鍵,因此,能 夠隨著對於前述光阻劑層2 03表面來形成包含Si之薄硬 化層而更加提高耐電漿性。Here, using the plasma processing apparatus 1 shown in FIG. 1 described above, the organic reflection of the Si 02 layer 2 0 1 having the etching target shown in FIG. The preventive layer 2 02 and the object to be processed W forming a photoresist layer 203 that covers the organic reflection preventing layer 2 02 with an opening pattern 2 03 a and is made of an ArF photoresist or an F2 photoresist, pass through the photoresist layer For the opening pattern of 03, plasma etching is performed for the organic reflection prevention layer 202; and, for the Si02 layer 201, plasma etching is performed. Even in this embodiment, as the ArF photoresist and the F2 photoresist, alicyclic acrylic resins, cycloolefin resins, and cycloolefin-maleic anhydride resins can be used. The organic reflection preventing layer 202 is formed of, for example, an organic polymer material. First, the gate valve 32 is opened, and the object to be processed W is carried into the processing container 2 and placed on the electrostatic chuck Π. Next, the gate valve 32 is closed, and the inside of the processing container 2 is depressurized by the exhaust device 35. Then, the valve 28 is opened, and an etching gas containing SiF4 which is a Si-containing substance is supplied from the processing gas supply source 30. This makes the pressure in the processing container 2 a predetermined pressure. In order to replace SiF4, other materials containing Si may be used. However, from the viewpoint of making the etching rate of the organic reflection prevention layer 202 -63- (59) (59) 200401365 large, it is preferable S i F4. In addition to the Si-containing substance, the etching gas 'may include CHF3, HBr, He, or H2'. For example, SiF4 and H2 are used. In this state, high-frequency power is supplied from the first and second high-frequency power sources 40 and 50, and the etching gas is plasmatized. The opening pattern 203a of the photoresist layer 203 is used to prevent the organic reflection layer. 202 to perform etching. On the other hand, before and after the high-frequency power is applied by the first and second high-frequency power sources 40 and 50, a DC voltage is applied to the electrode 1 2 ′ in the electrostatic chuck 11 to electrostatically adsorb the subject w. On the electrostatic chuck π. If the etching is performed only at a predetermined time, the supply of high-frequency power or etching gas is stopped, and the etching of the organic reflection preventing layer 202 is ended. An end point detector (not shown) can be used to detect a predetermined luminous intensity ' Next, in the same processing container or other processing container, 'pass the opening pattern of the photoresist layer 2 0 3 a in the same order as the etching of the organic reflection prevention layer 2 02, and for the Si 2 layer 2 0 1 to perform plasma uranium engraving. As the etching gas system at this time, for example, C4F6, 02, and Ar 'can be used, but is not limited thereto. As described above, when plasma etching is performed on the organic reflection preventing layer 202 through the opening pattern of the photoresist layer 203, Si F4 gas, which is a gas containing Si, is used. Therefore, the photoresist can be used in the photoresist during etching. Forming a thin hardened layer including Si on the surface of the agent layer 203 can improve the plasma resistance of the photoresist layer 203. Therefore, 'the surface crack or vertical streak does not occur when the organic antireflection layer 202 is etched', it can be maintained to a high degree by the plasma resistance _ 64-(60) 200401365. ArF photoresist or F2 photoresist with low resistance Plasma resistance of the photoresist layer 203. In this state, in a state where the etching gas of the organic antireflection layer 202 contains H2, the C = 0 bond on the surface of the photoresist layer 203 is chemically converted into a strong CC bond or c = c bond, so As the thin hardened layer containing Si is formed on the surface of the photoresist layer 203, the plasma resistance can be further improved.

此外,在像這樣而蝕刻有機反射防止層202後,通過 光阻劑層203之開口圖案而實施成爲蝕刻對象層之Si02 層20 1之蝕刻,因此,在蝕刻有機反射防止層202時,提 高耐電漿性之光阻劑層203係即使是在成爲蝕刻對象之 S i Ο 2層2 0 1之電漿蝕刻,也高度地維持耐電漿性,不產生 光阻劑層之表面皺裂或縱條紋發生,可以進行電漿蝕刻。In addition, after the organic antireflection layer 202 is etched in this manner, the Si02 layer 201 which is an etching target layer is etched through the opening pattern of the photoresist layer 203. Therefore, when the organic antireflection layer 202 is etched, the electric resistance is improved The slurry-based photoresist layer 203 is highly plasma-resistant even if it is plasma-etched in the Si 0 2 layer 2 01, which is the subject of etching, and does not cause surface cracks or vertical stripes on the photoresist layer. Occur, plasma etching can be performed.

此外,蝕刻對象層係不限定在前述S i Ο 2所代表之S i 氧化物,例如可以適用S i氮化物、S i碳化物等之其他s i 化合物、單結晶S i、多結晶S i、有機材料、有機-無機 混合材料、金屬 '金屬化合物等。此外,電漿處理裝置之 構造係也不限定在第1圖者。 接著,就根據本實施形態之實施例而進行說明。 在此,使用第〗5圖構造之被處理體,進行:使用具 有包含S i之物質之各種蝕刻氣體之有機反射防止層之蝕 刻(實施例9一1〜9一 7)以及使用不具有包含Si之物質 之蝕刻氣體之有機反射防止層之蝕刻(比較例9 一 1、9 - 2 )。 在各個實施例及比較例之第1高頻電源、第2高頻電 -65- (61) 200401365 源之頻率係分別成爲60MHz、13.56 MHz。此外,在以下 條件之各個實施例及比較例之有機反射防止層之蝕刻後, 於後面敘述之蝕刻條件下,進行Si02層之電漿蝕刻。 有機反射防止層之蝕刻 (實施例9 — 1 ) 處理容器內壓力:〇.67Pa(5mTorr)In addition, the layer to be etched is not limited to the Si oxide represented by the aforementioned Si 02. For example, other si compounds such as Si nitride, Si carbide, single crystal Si, polycrystalline Si, Organic materials, organic-inorganic hybrid materials, metals' metal compounds, etc. In addition, the structure of the plasma processing apparatus is not limited to that shown in the first figure. Next, an example based on this embodiment will be described. Here, using the object to be processed having the structure shown in FIG. 5, etching is performed using an organic reflection preventing layer having various etching gases containing a substance Si (Examples 9 to 1 to 9 to 7) and using no Etching of an organic reflection preventing layer of an etching gas made of Si (Comparative Examples 9-1, 9-2). The frequencies of the first high-frequency power source and the second high-frequency power source in each of the Examples and Comparative Examples are -65- (61) 200401365. The frequencies of the sources are 60 MHz and 13.56 MHz, respectively. In addition, after the etching of the organic reflection preventing layer in each of the Examples and Comparative Examples under the following conditions, plasma etching of the SiO 2 layer was performed under the etching conditions described later. Etching of the organic reflection preventing layer (Example 9-1) Pressure in the processing container: 0.67 Pa (5 mTorr)

來自第1高頻電源之高頻電力:300WHigh-frequency power from the first high-frequency power source: 300W

來自第2高頻電源之高頻電力:60W 蝕刻氣體及其流量:SiF4成爲0.08L / min ( 80sccm) (實施例9 — 2 ) 處理容器內壓力:6.7Pa( 5 0mT〇rr )High-frequency power from the second high-frequency power source: 60W etching gas and its flow rate: SiF4 becomes 0.08L / min (80sccm) (Example 9-2) Pressure in the processing vessel: 6.7Pa (50mT〇rr)

來自第1高頻電源之高頻電力:700W 來自第2高頻電源之高頻電力:1〇〇 W 蝕刻氣體及其流量·· SiF4成爲0.1L / min ( lOOsccm) (實施例9 _ 3 ) 處理容器內壓力·· 〇.67Pa(5mT〇rr)High-frequency power from the first high-frequency power supply: 700W High-frequency power from the second high-frequency power supply: 100W Etching gas and its flow rate. SiF4 becomes 0.1L / min (100sccm) (Example 9_3) Pressure in the processing vessel · · 67Pa (5mT〇rr)

來自第1高頻電源之高頻電力:300WHigh-frequency power from the first high-frequency power source: 300W

來自第2高頻電源之高頻電力:60 W 蝕刻氣體及其流量:SiF4成爲〇.〇4L/min(4〇SCcm) CHF3 成爲 0.04L/min ( 40sccm) -66 - (62) 200401365 (實施例9 一 4 ) 處理容器內壓力:〇.67Pa ( 5mTor〇High-frequency power from the second high-frequency power source: 60 W etching gas and its flow rate: SiF4 becomes 0.04L / min (40SCcm) and CHF3 becomes 0.04L / min (40sccm) -66-(62) 200401365 (Implementation Example 9-4) Pressure in the processing container: 0.67Pa (5mTor.

來自第1高頻電源之高頻電力:300W 來自第2高頻電源之高頻電力:60 w 蝕刻氣體及其流量:SiF4成爲〇.〇4L/ min ( 40sccm) HBr 成爲 〇.〇4L/min ( 40sccm) (實施例9 一 5 ) 處理容器內壓力:0.67Pa(5mT〇rr)High-frequency power from the first high-frequency power source: 300W High-frequency power from the second high-frequency power source: 60 w Etching gas and its flow rate: SiF4 becomes 0.4L / min (40sccm) HBr becomes 0.4L / min (40sccm) (Examples 9 to 5) Pressure in the processing vessel: 0.67Pa (5mTorr)

來自第I高頻電源之高頻電力:300WHigh-frequency power from the first high-frequency power source: 300W

來自第2高頻電源之高頻電力:60W 蝕刻氣體及其流量·· SiF4成爲〇.〇4L/min ( 40sccm)High-frequency power from the second high-frequency power source: 60W etching gas and its flow rate. SiF4 becomes 0.4L / min (40sccm)

He 成爲 〇.〇4L/min ( 40sccm) (實施例9 — 6 )He becomes 0.04L / min (40sccm) (Example 9-6)

處理容器內壓力·· 〇.67Pa ( 5mT〇rr )Pressure in the processing vessel · · 67Pa (5mT〇rr)

來自第1高頻電源之高頻電力:300WHigh-frequency power from the first high-frequency power source: 300W

來自第2高頻電源之高頻電力:60 W 蝕刻氣體及其流量:SiF4成爲〇.〇4L/ min ( 40sccm) HBr 成爲 0.02L/min ( 20sccm)High-frequency power from the second high-frequency power source: 60 W etching gas and its flow rate: SiF4 becomes 0.04L / min (40sccm) HBr becomes 0.02L / min (20sccm)

He 成爲 0.02L/min(20sccm) (實施例9 — 7 ) -67- (63)200401365 處理容器內壓力:6.7Pa(50mT〇rr) 來自第1高頻電源之高頻電力:〗〇〇〇 W 來自第2商頻電源之局頻電力:100W 蝕刻氣體及其流量:SiF4成爲0.03L/mi H2 成爲 〇.〇2L/min (3 0 s c c m ) 2 0 s c c m ) (比較例9 — 1 ) 處理容器內壓力:〇.93Pa(7mTorr) 來自第1高頻電源之高頻電力:100W 來自第2高頻電源之高頻電力:250W 蝕刻氣體及其流量:CF4成爲0.072L/mi CHF3 成爲 0.026L / min ( 26sccm) 〇2 成爲 〇.〇〇6L / min ( 6sccm) (比較例9 — 2 ) 處理容器內壓力:6.7Pa ( 50mTorr) 來自第1高頻電源之高頻電力:1000W 來自第2高頻電源之高頻電力:100W 蝕刻氣體及其流量:CF4成爲0.1 L/ min ( S i 〇 2層之蝕刻 (實施例9— 1、9— 3〜9— 6及比較例9— 1) η ( 7 2 s c c m ) 1 0 0 s c c m ) -68 - (64)200401365 處理容器內壓力:〗6Pa(120mTorr) 來自第1高頻電源之高頻電力:550W 來自第2高頻電源之高頻電力:350W 蝕刻氣體及其流量:CF4成爲0.1L / min ( lOOsccni ) CHF3 成爲 0.06L/min(60sccm) (實施例9—2' 9— 7及比較例9—2) 處理容器內壓力:2.7Pa(20mT〇rr)He becomes 0.02 L / min (20 sccm) (Example 9-7) -67- (63) 200401365 Pressure in the processing vessel: 6.7Pa (50mT〇rr) High-frequency power from the first high-frequency power source: 〖〇〇〇〇 W Local-frequency power from the second commercial frequency power supply: 100W etching gas and its flow rate: SiF4 becomes 0.03L / mi H2 becomes 0.02L / min (30 sccm) 2 0 sccm) (Comparative Example 9-1) Processing Pressure in the container: 0.93 Pa (7mTorr) High-frequency power from the first high-frequency power supply: 100W High-frequency power from the second high-frequency power supply: 250W Etching gas and its flow rate: CF4 becomes 0.072L / mi CHF3 becomes 0.026L / min (26sccm) 〇2 becomes 0.006L / min (6sccm) (Comparative Examples 9-2) Pressure in the processing vessel: 6.7Pa (50mTorr) High-frequency power from the first high-frequency power source: 1000W from the second High-frequency power of high-frequency power supply: 100W etching gas and its flow rate: CF4 becomes 0.1 L / min (etching of Si02 layer (Examples 9-1, 9-3 to 9-6, and Comparative Example 9-1) η (7 2 sccm) 1 0 0 sccm) -68-(64) 200401365 Pressure in processing vessel: 6Pa (120mTorr) High-frequency power from the first high-frequency power source: 550W High-frequency power from the second high-frequency power source: 350W etching gas and its flow rate: CF4 becomes 0.1L / min (100sccni) CHF3 becomes 0.06L / min (60sccm) (Example 9-2 '9-7 and Comparative Example 9 —2) Pressure in the processing vessel: 2.7Pa (20mT〇rr)

來自第1局頻電源之商頻電力:1800W 來自第2高頻電源之高頻電力:1150W 蝕刻氣體及其流量:C4F6成爲0.02 5 L/min(25SCCm) 〇2 成爲 〇.〇26L/min(26sccm)Commercial frequency power from the first local frequency power supply: 1800W High frequency power from the second high frequency power supply: 1150W Etching gas and its flow rate: C4F6 becomes 0.02 5 L / min (25SCCm) 〇2 becomes 〇26〇 / L 26sccm)

Ar 成爲 0.7L / min ( 700sccm)Ar becomes 0.7L / min (700sccm)

像以上這樣,在進行Si〇2層201之飩刻後,藉由電 子顯微鏡相片而觀察各個實施例及比較例之被處理體 w 之蝕刻部位之剖面形狀。結果,在實施例9 一 1〜9 一 7, 皆幾乎並無發現ArF光阻劑層2 03之表面皺裂或縱條紋產 生,但是,在比較例9 — 1、9 - 2,皆發現ArF光阻劑層 2 03之表面皺裂或縱條紋產生。 (第1 〇實施形態) 在此,對於第16A圖所示之具有由Si 02膜所代表之 S i氧化物而構成之蝕刻對象層2 1 1和覆蓋該蝕刻對象層 -69- (65) (65)200401365 2 1 1並且由ArF光阻劑或F2光阻劑所構成之罩幕層2 1 2 的被處理體W,使用第1圖之電槳處理裝置,實施以下所 示之一連串之作業。即使是在該實施形態,作爲ArF光阻 劑或F2光阻劑,係也可以使用含脂環族丙烯酸樹脂、環 烯烴樹脂、環烯烴-順丁烯二酸酐樹脂、甲基丙烯酸樹脂 等。在本實施形態,即使是第1圖裝置之蓮蓬頭,也藉由 Si來構成某個上部電極板24。 首先,開放閘閥32,將被處理體W搬入至處理容器 2內,配置在靜電夾頭1 1上。接著,關閉閘閥3 2 ’在藉 由排氣裝置3 5而對於處理容器2內來進行減壓後,開放 閥28,由處理氣體供應源30來供應惰性氣體、例如Ar ’ 使得處理容器2內之壓力成爲例如1.33Pa(〗0mT〇rr)。 作爲惰性氣體係可以使用Kr、Xe等之其他氣體。在該狀 態下,對於上部電極2 1和成爲下部電極之感測器5,分 別由高頻電源4 0及5 0,來施加高頻電力,對於惰性氣體 之至少一部分來進行離子化,對於由S i所構成之上部電 極板24來進行濺鍍。另一方面,在對於上下電極來施加 高頻電力之時間前後,於靜電夾頭1 1內之電極1 2,施加 直流電源1 3,將被處理體W靜電吸附在靜電夾頭〗1上。 此時,施加在上部電極2 1之高頻電力係促進惰性氣 體離子化之能量。可以藉由像這樣對於由S i所構成之上 部電極板24來進行濺鍍,而正如第16B圖所示’在罩幕 餍212之表面,形成Si含有層213。在罩幕層212之表 面來形成S i含有層2 1 3之時間過短時’則沒有耐電漿性 -70- (66) (66)200401365 提升之效果,在過長時,則也在罩幕層212開口部分之蝕 刻對象層2 1 1之表面,形成許多S i含有層,妨礙後面之 蝕刻,因此,最好是選擇適當之時間。例如可以採用:施 加至上部電極21之高頻電力頻率:60MHz、電力: 2000W、施加至感測器5之高頻電力頻率:2MHz、電力 :1 0 0 W的條件,但是,在該條件下’前述處理時間係最 好是6 0〜9 0秒鐘之範圍。 此外,就電力而言,比起上部電極施加電力爲I 250 W 、感測器施加電力爲400 W之狀態(所謂降低V PP之狀態 ),前述條件係比較能夠減少Si含有層形成時之罩幕層 之開口形狀之變化。在VPP過高時,罩幕層之開口係擴大 ,無法作成在後面之蝕刻作業所設計之開口圖案之孔洞或 溝槽。 如果結束對於前述罩幕層表面之S i含有層之形成的 話,則停止高頻電力之施加。 然後,在處理容器2內,導入蝕刻氣體,在上部電極 2 1和感測器5,施加高頻電力,對於蝕刻對象層2 Π來進 行蝕刻。例如在藉由S i氧化物而形成蝕刻對象層2 1 1之 狀態下,最好是包含由C4F6、C4F8、C5F8中而選出之至 少一種之氣體。作爲此種蝕刻氣體係例舉C4F6、02和Ar 之混合氣體。此外,還例舉:處理容器2內之壓力成爲 2.67Pa ( 20mT〇rr )、施加在上部電極21和感測器5之高 頻電力分別成爲1600W及800W。此時之高頻電力之頻率 係皆相同於濺鍍時而例舉60MHz、2MHz。藉由高頻電力 -71 - (67) 200401365 之施加而對於蝕刻氣體來進行電漿化,蝕刻例如由si氧 化物所構成之蝕刻對象層2 1〗。如果蝕刻結束的話’則停 止蝕刻氣體及高頻電力之施加。As described above, after the SiO2 layer 201 is etched, the cross-sectional shape of the etched portion of the object w to be treated in each of the Examples and Comparative Examples is observed by an electron microscope photograph. As a result, in Examples 9-1 to 9-7, almost no surface wrinkles or vertical streaks were observed in the ArF photoresist layer 203. However, in Comparative Examples 9-1, 9-2, ArF was found. The surface of the photoresist layer 203 has wrinkles or vertical stripes. (Embodiment 10) Here, as shown in FIG. 16A, the etching target layer 2 1 1 having the Si oxide represented by the Si 02 film and the etching target layer -69- (65) (65) 200401365 2 1 1 and the object W of the cover layer 2 1 2 composed of an ArF photoresist or an F2 photoresist, using the electric paddle processing device shown in FIG. 1 to implement a series of operation. Even in this embodiment, as the ArF photoresist or F2 photoresist, alicyclic acrylic resin, cycloolefin resin, cycloolefin-maleic anhydride resin, and methacrylic resin can be used. In this embodiment, even in the shower head of the device of Fig. 1, a certain upper electrode plate 24 is formed of Si. First, the gate valve 32 is opened, and the object to be processed W is carried into the processing container 2 and placed on the electrostatic chuck 11. Next, the gate valve 3 2 ′ is closed, and after the pressure in the processing container 2 is reduced by the exhaust device 35, the valve 28 is opened, and an inert gas such as Ar ′ is supplied from the processing gas supply source 30 so that the processing container 2 is inside. The pressure is, for example, 1.33 Pa (0 mTorr). As the inert gas system, other gases such as Kr and Xe can be used. In this state, high-frequency power is applied to the upper electrode 21 and the sensor 5 serving as the lower electrode by high-frequency power sources 40 and 50, respectively, and at least a portion of the inert gas is ionized. The upper electrode plate 24 composed of S i is subjected to sputtering. On the other hand, before and after the high-frequency power is applied to the upper and lower electrodes, a DC power source 13 is applied to the electrode 12 in the electrostatic chuck 11 to electrostatically adsorb the object W on the electrostatic chuck 1. At this time, the high-frequency power applied to the upper electrode 21 is the energy that promotes the ionization of the inert gas. The upper electrode plate 24 made of Si can be sputtered in this way, and as shown in FIG. 16B, the Si-containing layer 213 is formed on the surface of the mask 餍 212. When the time for forming the Si-containing layer 2 1 3 on the surface of the mask layer 212 is too short, there is no effect of increasing plasma resistance -70- (66) (66) 200401365. A plurality of Si-containing layers are formed on the surface of the etching target layer 2 1 1 at the opening portion of the curtain layer 212, which hinders subsequent etching. Therefore, it is preferable to select an appropriate time. For example, the conditions of a high-frequency power frequency applied to the upper electrode 21: 60 MHz, power: 2000 W, a high-frequency power frequency applied to the sensor 5: 2 MHz, and power: 1 0 0 W may be used. However, under these conditions, 'The aforementioned processing time is preferably in the range of 60 to 90 seconds. In addition, in terms of electric power, compared with a state where the applied power of the upper electrode is I 250 W and the applied power of the sensor is 400 W (a state where the V PP is reduced), the aforementioned conditions can reduce the cover when the Si-containing layer is formed. Changes in the shape of the opening of the curtain layer. When the VPP is too high, the opening of the mask layer is enlarged, and it is impossible to make holes or grooves of the opening pattern designed for subsequent etching operations. When the formation of the Si-containing layer on the surface of the mask layer is completed, the application of high-frequency power is stopped. Then, an etching gas is introduced into the processing container 2, high-frequency power is applied to the upper electrode 21 and the sensor 5, and the etching target layer 2 is etched. For example, in a state where the etching target layer 2 1 1 is formed of Si oxide, it is preferable to include at least one gas selected from C4F6, C4F8, and C5F8. Examples of such an etching gas system include a mixed gas of C4F6, 02, and Ar. In addition, it is also exemplified that the pressure in the processing container 2 becomes 2.67 Pa (20 mTorr), and the high-frequency power applied to the upper electrode 21 and the sensor 5 becomes 1600 W and 800 W, respectively. The frequency of the high-frequency power at this time is the same as that when sputtering, and 60 MHz and 2 MHz are exemplified. The etching gas is plasmatized by applying high-frequency power -71-(67) 200401365, and the etching target layer 2 1 made of, for example, si oxide is etched. When the etching is completed, the application of the etching gas and high-frequency power is stopped.

在以前述例舉之條件而蝕刻由S i氧化物所構成之蝕 刻對象層2 1 1時,蝕刻對象層2 1 1對於罩幕層2 1 2之選擇 比(蝕刻對象層之鈾刻速度/罩幕層之蝕刻速度)係2 8.8 。在不進行對於罩幕層212之表面之Si含有層之形成時 之蝕刻,前述選擇比係8.2。 在像這樣而進行蝕刻後,接著,實施除去在表面形成 Si含有層213之罩幕層212之作業(硏磨作業)。在此 ,顯示以多階段而進行在表面形成S i含有層2 1 3之罩幕 層2 1 2之除去之狀態下之例子。When the etching target layer 2 1 1 made of Si oxide is etched under the conditions exemplified above, the selection ratio of the etching target layer 2 1 1 to the mask layer 2 1 2 (uranium etch rate of the etching target layer / The etching rate of the cover layer is 2 8.8. The above-mentioned selection ratio is 8.2 when the etching of the Si-containing layer on the surface of the mask layer 212 is not performed. After the etching is performed in this manner, an operation (honing operation) of removing the mask layer 212 forming the Si-containing layer 213 on the surface is performed next. Here, an example is shown in a state in which the cover layer 2 1 2 of the Si-containing layer 2 1 3 is formed on the surface in multiple stages.

在第1階段,於處理容器2內,導入包含氟之氣體、 例如C F 4,在既定時間,於上部電極21和感測器5,施加 高頻電力,幾乎完全地除去形成在罩幕層212之Si含有 層213。由於在殘留Si含有層時,於下一個之第2階段 ,在結束除去罩幕層212時,於被處理體之表面,附著 Si含有物之緣故。此時,例舉:處理容器2內之壓力成 爲6.66Pa ( 50mTorr )、施加在上部電極2 1和感測器5之 高頻電力分別成爲1600W及800W、頻率皆相同於濺鍍時 而成爲 60MHz、2MHz。可以藉由在該條件下,例如進行 90秒鐘處理,而幾乎完全地除去Si含有層213。 此外,在使用於CF4來添加〇2和Ar之氣體而作爲此 時氣體之狀態下,對於由ArF光阻劑所構成之罩幕層2 1 2 -72- (68) 200401365 ,造成損傷。因此’最好是使用CL單獨之氣體或者是在 CF4來添加〇2或Ar等之狀態下’成爲少量。 作爲含有氟化合物之氣體係可以使用CF4以外之氣體 ,但是,如果由對於Si含有層213底材之ArF光阻劑等 之所構成之罩幕層2 1 2之損傷變少之觀點來看的話,則最 好是使用CF4。In the first stage, a fluorine-containing gas such as CF 4 is introduced into the processing container 2. At a predetermined time, high frequency power is applied to the upper electrode 21 and the sensor 5, and the cover layer 212 is almost completely removed. Of Si containing layer 213. When the Si-containing layer remains, in the next second stage, when the mask layer 212 is removed, the Si-containing substance adheres to the surface of the object to be processed. At this time, for example, the pressure in the processing container 2 becomes 6.66Pa (50mTorr), and the high-frequency power applied to the upper electrode 21 and the sensor 5 becomes 1600W and 800W, respectively, and the frequency is the same as that during sputtering and becomes 60MHz. , 2MHz. The Si-containing layer 213 can be removed almost completely by performing a treatment under this condition, for example, for 90 seconds. In addition, in a state where a gas of 0 2 and Ar is added to CF4 as the gas at this time, the cover layer 2 1 2 -72- (68) 200401365 made of an ArF photoresist is damaged. Therefore, it is desirable to use a gas of CL alone or to add CF2 or Ar or the like to a small amount. Gases other than CF4 can be used as the gas system containing fluorine compounds. However, if the mask layer 2 1 2 made of an ArF photoresist for the Si-containing layer 213 substrate is used, the damage is reduced. , It is best to use CF4.

在第2階段,導入既定之處理氣體,於上部電極2 1 和成爲下部電極之感測器5 ’施加高頻電力’除去在除去 大部分Si含有層213後之罩幕層212本身。此時,作爲 處理氣體係最好是使用不包含氟化合物之氣體、例如〇2 單獨氣體' 或包含〇2和N2或Ar之混合氣體、或者是〇2 、N 2和Η 2之混合氣體等。In the second stage, a predetermined process gas is introduced, and high-frequency power is applied to the upper electrode 21 and the sensor 5 serving as the lower electrode to remove the mask layer 212 itself after removing most of the Si-containing layer 213. At this time, as the processing gas system, it is preferable to use a gas not containing a fluorine compound, such as a single gas of 〇2 'or a mixed gas containing 〇2 and N2 or Ar, or a mixed gas of 〇2, N2, and Η2. .

實際地進行該第2階段之處理。在該狀態下,壓力、 高頻電力、高頻電源之頻率係相同於前述第1階段之例子 而無任何改變,僅改變處理氣體而進行硏磨。在此,使用 〇2。在觀察除去罩幕層212之被處理體時,孔洞或溝槽之 開口形狀及剖面形狀係幾乎正如所設計的。此外,也並無 發生Si含有物對於被處理體之附著。 在本實施形態,正如以上,藉由在平行平板型電極來 施加高頻電力時之能量而對於惰性氣體來進行離子化,藉 此而濺鍍由Si所構成之上部電極板24,附著在罩幕層 212之表面,形成Si含有層,因此,比起罩幕層本身時 ,還可以相當地提高耐電漿性。特別是在使用耐電漿性低 之ArF光阻劑或F2光阻劑來作爲罩幕層2 1 2之狀態下, -73- (69) (69)200401365 其耐電漿性之提升效果係變得顯著。 此外,在對於蝕刻對象層來進行蝕刻後之硏磨,分成 爲Si含有層213之除去和罩幕層212本身之除去,呈多 階段地進行除去,因此,即使是在形成S i含有層2 1 3和 罩幕層之狀態下,也可以進行適合於各個層之性質之除去 。當然,也能夠一次就除去Si含有層213和罩幕層212 。不論是否採用任何一種,皆可以比較在多階段之除去和 一次除去之綜合之優點和缺點而進行決定。 此外,作爲藉由濺鍍而在罩幕層來形成罩幕層時之標 靶係不限定在前述例舉之上部電極板,如果是配置在處理 容器內而表面至少一部分成爲S i之構件的話,則可以是 聚焦環等之其他構件,也可以配置Si構件來作爲新的標 靶。此外,可以將無進行元件加工之其他S i晶圓本身( 裸晶圓),放入至處理容器內,來使用作爲標靶。此外, 成爲使用作爲標靶之S i,係可以使得單結晶S i進行濺鍍 〇 此外,在前述例子,利用用以進行電漿蝕刻之平行平 板型裝置,採用高頻能量而進行濺鍍,但是,並非限定於 此’也可以採用至少賦予惰性氣體之一部分進行離子化之 能量者。例如作爲能量係不限定在高頻能量,也可以使用 微波能量等。此外,即使是在使用高頻能量之狀態下’也 不同於前述平行平板,可以使用:施加高頻電力而形成感 應電δϋ場之方式。 此外,在罩幕層212之表面而形成Si含有層213之 -74- (70) (70)200401365 方法係不限定在濺鍍。例如可以在罩幕層2 1 2之表面,藉 由CVD而形成Si含有層213。在藉由CVD而形成Si含 有層2 1 3之狀態下’作爲成爲原料之氣體係可以使用有機 石夕院系氣體或無機砂院系氣體’但是,最好是無機砂垸系 氣體比較好。該狀態下之CVD係可以使用這些氣體而按 照常法來實施。 作爲在罩幕層212之表面而形成Si含有層213之方 法係也可以採用在蝕刻氣體而加入S i F 4等之S i化合物之 方法。可以藉此而同時進行由A r F光阻劑或F 2光阻劑所 構成之罩幕層2 1 2之耐電漿性之提升和蝕刻對象層2 1 1之 蝕刻。 此外,在本實施形態,作爲蝕刻對象層係不限定在前 述Si氧化物,例如可以適用SiC、SiN、有機低介電質、 S i Ο F、金屬、金屬化合物等之各種材料。但是,形成在罩 幕層表面上之層係以Si作爲主成分,因此,不容易適用 在蝕刻對象層成爲Si之被處理體上。由於在罩幕層表面 和蝕刻對象層成爲相同材質時,則蝕刻速度幾乎相同之緣 故。此外,作爲罩幕層係不限定在ArF光阻劑或F2光阻 劑之耐電漿性低之光阻劑材料,可以是其他之有機光阻劑 層,甚至不限定在光阻劑,也可以是其他罩幕層。 【圖式簡單說明】 第1圖係顯示可實施本發明之電漿處理方法之電漿處 理裝置之某一例之剖面圖; -75- (71) (71)200401365 第2圖係顯示可實施本發明之電漿處理方法之電漿處 理裝置之其他例之剖面圖; 第3圖係示意地顯示使用在本發明之第1實施形態之 寶施上之被處理體之剖面圖; 第4A、4B圖係按照作業順序而示意地顯示使用在本 發明之第2實施形態之實施上之被處理體之狀態之剖面圖 第5 A、5 B圖係按照作業順序而示意地顯示使用在本 發明之第3實施形態之實施上之被處理體之狀態之剖面圖 第6A、6B、6C圖係按照作業順序而示意地顯示使用 在本發明之第4實施形態之實施上之被處理體之狀態之剖 面圖; 第7A、7B ' 7C圖係按照作業順序而示意地顯示使用 在本發明之第5實施形態之實施上之被處理體之狀態之剖 面圖; 第8圖係顯示本發明之第5實施形態之一連串作業之 流程圖; 第9A ' 9B、9C圖係按照作業順序而示意地顯示使用 在本發明之第5實施形態之變化例之實施上之被處理體之 状態之剖面圖; 第1 0圖係顯示本發明之第5實施形態之變化例之一 趣串作業之流程圖; 第】ΙΑ、11B圖係顯示本發明之第5實施形態之實施 -76- (72) 200401365 例之電漿處理之效果之圖表; 第1 2圖係示意地顯示使用在本發明之第6實施# # 之實施上之被處理體之剖面圖; 第1 3 A、1 3 B圖係按照作業順序而示意地顯示使用在 本發明之第7實施形態之實施上之被處理體之狀態=^ ® 圖; 第1 4 A、1 4B圖係按照作業順序而示意地顯示丨吏& 本發明之第8實施形態之實施上之被處理體之狀態之_ @ 圖; 第1 5圖係示意地顯示使用在本發明之第9實施形態 之實施上之被處理體之剖面圖; 第16A、16B、16C圖係按照作業順序而示意地顯示 使用在本發明之第10實施形態之實施上之被處理體之狀 態之剖面圖。 【圖號說明】The processing of this second stage is actually performed. In this state, the pressure, high-frequency power, and high-frequency power are the same as those in the first stage example without any change, and only the processing gas is changed to perform honing. Here, 〇2 is used. When observing the object to be treated with the mask layer 212 removed, the opening shape and cross-sectional shape of the holes or grooves were almost as designed. In addition, adhesion of the Si-containing substance to the object to be treated did not occur. In the present embodiment, as described above, the inert gas is ionized by the energy at the time of applying high-frequency power to the parallel flat-plate electrode, thereby sputtering the upper electrode plate 24 made of Si and attaching it to the cover. Since the Si-containing layer is formed on the surface of the curtain layer 212, the plasma resistance can be improved considerably compared to when the curtain layer itself is covered. Especially when using ArF photoresist or F2 photoresist with low plasma resistance as the cover layer 2 1 2 -73- (69) (69) 200401365 the effect of improving the plasma resistance becomes Significant. In addition, honing after etching is performed on the object layer to be etched, and the Si-containing layer 213 is removed and the mask layer 212 itself is removed in multiple stages. Therefore, even when the Si-containing layer 2 is formed, In the state of 13 and the cover layer, the properties suitable for each layer can also be removed. Of course, it is also possible to remove the Si-containing layer 213 and the mask layer 212 at one time. Regardless of whether or not any one is used, the decision can be made by comparing the advantages and disadvantages of the removal in multiple stages and the removal in one step. In addition, the target system when the cover layer is formed on the cover layer by sputtering is not limited to the above-exemplified upper electrode plate, and if it is a member that is disposed in the processing container and whose surface becomes at least a part of S i , It can be other components such as the focus ring, or a Si component can be configured as a new target. In addition, other Si wafers (bare wafers) without component processing can be placed in a processing container and used as targets. In addition, the use of S i as a target enables sputtering of a single crystal S i. In addition, in the foregoing example, a parallel flat plate device for plasma etching was used to perform sputtering using high-frequency energy. However, the invention is not limited to this, and it is also possible to use one that imparts energy to at least a part of the inert gas for ionization. For example, the energy system is not limited to high-frequency energy, and microwave energy may be used. In addition, even in a state where high-frequency energy is used, it is different from the aforementioned parallel flat plate, and a method of applying a high-frequency power to form an inductive delta field can be used. In addition, the method of forming the Si-containing layer 213 on the surface of the mask layer 212 is (74) (70) (70) 200401365, and the method is not limited to sputtering. For example, the Si-containing layer 213 can be formed on the surface of the mask layer 2 1 2 by CVD. In the state where the Si-containing layer 2 1 3 is formed by CVD, as the gas system to be used as the raw material, an organic stone-based gas or an inorganic sand-based gas can be used. However, an inorganic sand-based gas is preferred. The CVD in this state can be performed in the usual manner using these gases. As a method for forming the Si-containing layer 213 on the surface of the mask layer 212, a method in which an Si compound such as SiF4 is added to the etching gas may be used. This can be used to simultaneously improve the plasma resistance of the mask layer 2 1 2 composed of the Ar F photoresist or the F 2 photoresist and the etching of the etching target layer 2 1 1. In this embodiment, the layer to be etched is not limited to the above-mentioned Si oxide, and various materials such as SiC, SiN, organic low-dielectrics, SiF, metals, and metal compounds can be applied. However, since the layer formed on the surface of the mask layer has Si as a main component, it is not easy to apply it to a subject to be etched in which the layer becomes Si. When the surface of the mask layer and the layer to be etched are made of the same material, the etching rate is almost the same. In addition, the mask layer is not limited to ArF or F2 photoresist materials with low plasma resistance. It can be other organic photoresist layers, not even limited to photoresist. It is the other cover layer. [Brief description of the drawings] Figure 1 is a cross-sectional view showing an example of a plasma processing apparatus that can implement the plasma processing method of the present invention; -75- (71) (71) 200401365 Figure 2 shows that the present invention can be implemented Sectional view of another example of the plasma processing apparatus of the plasma processing method of the invention; FIG. 3 is a cross-sectional view schematically showing the object to be processed used in the treasure application of the first embodiment of the present invention; 4A, 4B The diagram is a sectional view schematically showing the state of the object to be used in the implementation of the second embodiment of the present invention in accordance with the work sequence. The diagrams 5A and 5B are diagrams schematically showing the use in the present invention in accordance with the work sequence. Sectional view of the state of the object to be processed in the implementation of the third embodiment. Figures 6A, 6B, and 6C are diagrams schematically showing the state of the object to be used in the implementation of the fourth embodiment of the present invention in the order of operations Sectional views; Sections 7A, 7B 'and 7C are sectional views schematically showing the state of the object to be used in the implementation of the fifth embodiment of the present invention in accordance with the operation sequence; and FIG. 8 is a view showing the fifth of the present invention A series of implementations 9A '9B and 9C are sectional views schematically showing the state of the object to be used in the implementation of the modified example of the fifth embodiment of the present invention in accordance with the operation sequence; FIG. 10 is a diagram Shows a flow chart of fun string operation, one of the modified examples of the fifth embodiment of the present invention; Figures IA and 11B show the implementation of the fifth embodiment of the present invention. -76- (72) 200401365 The graph of the effect; Fig. 12 is a cross-sectional view schematically showing the object used in the implementation of the sixth implementation # # of the present invention; Figs. 1 3 A and 1 3 B are schematically displayed in accordance with the operation sequence The state of the object to be used in the implementation of the seventh embodiment of the present invention = ^ ® Figures; Figures 14 A, 14B are shown schematically in accordance with the order of operations. &Amp; Eighth embodiment of the invention _ @ State of the object to be processed during implementation; Figures 15 to 15 are schematic cross-sectional views of the object to be used in the implementation of the ninth embodiment of the present invention; Figures 16A, 16B, and 16C are The tenth embodiment used in the present invention is schematically shown in the order of operations. Sectional view of the state of the object to be processed in the state implementation. [Illustration of drawing number]

W :被處理體 1 :電漿處理裝置 2 :處理容器 3 :絕緣體 5 :感測器 6 :高通濾波器(HPF) Π :靜電夾頭 1 2 :電極 -77- (73)200401365 1 3 :直流電源 1 5 :聚焦環 2 1 :上部電極 24 :上部電極板 2 5 :支持體W: to-be-processed body 1: plasma processing device 2: processing container 3: insulator 5: sensor 6: high-pass filter (HPF) Π: electrostatic chuck 1 2: electrode-77- (73) 200401365 1 3: DC power supply 1 5: Focusing ring 2 1: Upper electrode 24: Upper electrode plate 2 5: Support

26 :氣體導入口 2 7 :氣體供應管 28 :閥 2 9 :質量流控制器 3 0 :處理氣體供應源 3 1 :排氣管 3 2 :閘閥 3 5 :排氣裝置 40:第1局頻電源 4 1 :整合器26: Gas inlet 2 7: Gas supply pipe 28: Valve 2 9: Mass flow controller 3 0: Process gas supply source 3 1: Exhaust pipe 3 2: Gate valve 3 5: Exhaust device 40: First station frequency Power 4 1: Integrator

4 2 :低通濾波器 50:第2局頻電源 51 :整合器 6 I :電漿蝕刻裝置 6 2 :處理容器 6 2 a :上部 6 2 b :下部 6 3 :絕緣體 6 5 :感測器 -78- (74) (74)200401365 7 1 :靜電夾頭 72 :電極 73 :直流電源 7 5 :聚焦環 8 1 :上部電極板 82 :多極環磁鐵 86 :氣體導入口 8 7 :氣體供應管 8 8 .閥 89 :質量流控制器 90 :處理氣體供應源 91 :排氣管 9 5 :排氣裝置 1 00 :整合器 1 〇 I :第1高頻電源 102 :第2高頻電源 1 2 1 : S i Ο 2 膜 1 2 2 :光阻劑層 131 : Si02 膜 1 3 2 :反射防止膜 1 3 3 :光阻劑層 ]41 : Si02 膜 142 :反射防止膜 143 :光阻劑層 -79- (75) (75)200401365 1 4 3 a :開口圖案 1 5 1 : Si02 膜 ]52 :反射防止膜 1 5 3 :光阻劑層 1 5 3 a :開口圖案 1 6 1 :蝕刻對象層 161a:開口圖案 162 :有機反射防止層 1 6 3 :光阻劑層 16 3a -開口圖案 1 6 3 b :保護層 1 71 :底材層 172 :反射防止膜 1 7 3 :光阻劑層 17 3a :開口圖案 181 : Si〇2 膜 1 8 2 :反射防止膜 1 8 3 :光阻劑層 1 8 3 a :開口圖案 191 : Si02 膜 192 :反射防止膜 1 9 3 :光阻劑層 1 93a :開口圖案 201 : SiCh 膜 -80- (76) (76)200401365 2 02 :有機反射防止膜 203 :光阻劑層 2 0 3 a :開口圖案 2 1 1 :蝕刻對象層 212 :罩幕層 213 : Si含有層4 2: Low-pass filter 50: Second local frequency power supply 51: Integrator 6 I: Plasma etching device 6 2: Processing container 6 2 a: Upper 6 2 b: Lower 6 3: Insulator 6 5: Sensor -78- (74) (74) 200401365 7 1: Electrostatic chuck 72: Electrode 73: DC power supply 7 5: Focusing ring 8 1: Upper electrode plate 82: Multi-pole ring magnet 86: Gas inlet 8 7: Gas supply Pipe 8 8. Valve 89: Mass flow controller 90: Process gas supply source 91: Exhaust pipe 9 5: Exhaust device 1 00: Integrator 1 0: First high-frequency power source 102: Second high-frequency power source 1 2 1: S i Ο 2 film 1 2 2: photoresist layer 131: Si02 film 1 3 2: antireflection film 1 3 3: photoresist layer] 41: Si02 film 142: antireflection film 143: photoresist Layer -79- (75) (75) 200401365 1 4 3 a: Opening pattern 1 5 1: Si02 film) 52: Antireflection film 1 5 3: Photoresist layer 1 5 3 a: Opening pattern 1 6 1: Etching Object layer 161a: opening pattern 162: organic reflection preventing layer 1 6 3: photoresist layer 16 3a-opening pattern 1 6 3 b: protective layer 1 71: substrate layer 172: antireflection film 1 7 3: photoresist Layer 17 3a: Opening pattern 181: Si〇2 film 1 8 2: Anti-reflection film 1 8 3: Photoresist layer 1 8 3 a: Opening pattern 191: Si02 film 192: Antireflection film 1 9 3: Photoresist layer 1 93a: Opening pattern 201: SiCh film -80- (76) (76) 200401365 2 02: Organic reflection preventing film 203: Photoresist layer 2 0 3a: Opening pattern 2 1 1: Etching target layer 212: Mask layer 213: Si-containing layer

Claims (1)

(1) (1)200401365 拾、申請專利範圍 1. 一種電漿處理方法,其特徵爲:具有:在表面準備 具備有機層之被處理體之作業以及對於前述被處理體來照 射H2電漿而提高前述有機層之耐電漿性之作業。 2 .如申請專利範圍第1項所記載之電漿處理方法,其 中,前述有機層係罩幕層。 3 .如申請專利範圍第2項所記載之電漿處理方法,其 中,前述罩幕層係光阻劑層。 4 .如申請專利範圍第3項所記載之電漿處理方法,其 中,前述光阻劑層係藉由ArF光阻劑或F2光阻劑所構成 〇 5.—種電漿處理方法,其特徵爲:具有:在表面準備 具備有機層之被處理體之作業以及對於前述被處理體來照 射包含H2和惰性氣體之處理氣體之電漿而提高前述有機 層之耐電漿性之作業。 6 .如申請專利範圍第5項所記載之電漿處理方法,其 中,前述有機層係罩幕層。 7 ·如申請專利範圍第6項所記載之電漿處理方法,其 中,前述罩幕層係光阻劑層。 8 ·如申請專利範圍第7項所記載之電漿處理方法,其 中,前述光阻劑層係藉由ArF光阻劑或F2光阻劑所構成 〇 9 ·如申請專利範圍第5項所記載之電漿處理方法,其 中,前述處理氣體係包含N2 =(1) (1) 200401365 Patent application scope 1. A plasma processing method, comprising: preparing an object to be treated with an organic layer on the surface; and irradiating the object to be treated with H2 plasma. Operation for improving the plasma resistance of the organic layer. 2. The plasma treatment method according to item 1 of the scope of patent application, wherein the organic layer is a cover layer. 3. The plasma treatment method according to item 2 of the scope of the patent application, wherein the mask layer is a photoresist layer. 4. The plasma treatment method described in item 3 of the scope of the patent application, wherein the photoresist layer is composed of an ArF photoresist or an F2 photoresist. 05. A plasma treatment method, which is characterized by In order to have the operation of preparing a to-be-processed object having an organic layer on the surface, and irradiating the to-be-processed object with a plasma containing a processing gas containing H2 and an inert gas to improve the plasma resistance of the organic layer. 6. The plasma treatment method as described in item 5 of the scope of patent application, wherein the organic layer is a cover layer. 7. The plasma treatment method according to item 6 of the scope of patent application, wherein the mask layer is a photoresist layer. 8 · The plasma treatment method described in item 7 of the scope of patent application, wherein the photoresist layer is composed of an ArF photoresist or F2 photoresist. 9 · As described in item 5 of the scope of patent application Plasma processing method, wherein the aforementioned processing gas system contains N2 = -82- (2) (2)200401365 10.—種電漿處理方法,其特徵爲:具有:在表面準 備具備有機層之被處理體之作業以及對於前述被處理體來 照射包含具有Η之物質和惰性氣體之處理氣體之電漿而 提高前述有機層之耐電漿性之作業= π .如申請專利範圍第1 0項所記載之電漿處理方法, 其中,前述有機層係罩幕層。 1 2 ·如申請專利範圍第1 1項所記載之電漿處理方法, 其中,前述罩幕層係光阻劑層。 1 3 .如申請專利範圍第1 〇項所記載之電漿處理方法, 其中,前述具有Η之物質係ΝΗ3。 1 4 .如申請專利範圍第1 0項所記載之電漿處理方法, 其中,前述處理氣體係包含Ν2。 15· —種電漿處理方法,其特徵爲:具有:在表面準 備具有由ArF光阻劑或F2光阻劑所構成之光阻劑層之被 處理體之作業以及對於前述被處理體來照射包含具有Η 之物質之處理氣體之電漿而提高前述光阻劑層之耐電漿性 之作業。 1 6 .如申請專利範圍第1 5項所記載之電漿處理方法, 其中,前述具有Η之物質係Η2。 1 7 .如申請專利範圍第1 5項所記載之電漿處理方法, 其中,前述具有Η之物質係ΝΗ 3。 1 8 .如申請專利範圍第1 5項所記載之電漿處理方法, 其中,前述處理氣體係包含Ν2。 1 9.如申請專利範圍第1 5項所記載之電漿處理方法, -83- (3) (3)200401365 其中,前述照射電漿之作業係在壓力13.3Pa(l〇〇mTorr) 以下之氣氛下而實施。 20.如申請專利範圍第1 9項所記載之電漿處理方法, 其中,前述照射電漿之作業係在壓力〗.1〜4.0 P a (; 8〜 3 0mT〇rr )以下之氣氛下而實施。 2 1 .如申請專利範圍第1 9項所記載之電漿處理方法, 其中,具有以下作業:前述被處理體係在前述光阻劑層下 ,具有蝕刻對象層,前述光阻劑係具有開口圖案,在前述 電漿照射後,透過前述光阻劑層之前述開口圖案,而對於 前述蝕刻對象層,來進行電漿蝕刻。 22.—種電漿處理方法,其特徵爲:具有: 將具有鈾刻對象部和覆蓋該蝕刻對象部而形成開口圖 案之有機層之被處理體,配置在處理容器內之作業; 在前述處理容器內,對於包含具有Η之物質之處理 氣體來進行電漿化,在前述有機層,照射該電漿之作業; 以及’ 在前述處理容器內,對於蝕刻氣體來進行電漿化,通 過前述開口圖案而對於前述蝕刻對象部來進行蝕刻之作業 〇 2 3 ·如申請專利範圍第2 2項所記載之電漿處理方法, 其中,前述具有Η之物質係Η2。 2 4.如申請專利範圍第22項所記載之電漿處理方法, 其中,前述具有Η之物質係ΝΗ3。 25.如申請專利範圍第22項所記載之電漿處理方法, -84 - (4) (4)200401365 其中,前述處理氣體係包含N2。 2 6 .如申請專利範圍第2 2項所記載之電漿處理方法, 其中,前述有機層係罩幕層。 2 7 ·如申請專利範圍第2 6項所記載之電漿處理方法, 其中,前述罩幕層係光阻劑層。 2 8 .如申請專利範圍第2 7項所記載之電漿處理方法, 其中,前述光阻劑層係藉由ArF光阻劑或F2光阻劑所構 成。 2 9 ·如申請專利範圍第2 2項所記載之電漿處理方法, 其中,前述處理氣體和前述蝕刻氣體係相同氣體。 3 0 .如申請專利範圍第2 2項所記載之電漿處理方法, 其中,前述蝕刻氣體係在前述處理氣體還添加其他氣體之 氣體。 3 1 .如申請專利範圍第2 2項所記載之電漿處理方法, 其中,前述蝕刻對象部係Si〇2層。 3 2 ·如申請專利範圍第3 1項所記載之電漿處理方法, 其中1前述蝕刻氣體係包含c5F8之氣體。 3 3 .如申請專利範圍第2 2項所記載之電漿處理方法, 其中,前述照射電漿之作業係在壓力1 3 .3Pa ( 1 00mT〇rr ) 以下之氣氛下而實施。 3 4 如申請專利範圍第3 3項所記載之電漿處理方法, 其中,前述照射電漿之作業係在壓力I .1〜4.0Pa ( 8 \ 3〇mTorr )以下之氣氛下而實施。 35.—種電漿處理方法,其特徵爲:具有: -85- (5) 200401365 在表面,準備具有由ArF光阻劑或F2光阻劑所構成 之光阻劑層之被處理體之作業;以及, 對於前述被處理體,來照射包含具有N之物質之處 理氣體之電漿,而提高前述光阻劑層之耐電漿性之作業。 3 6 ·如申請專利範圍第3 5項所記載之電漿處理方法, 其中,前述具有N之物質係N2。-82- (2) (2) 200401365 10. A plasma processing method, comprising: preparing an object to be treated with an organic layer on the surface; and irradiating the object to be treated with a substance containing tritium The operation of improving the plasma resistance of the organic layer with a plasma of a processing gas and an inert gas = π. The plasma processing method described in item 10 of the patent application scope, wherein the organic layer is a cover layer. 1 2 The plasma processing method according to item 11 of the scope of patent application, wherein the mask layer is a photoresist layer. 1 3. The plasma treatment method as described in item 10 of the scope of patent application, wherein the aforementioned substance having Η is NΗ3. 14. The plasma processing method as described in item 10 of the scope of patent application, wherein the aforementioned processing gas system includes N2. 15 · A plasma processing method, comprising: preparing a surface of a processed object having a photoresist layer composed of an ArF photoresist or F2 photoresist on a surface, and irradiating the processed object The operation of improving the plasma resistance of the photoresist layer by including a plasma of a processing gas having a substance of thallium. 16. The plasma processing method according to item 15 of the scope of patent application, wherein the aforementioned substance having Η is Η2. 17. The plasma processing method according to item 15 of the scope of patent application, wherein the aforementioned substance having Η is N 系 3. 18. The plasma processing method as described in item 15 of the scope of patent application, wherein the aforementioned processing gas system includes N2. 1 9. The plasma treatment method as described in item 15 of the scope of the patent application, -83- (3) (3) 200401365, where the plasma irradiation operation is performed under a pressure of 13.3Pa (100 mTorr) or less Under the atmosphere. 20. The plasma treatment method as described in item 19 of the scope of the patent application, wherein the aforementioned plasma irradiation operation is performed under an atmosphere under a pressure of 1 to 4.0 P a (; 8 to 30 mT0rr). Implementation. 2 1. The plasma processing method as described in item 19 of the scope of patent application, wherein the method has the following operations: the system to be processed has an etching target layer under the photoresist layer, and the photoresist system has an opening pattern After the plasma irradiation, the opening pattern of the photoresist layer is transmitted, and plasma etching is performed on the etching target layer. 22. A plasma processing method, comprising: arranging a processing object having a uranium-engraved object portion and an organic layer covering the etching object portion to form an opening pattern in a processing container; In the container, plasma treatment is performed on a process gas containing a substance containing tritium, and the plasma is irradiated on the organic layer; and in the processing container, plasma is etched on the etching gas through the opening. Patterning and performing the etching operation on the etching target portion 02. The plasma processing method according to item 22 of the patent application scope, wherein the substance having Η is Η2. 2 4. The plasma processing method as described in item 22 of the scope of patent application, wherein the aforementioned substance having Η is NΗ3. 25. The plasma processing method as described in item 22 of the scope of the patent application, -84-(4) (4) 200401365, wherein the aforementioned processing gas system contains N2. 2 6. The plasma processing method as described in item 22 of the scope of patent application, wherein the organic layer is a cover layer. 27. The plasma processing method according to item 26 in the scope of the patent application, wherein the cover layer is a photoresist layer. 28. The plasma processing method according to item 27 in the scope of the patent application, wherein the photoresist layer is formed of an ArF photoresist or an F2 photoresist. 29. The plasma processing method according to item 22 of the scope of the patent application, wherein the processing gas and the etching gas system are the same gas. 30. The plasma processing method as described in item 22 of the scope of the patent application, wherein the etching gas system further adds a gas of other gas to the processing gas. 31. The plasma processing method according to item 22 of the scope of patent application, wherein the etching target portion is a Si02 layer. 3 2 · The plasma treatment method as described in item 31 of the scope of patent application, wherein 1 the aforementioned etching gas system contains a gas of c5F8. 3 3. The plasma treatment method as described in item 22 of the scope of the patent application, wherein the aforementioned plasma irradiation operation is performed under an atmosphere of a pressure of 13.3 Pa (100 mT0rr) or less. 3 4 The plasma treatment method described in item 33 of the scope of the patent application, wherein the aforementioned plasma irradiation operation is performed under an atmosphere having a pressure of I.1 to 4.0 Pa (8 \ 30 mTorr) or less. 35. A plasma treatment method, comprising: -85- (5) 200401365 On the surface, preparing an object to be processed having a photoresist layer composed of an ArF photoresist or F2 photoresist And, for the object to be processed, the operation of irradiating a plasma containing a processing gas having a substance of N to improve the plasma resistance of the photoresist layer. 36. The plasma treatment method according to item 35 of the scope of patent application, wherein the substance having N is N2. 3 7 .如申請專利範圍第3 5項所記載之電漿處理方法’ 其中,前述具有N之物質係NH3。 3 8 .如申請專利範圍第3 5項所記載之電漿處理方法’ 其中,前述處理氣體係具有Η之物質。 3 9 .如申請專利範圍第3 8項所記載之電漿處理方法, 其中,前述具有Η之物質係由H2、CHF3、CH2F2、ch3f 中而選出之1種以上。 4 0.—種電漿處理方法,其特徵爲:具有:37. The plasma treatment method described in item 35 of the scope of patent application ', wherein the substance having N is NH3. 38. The plasma processing method as described in item 35 of the scope of patent application, wherein the aforementioned processing gas system has a substance of tritium. 39. The plasma processing method as described in item 38 of the scope of patent application, wherein the substance having rhenium is one or more selected from H2, CHF3, CH2F2, and ch3f. 4 0.—A plasma treatment method, which is characterized by: 將具有蝕刻對象部、覆蓋該蝕刻對象部之反射防止層 和覆蓋該反射防止層而形成開口圖案之由 ArF光阻劑或 F2光阻劑所構成之光阻劑層之被處理體,配置在處理容器 內之作業; 在前述處理容器,導入處理氣體之作業; 對於前述處理氣體,進行電漿化之作業;以及, 將該電漿作用在前述被處理體,提高前述光阻劑層之 耐電漿性,同時,通過前述開口圖案,而對於前述反射防 止層,來進行飩刻之作業。 4 1.如申請專利範圍第40項所記載之電漿處理方法, -86 - (6) (6)200401365 其中,前述處理氣體係包含N2。 4 2 .如申請專利範圍第4 1項所記載之電漿處理方法, 其中,前述被處理體係載置於前述處理容器中之所載置之 感測器,前述電漿作用在前述被處理體上之作業係在前述 感測器,供應100MHz以上頻率之高頻電力和3MHz以上 頻率之高頻電力。 4 3 ·如申請專利範圍第4 2項所記載之電漿處理方法, 其中,前述3MHz以上頻率之高頻電力係100W以下。 44 .如申請專利範圍第4 1項所記載之電漿處理方法, 其中,前述處理氣體係由H2所構成。 45.—種電漿處理方法,其特徵爲:具有: 在處理容器中,配置具有蝕刻對象層、覆蓋該蝕刻對 象層之反射防止層和覆蓋該反射防止層而形成開口圖案之 罩幕層之被處理體之作業; 在前述處理容器內,導入包含H2之處理氣體之作業 » 對於前述處理氣體,進行電漿化之作業;以及, 藉由前述電漿,而通過前述罩幕層之開口圖案,使得 前述反射防止層對於前述罩幕層,來選擇性地進行蝕刻之 作業。 4 6 .如申請專利範圍第4 5項所記載之電漿處理方法, 其中,前述被處理體係載置於前述處理容器中之所載置之 感測器,前述蝕刻作業係在前述感測器,重疊及施加 100MHz以上頻率之高頻電力和3MHz以上頻率之高頻電 (7) 200401365 力。 4 7 .如申請專利範圍第4 6項所記載之電漿處理方法, 其中,前述3MHZ以上頻率之高頻電力係l〇〇W以下。 4 8.如申請專利範圍第45項所記載之電漿處理方法, 其中,前述罩幕層係ArF光阻劑層或F2光阻劑層。 4 9 .如申請專利範圍第4 5項所記載之電漿處理方法, 其中,前述處理氣體係由H2所構成。An object to be processed having an etching target portion, an antireflection layer covering the etching target portion, and a photoresist layer composed of an ArF photoresist or an F2 photoresist to form an opening pattern covering the antireflection layer is disposed on The operation in the processing container; the operation of introducing a processing gas into the processing container; the operation of plasmaizing the processing gas; and applying the plasma to the object to be processed to improve the electrical resistance of the photoresist layer At the same time, the anti-reflection layer is engraved with the opening pattern and the anti-reflection layer. 4 1. The plasma processing method as described in item 40 of the scope of patent application, -86-(6) (6) 200401365, wherein the aforementioned processing gas system contains N2. 42. The plasma processing method as described in item 41 of the scope of patent application, wherein the sensor to be processed is placed in the processing container, and the plasma is applied to the object to be processed. The above operation is based on the aforementioned sensor, which supplies high-frequency power at frequencies above 100 MHz and high-frequency power at frequencies above 3 MHz. 4 3 · The plasma processing method described in item 42 of the scope of patent application, wherein the high-frequency power of the frequency of 3 MHz or more is 100 W or less. 44. The plasma processing method as described in item 41 of the scope of patent application, wherein the processing gas system is composed of H2. 45. A plasma processing method, comprising: in a processing container, arranging an etching target layer, an anti-reflection layer covering the etching target layer, and a mask layer covering the anti-reflection layer to form an opening pattern. The work of the object to be processed; the operation of introducing the processing gas containing H2 into the processing container »the operation of plasma processing the processing gas; and the opening pattern of the cover layer through the plasma , So that the anti-reflection layer is selectively etched to the mask layer. 46. The plasma processing method described in item 45 of the scope of patent application, wherein the sensor to be processed is placed in the processing container, and the etching operation is performed on the sensor. , Superimpose and apply high-frequency power above 100MHz and high-frequency power above 3MHz (7) 200401365 force. 47. The plasma processing method as described in item 46 of the scope of patent application, wherein the high-frequency power of the frequency above 3MHZ is below 100W. 4 8. The plasma processing method as described in item 45 of the scope of patent application, wherein the mask layer is an ArF photoresist layer or an F2 photoresist layer. 49. The plasma processing method as described in item 45 of the scope of patent application, wherein the aforementioned processing gas system is composed of H2. 5 0 .如申請專利範圍第4 9項所記載之電漿處理方法, 其中,還具有: 在前述蝕刻反射防止層之作業後,對於C F 4和Η 2進 行電漿化,通過前述罩幕層之開口圖案而對於前述蝕刻對 象層,來進行蝕刻,一直到途中爲止之作業;以及, 在一直到其途中爲止而進行蝕刻之作業後,對於蝕刻 氣體進行電漿化’蝕刻前述蝕刻對象層殘留部之作業。50. The plasma processing method as described in item 49 of the scope of the patent application, further comprising: after the operation of etching the anti-reflection layer, plasmatizing CF 4 and Η 2 through the cover layer The opening pattern is used to etch the aforementioned layer to be etched, and the operation is continued until it is halfway; and, after the etching is performed to the way, the etching gas is plasmatized to etch the remaining layer of the etched layer. Ministry of Homework. 5 1 .如申請專利範圍第5 0項所記載之電漿處理方法, 其中,前述罩幕層係ArF光阻劑層或F2光阻劑層。 5 2 .如申請專利範圍第5 0項所記載之電漿處理方法, 其中,前述罩幕層係由甲基丙稀酸樹脂所構成。 5 3 .如申請專利範圍第5 0項所記載之電漿處理方法, 其中,前述蝕刻氣體係不同於CF4和H2混合氣體之其他 氣體。 54.如申請專利範圍第50項所記載之電漿處理方法, 其中,前述齡刻對象層係s i0 2層,前述蝕刻氣體係包含 C 5 F 8和〇 2之氣體。 -88- (8) (8)200401365 55.--種電漿處理方法,其特徵爲:具有: 將具有蝕刻對象層和形成覆蓋該蝕刻對象層之開口圖 案而由ArF光阻劑或F2光阻劑所構成之罩幕層之被處理 體,載置在載置台之作業; 對於CF4和H2進行電漿化,通過前述罩幕層之開口 圖案而對於前述鈾刻對象層,來進行蝕刻,一直到途中爲 止之初期蝕刻作業;以及, 在該初期蝕刻作業後,對於包含氟碳之蝕刻氣體來進 行電漿化,蝕刻前述蝕刻對象層之主蝕刻作業。 5 6 .如申請專利範圍第5 5項所記載之電漿處理方法, 其中,前述蝕刻對象層係Si 02層。 5 7 . —種電漿處理方法,其特徵爲:具有: 將具有蝕刻對象層、覆蓋該蝕刻對象層之反射防止層 和形成覆蓋該反射防止層之開口圖案而由丙烯酸樹脂所構 成之罩幕層之被處理體,載置在載置台之作業; 對於cf4進行電漿化,通過前述罩幕層之開口圖案而 對於前述反射防止層來進行蝕刻之第1蝕刻作業; 對於CF4和H2進行電漿化,通過前述罩幕層之開口 圖案而對於前述蝕刻對象層,來進行蝕刻,一直到途中爲 止之第2蝕刻作業:以及, 在該第2蝕刻作業後,對於包含氟碳之蝕刻氣體來進 行電漿化,蝕刻前述鈾刻對象層之第3蝕刻作業。 5 8 ·如申請專利範圍第5 7項所記載之電漿處理方法, 其中,前述蝕刻對象層係Si 02層。 200401365 Ο) 59.—種電漿處理方法,其特徵爲:具有: 在配置於處理容器中之感測器,載置具有軸刻對象層 和覆蓋該蝕刻對象層而形成開口之罩幕層之被處理體之作 業; 在前述處理容器內,導入包含H2之處理氣體之作業 在前述感測器’供應1 ο 0 Μ Η Z以上頻率之高頻電力和 3ΜΗζ以上頻率之高頻電力之作業;以及, 使得前述處理容器內之壓力成爲13.3Pa ( lOOmTorr) 以下之作業" 6〇·如申請專利範圍第59項所記載之電漿處理方法, 其中,前述3MHz以上頻率之高頻電力係i〇〇W以下。 61.—種電漿處理方法,其特徵爲:具有: 將具有蝕刻對象部和形成覆蓋該蝕刻對象部之開口圖 案而由ArF光阻劑或F2光阻劑所構成之罩幕層之被處理 載置在處理容器內之作業; 在前述處理容器內,對於包含具有N之物質之處理 氣體來進行電漿化,照射在前述光阻劑層之作業;以及, 在前述處理容器內,對於蝕刻氣體來進行電漿化,通 過前述開口圖案而對於前述蝕刻對象部,來進行蝕刻之作 業。 62 ·如申請專利範圍第6 1項所記載之電漿處理方法, 其中,前述具有N之物質係N2。 63 ·如申請專利範圍第62項所記載之電漿處理方法, -90- (10) (10)200401365 其中,前述處理氣體係包含h2。 64 .如申請專利範圍第62項所記載之電漿處理方法, 其中,前述處理氣體係包含由CHF3' CH2F2' CH3F所構 成之群組中而選出之1種以上。 6 5 ·如申請專利範圍第6 1項所記載之電漿處理方法, 其中,前述具有N之物質係NH3。 66_—種電漿處理方法,其特徵爲:具有·· 將具有蝕刻對象部、覆蓋該蝕刻對象部之反射防止層 和形成覆蓋該反射防止層之開口圖案而由 ArF光阻劑或 F 2光阻劑所構成之光阻劑層之被處理體,配置在處理容器 內之作業; 在前述處理容器內,對於包含具有N之物質之處理 氣體來進行電漿化’通過前述開口圖案而對於前述反射防 止層,來進行蝕刻之第1蝕刻作業;以及, 在前述處理容器內,對於蝕刻氣體來進行電漿化,通 過前述開口圖案而對於前述蝕刻對象部,來進行蝕刻之第 2蝕刻作業。 6 7 ·如申請專利範圍第6 6項所記載之電漿處理方法, 其中,前述具有N之物質係N2。 6 8 .如申請專利範圍第6 7項所記載之電漿處理方法, 其中,前述處理氣體係包含H2。 6 9 .如申請專利範圍第6 8項所記載之電漿處理方法, 其中’前述第1蝕刻作業係使得前述處理容器內之壓力成 爲 107〜160Pa ( 800 〜1200mT〇rr)而實施。 (11) (11)200401365 70.如申請專利範圍第69項所記載之電漿處理方法, 其中’則述蝕刻對象層係S i 0 2層’前述蝕刻氣體係包含 C5F8之氣體。 7 1 ·如申請專利範圍第7 0項所記載之電漿處理方法, 其中’前述 C5F8 係 1,1, 1,4,4,5,5,5 —八氟一 2 -戊炔。 72.如申請專利範圍第67項所記載之電漿處理方法, 其中’前述處理氣體係包含由CHF3、CH2F2、CH3F所構 成之群組中而選出之1種以上。 7 3 .如申請專利範圍第6 6項所記載之電漿處理方法, 其中,前述具有N之物質係NH3。 7 4 ·如申請專利範圍第6 6項所記載之電漿處理方法, 其中,前述蝕刻對象層係Si 〇2層,前述蝕刻氣體係包含 C4F6之氣體。 75.如申請專利範圍第66項所記載之電漿處理方法, 其中,則述蝕刻對象層係S i 02層,前述蝕刻氣體係包含 c5f8之氣體。 7 6 •如申請專利範圍第7 5項所記載之電漿處理方法, 其中,前述CsFs係直鏈CSF8。 77·如申請專利範圍第76項所記載之電漿處理方法, 其中,前述直鏈C5F8係1,:!,i,4,4,5,5,5 —八氟 一 2 —戊快。 * 7 8 .如申μ專利範圍第7 5項所記載之電漿處理方法, 其中’則述處理氣體係包a Ν2和η 2,前述第1 0虫刻作業 -92- (12) (12)200401365 係使得前述處理容器內之壓力成爲107〜160Pa( 800〜 1200mTorr)而實施。 79.—種電漿處理方法,其特徵爲:具有: 將具有蝕刻對象層和形成覆蓋前述餽刻對象層之開□ 圖案之有機罩幕層之被處理體,配置在具備包含Si之物 質露出部之構成構件之處理容器內之作業; 在前述處理容器內,導入由H2、N2和He所搆成之 群組而選出之至少1種處理氣體之作業;以及, 對於前述處理氣體來進行電漿化,對於前述有機罩幕 層來進行電漿處理之作業。 8 0 ·如申請專利範圍第7 9項所記載之電漿處理方法, 其中,在前述電漿處理作業後,還具有進行前述蝕刻對象 層之鈾刻之作業。 8 1 .如申請專利範圍第79項所記載之電漿處理方法, 其中,前述有機罩幕層係有機光阻劑層。 82.如申請專利範圍第8 1項所記載之電漿處理方法, 萁中’前述有機光阻劑層係由ArF光阻劑或f2光阻劑所 構成。 8 3 ·如申請專利範圍第7 9項所記載之電漿處理方法, 其中’前述包含S i之物質係由單結晶S i所構成。 84.如申請專利範圍第79項所記載之電漿處理方法, 其中,前述包含Si之物質係由SiC所構成。 8 5 .如申請專利範圍第7 9項所記載之電漿處理方法, 其中’前述具有包含Si之物質露出部之構成構件係設置 -93 - (13) (13)200401365 在前述處理容器內之被處理體之對向電極。 86.—種電漿處理方法,其特徵爲:具有: 將具有蝕刻對象層、覆蓋前述蝕刻對象層之有機膜和 形成覆蓋前述有機膜之開口圖案之有機罩幕層之被處理體 ,配置在具備包含Si之物質露出部之構成構件之處理容 器內之作業; 在前述處理容器內,導入蝕刻氣體之作業; 對於前述蝕刻氣體來進行電漿化,通過前述有機罩幕 層之開口圖案而對於前述有機膜,來進行蝕刻之作業; 在前述處理容器內,導入由112'1^2和He所構成之 群組而選出之至少1種處理氣體之作業;以及, 對於前述處理氣體來進行電漿化,對於前述有機罩幕 層來進行電漿處理之作業。 8 7 .如申請專利範圍第8 6項所記載之電漿處理方法, 其中,前述蝕刻氣體係包含C F4。 8 8 ·如申請專利範圍第8 6項所記載之電漿處理方法, 其中,在前述電漿處理作業後,還具有進行前述蝕刻對象 層之蝕刻之作業。 8 9 .如申請專利範圍第8 6項所記載之電漿處理方法, 其中,前述有機膜係有機反射防止膜。 9 〇 ·如申請專利範圍第8 6項所記載之電漿處理方法, 其中,前述有機罩幕層係有機光阻劑層。 9 1 .如申請專利範圍第90項所記載之電漿處理方法, 其中,前述有機光阻劑層係由ArF光阻劑或F2光阻劑所 -94- (14) (14)200401365 構成。 92 .如申請專利範圍第8 6項所記載之電漿處理方法, 其中,前述包含S i之物質係由單結晶S i所構成。 9 3 .如申請專利範圍第8 6項所記載之電漿處理方法, 其中,前述包含Si之物質係由SiC所構成。 94. 如申請專利範圍第86項所記載之電漿處理方法, 其中’前述具有包含Si之物質露出部之構成構件係設置 在前述處理容器內之被處理體之對向電極。 95. —種電漿處理方法,其特徵爲:具有: 將具有蝕刻對象層 '覆蓋前述蝕刻對象層之有機膜和 形成覆蓋前述有機膜之開口圖案之有機罩幕層之被處理體 ,配置在具備包含Si之物質露出部之構成構件之處理容 器內之作業; 在前述處理容器內,導入112之作業;以及, 對於導入之H2來進行電漿化,通過前述有機罩幕層 之開口圖案而對於前述有機膜,來進行蝕刻之作業。 9 6.如申請專利範圍第95項所記載之電漿處理方法, 其中,在前述蝕刻有機膜之作業後,還具有進行前述蝕刻 對象層之蝕刻之作業。 97. 如申請專利範圍第95項所記載之電漿處理方法, 其中,前述有機膜係有機反射防止膜。 98. 如申請專利範圍第95項所記載之電漿處理方法, 其中,前述有機罩幕層係有機光阻劑層。 9 9 ·如申請專利範圍第9 8項所記載之電漿處理方法, -95- (15) (15)200401365 其中,前述有機光阻劑層係由ArF光阻劑或F2光阻劑所 構成。 100.如申請專利範圍第95項所記載之電漿處理方法 ,其中,前述包含S i之物質係由單結晶S i所構成。 1 〇 1 .如申請專利範圍第9 5項所記載之電漿處理方法 ,其中,前述包含Si之物質係由SiC所構成。 1 02 .如申請專利範圍第9 5項所記載之電漿處理方法 ,其中,前述具有包含S i之物質露出部之構成構件係設 置在前述處理容器內之被處理體之對向電極。 103.—種電漿處理方法,其特徵爲:具有: 將具有蝕刻對象層和形成覆蓋該蝕刻對象層之開口圖 案而由ArF光阻劑或F2光阻劑所構成之光阻劑層之被處 理體,配置在處理容器內之作業; 在前述收納被處理體之處理容器內,導入包含C2f4 之處理氣體之作業; 對於前述處理氣體來進行電漿化之作業;以及, 藉由前述處理氣體之電漿,而使得前述被處理體中之 倉虫刻對象層,通過前述光阻劑層之開口圖案,來進行纟虫刻 之作業。 1 〇 4 .如申請專利範圍第1 〇 3項所記載之電漿處理方法 ’其中’前述蝕刻對象層係碳含有層。 1 〇 5 .如申請專利範圍第丨〇 3項所記載之電漿處理方法 ’其中’前述蝕刻對象層係有機層。 106.—種電漿處理方法,其特徵爲:具有: -96- (16) (16)200401365 將具有蝕刻對象層和形成覆蓋該蝕刻對象層之開口圖 案之罩幕層之被處理體,配置在處理容器內之作業; 在前述收納被處理體之處理容器內,導入包含c2f4 和〇2之處理氣體之作業: 對於前述處理氣體來進行電漿化之作業;以及, 藉由前述處理氣體之電漿,而使得前述被處理體中之 蝕刻對象層,通過前述罩幕層之開口圖案,來進行蝕刻之 作業。 1 0 7 .如申請專利範圍第1 〇 6項所記載之電漿處理方法 ,其中,前述罩幕層係光阻劑層。 1 0 8 .如申請專利範圍第1 〇 7項所記載之電漿處理方法 ,其中,前述蝕刻對象層係反射防止層。 109.如申請專利範圍第107項所記載之電漿處理方法 ,其中’前述光阻劑層係由ArF光阻劑或f2光阻劑所構 成。 Η 0.如申請專利範圍第I 06項所記載之電漿處理方法 ,其中,前述蝕刻對象層係碳含有層。 1 1 1 ·如申請專利範圍第1 0 6項所記載之電漿處理方法 ,其中,前述触刻對象層係有機層。 1 1 2 · —種電漿處理方法,其特徵爲··具有: 將具有蝕刻對象部、覆蓋該蝕刻對象部之反射防止層 和形成覆蓋該反射防止層之開口圖案而由 ArF光阻劑或 F 2光阻劑所構成之光阻劑層之被處理體 '配置在處理容器 內之作業; -97- (17) (17)200401365 在前述處理容器內,對於包含具有c和F之物質及 具有Η之物質之蝕刻氣體來進行電漿化,透過前述開口 圖案而蝕刻前述反射防止層之作業;以及, 蝕刻前述蝕刻對象部之作業。 1 1 3 ·如申請專利範圍第1 1 2項所記載之電漿處理方法 ’其中,前述具有Η之物質係氫碳。 1 1 4 .如申請專利範圍第1】3項所記載之電漿處理方法 ’其中,前述氫碳係CH4。 1 1 5 .如申請專利範圍第丨丨2項所記載之電漿處理方法 ’其中,前述具有Η之物質係H2。 1 1 6.如申請專利範圍第丨丨2項所記載之電漿處理方法 ’其中’前述具有Η之物質係氫氟碳。 1 1 7 .如申請專利範圍第1 1 6項所記載之電漿處理方法 ’其中’前述氫氟碳係Η原子數相對於F原子數之比値 係3以上。 1 1 8 ·如申請專利範圍第η 7項所記載之電漿處理方法 ’其中,前述氫氟碳係CH3F。 1 1 9.如申請專利範圍第丨丨8項所記載之電漿處理方法 ’其中’前述蝕刻氣體中之前述CH3F流量相對於前述具 有C和F之物質流量之比値係〇 · 〇 4〜0 . 〇 7。 1 2 〇.如申請專利範圍第1 1 2項所記載之電漿處理方法 ’其中’前述具有C和F之物質係CF4。 121.—種電漿處理方法,其特徵爲:具有: 將具有Μ刻對象部、覆蓋該蝕刻對象部之反射防止層 -98- (18) (18)200401365 和形成覆蓋該反射防止層之開口圖案之罩幕層之被處理體 ,配置在處理容器內之作業: 在前述處理容器內,對於包含具有C和F之物質及 氫碳之蝕刻氣體來進行電漿化,透過前述開口圖案而蝕刻 前述反射防止層之作業;以及, 蝕刻前述蝕刻對象部之作業。 1 2 2 ·如申請專利範圍第1 2 1項所記載之電獎處理方法 ,其中,前述氫碳係CH4。 1 2 3 .如申請專利範圍第1 2 1項所記載之電漿處理方法 ,其中,前述具有C和F之物質係CF4。 1 2 4 ·如申請專利範圍第1 2 1項所記載之電漿處理方法 ,其中,前述罩幕層係係ArF光阻劑層或F2光阻劑層。 125· —種電漿處理方法,其特徵爲:具有: 將具有蝕刻對象部、覆蓋該蝕刻對象部之反射防止層 和形成覆蓋該反射防止層之開口圖案之罩幕層之被處理體 ,配置在處理容器內之作業; 在前述處理容器內,對於包含具有C和F之物質及 具有C、Η和F而Η原子數相對於F原子數之比値3以上 之物質之鈾刻氣體來進行電漿化,透過前述開口圖案而蝕 刻前述反射防止層之作業;以及, 触刻前述蝕刻對象部之作業。 126.如申請專利範圍第125項所記載之電漿處理方法 ,其中,前述具有C、Η和F而Η原子數相對於F原子數 之比値3以上之物質係CH3F。 -99- (19) (19)200401365 1 2 7 .如申請專利範圍第1 2 5項所記載之電漿處理方法 ,其中,前述具有C和F之物質係CF4。 1 2 8 ·如申請專利範圍第1 2 7項所記載之電漿處理方法 ,其中,前述CH3F流量相對於前述蝕刻氣體中之具有C 和F之物質流量之比値係0.0 4〜0 · 0 7。 1 2 9 ·如申請專利範圍第1 2 5項所記載之電漿處理方法 ,其中,前述罩幕層係係ArF光阻劑層或F2光阻劑層。 13〇•一種電漿處理方法,其特徵爲:具有: 將具有蝕刻對象部和形成覆蓋該蝕刻對象部之開口圖 案而由ArF光阻劑或F2光阻劑所構成之光阻劑層之被處 理體,配置在處理容器內之作業; 在前述處理容器內,對於包含具有C和F之物質及 CO之處理氣體來進行電漿化,將該電漿照射在前述光阻 劑層之作業;以及, 在前述處理容器內,對於蝕刻氣體來進行電漿化,藉 由該電漿,透過前述開口圖案,來蝕刻前述蝕刻對象部之 作業。 1 3 1 .如申請專利範圍第1 3 0項所記載之電漿處理方法 ,其中,前述具有C和F之物質係CF4。 1 3 2 .如申請專利範圍第1 3 0項所記載之電漿處理方法 ,其中,前述處理氣體和前述氣體係相同氣體。 133. 如申請專利範圍第132項所記載之電漿處理方法 ,其中,前述蝕刻對象部係反射防止層。 134. —種電漿處理方法,其特徵爲:具有: -100- (20) (20)200401365 將具有蝕刻對象部、覆蓋該蝕刻對象部之反射防止層 和形成覆蓋該反射防止層之開口圖案而由 ArF光阻劑或 F2光阻劑所構成之光阻劑層之被處理體,配置在處理容器 內之作業; 在前述處理容器內,對於包含具有C和F之物質及 CO之第1蝕刻氣體來進行電漿化,藉由該電漿’透過前 述開口圖案,而對於前述反射防止層來進行蝕刻之第1蝕 刻作業;以及, 在前述處理容器內,對於第2蝕刻氣體來進行電漿化 ,藉由該電漿,透過前述開口圖案,而對於前述蝕刻對象 部,來進行蝕刻之第2蝕刻作業。 1 3 5 ·如申請專利範圍第1 3 4項所記載之電漿處理方法 ,其中,前述具有C和F之物質係CF4。 1 3 6 .如申請專利範圍第1 3 4項所記載之電漿處理方法 ,其中,前述蝕刻對象部係S i Ο 2層,前述第2蝕刻氣體 係包含C 5 F 8。 1 3 7 ·如申請專利範圍第1 3 4項所記載之電漿處理方法 ,其中,前述蝕刻對象部係S i 0 2層,前述第2蝕刻氣體 係包含c4F6之氣體。 1 3 8 · —種電漿處理方法,其特徵爲:具有: 將具有蝕刻對象部、覆蓋蝕刻對象部之反射防止層和 形成覆蓋該反射防止層之開口圖案之罩幕層之被處理體, 配置在處理容器內之作業; 在前述處理容器內,對於包含CF4和CO之第1蝕刻 -101 - (21) (21)200401365 氣體來進行電漿化,藉由該電漿,透過前述開口圖案,而 對於前述反射防止層來進行蝕刻之第1蝕刻作業;以及, 在前述處理容器內,對於第2鈾刻氣體來進行電漿化 ,藉由該電漿,透過前述開口圖案,而對於前述蝕刻對象 部,來進行蝕刻之第2蝕刻作業。 1 3 9 •如申請專利範圍第1 3 8項所記載之電漿處理方法 ,其中,前述飽刻對象部係S i Ο 2層,前述第2蝕刻氣體 係包含C 4 F 6 ° 1 4 0 .如申請專利範圍第1 3 9項所記載之電漿處理方法 ,其中,前述蝕刻對象部係Si02層,前述第2蝕刻氣體 係包含C5Fs之氣體。 141.—種電漿處理方法,其特徵爲:具有: 將具有蝕刻對象層、覆蓋該蝕刻對象層之有機反射防 止層和形成覆蓋該有機反射防止層之開口圖案而由ArF光 阻劑或F2光阻劑所構成之光阻劑層之被處理體,配置在 處理容器內之作業; 在該處理容器內,導入具有包含Si之物質之蝕刻氣 體之作業;以及, 對於該蝕刻氣體來進行電漿化,通過前述光阻劑層之 開□圖案,來蝕刻有機反射防止層之作業。 W2.如申請專利範圍第141項所記載之電漿處理方法 ’其中,前述包含Si之物質係SiF4。 1 43 ·如申請專利範圍第1 42項所記載之電漿處理方法 ’其中,前述蝕刻氣體係含有CHF3。 -102- (22) (22)200401365 14 4.如申請專利範圍第142項所記載之電漿處理方法 ,其中,前述蝕刻氣體係含有Η B r。 1 45 .如申請專利範圍第1 42項所記載之電漿處理方法 ,其中,前述蝕刻氣體係含有H e。 14 6.如申請專利範圍第142項所記載之電漿處理方法 ,其中,前述蝕刻氣體係含有H2。 1 4 7 .如申請專利範圍第1 4 1項所記載之電漿處理方法 ,其中,在前述蝕刻有機反射防止層之作業後,還具有: 通過前述ArF光阻劑層之開口圖案而對於前述蝕刻對象層 來進行電漿蝕刻之作業。 148.—種電漿處理方法,其特徵爲:具有: 在位處於處理容器中之感測器,載置具有蝕刻對象層 和覆蓋該蝕刻對象層而形成開口之罩幕層之被處理體之作 etiir · 菜, 在前述處理容器內而存在前述被處理體和表面至少一 部分成爲S i之構件下’於前述處理容器中,導入惰性氣 體之作業; 將使得前述惰性氣體至少一部分成爲離子化之高頻能 量,施加至前述處理容器中之作業; 在前述處理容器中,導入蝕刻氣體之作業; 對於該蝕刻氣體來進行電漿化之作業;以及, 藉由前述蝕刻氣體之電漿,而在前述處理容器中,透 過前述罩幕層之開口圖案,對於前述蝕刻對象層來進行蝕 刻之作業。 -103- (23) (23)200401365 1 4 9 ·如申請專利範圍第1 4 8項所記載之電漿處理方法 ,其中,前述罩幕層係係A r F光阻劑層或F 2光阻劑層。 1 5 0 ·如申請專利範圍第1 4 8項所記載之電漿處理方法 ,其中,前述表面至少一部分成爲S i之構件係位處在前 述被處理體周圍之聚焦環。 1 5 1 .如申請專利範圍第1 4 8項所記載之電漿處理方法 ,其中,前述表面至少一部分成爲S i之構件係將前述蝕 刻氣體來導入至前述處理容器內之蓮蓬頭。 1 5 2 .如申請專利範圍第1 4 8項所記載之電漿處理方法 ,其中,前述蝕刻對象層係S i氧化物,前述蝕刻氣體係 包含由C4F6、<3汗8和C5FS所構成之群組而選出之至少— 種。 I 5 3 .如申請專利範圍第1 4 8項所記載之電漿處理方法 ,其中’在前述蝕刻作業後,還具有在多階段而對於罩幕 層來進行電漿除去之作業。 1 5 4 ·如申請專利範圍第1 5 3項所記載之電漿處理方法 ,其中,前述在多階段而對於罩幕層來進行電漿除去之作 業係具有:藉由包含氟化合物之氣體之電漿而除去罩幕層 一部分之第1除去作業以及藉由不包含氟化合物之氣體之 電漿而除去在第1除去作業所殘留之罩幕層至少一部分之 第2除去作業。 155•如申請專利範圍第154項所記載之電漿處理方法 ,其中,前述罩幕層係係ArF光阻劑層,在前述第】除去 作業所使用之氣體係C F 4。 -104- (24) (24)200401365 1 5 6 .如申請專利範圍第]4 8項所記載之電漿處理方法 ,其中’前述將能量導入至前述處理容器內之作業,係包 含在設置於前述處理容器外之天線來施加高頻電力。 1 5 7如申請專利範圍第丨4 8項所記載之電漿處理方法 ’其中’前述將能量導入至前述處理容器內之作業,係包 含在設置於前述處理容器內之前述感測器之對象電極來施 加高頻電力。 158.—種電槳處理方法,其特徵爲:具有: 在位處於處理容器中之感測器,載置具有蝕刻對象層 和覆蓋該蝕刻對象層而形成開口圖案之罩幕層之被處理體 之作業; 在前述處理容器內,於前述罩幕層之表面,形成Si 含有層之之作業; 在前述處理容器內,導入蝕刻氣體之作業; 對於前述蝕刻氣體來進行電漿化之作業;以及, 在前述處理容器中,藉由前述蝕刻氣體之電漿,通過 俞述罩幕層之開口圖案,而對於前述蝕刻對象層來進行蝕 刻之作業。 1 5 9 .如申請專利範圍第丨5 8項所記載之電漿處理方法 ,其中,在前述電漿蝕刻作業後,還具有在多階段而對於 罩幕層來進行電漿除去之作業。 160.如申請專利範圍第159項所記載之電漿處理方法 ,其中’前述在多階段而對於罩幕層來進行電漿除去之作 業係具有:藉由包含氟化合物之氣體之電漿而除去罩幕層 -105- (25) (25)200401365 一部分之第1除去作業以及藉由不包含氟化合物之氣體之 電獎而除去在第1除去作業所殘留之罩幕層至少一部分之 第2除去作業。 ]6 1 .如申請專利範圍第丨60項所記載之電漿處理方法 ’其中’前述罩幕層係係ArF光阻劑層或F2光阻劑層, 在前述第1除去作業所使用之氣體係CF4。 162. 如申請專利範圍第158項所記載之電漿處理方法 ’其中’前述罩幕層係係ArF光阻劑層或F2光阻劑層。 163. 如申請專利範圍第158項所記載之電漿處理方法 ’其中,前述蝕刻對象層係S i氧化物,前述蝕刻氣體係 包含由C4F6、c4f8和C5FS中而選出之至少一種。 1 64 .如申請專利範圍第1 5 8項所記載之電漿處理方法 ’其中,前述形成S i含有層之作業係藉由P V D法而實施 〇 1 6 5 .如申請專利範圍第1 5 8項所記載之電漿處理方法 ’其中,前述形成Si含有層之作業係藉由CVD法而實施 〇 166.—種電漿處理方法,其特徵爲:具有: 準備在內部設置表面至少一部分成爲S i之構件、第 1電極和位處在面對該第1電極之對向位置上之第2電極 之處理容器之作業; 在前述處理容器內之前述第1電極’載置具有蝕刻對 象層和覆蓋該蝕刻對象層而形成開口圖案之罩幕層之被處 理體之作業; -106- (26) 200401365 在前述處理容器內,導入惰性氣體之作業: 在前述第1電極,施加高頻電力之作業: 在前述第2電極,施加高頻電力之作業; 在前述處理容器中,導入蝕刻氣體之作業;以 在前述處理容器中,藉由利用前述高頻電力所 之蝕刻氣體,而通過前述罩幕層之開口圖案,對於 刻對象層來進行蝕刻之作業。 167. 如申請專利範圍第166項所記載之電漿處 ,其中,前述表面至少一部分成爲Si之構件係前 電極之電極板。 168. 如申請專利範圍第166項所記載之電漿處 ,其中,在前述電漿蝕刻作業後,還具有在多階段 罩幕層來進行電漿除去之作業。 16 9.—種電漿處理方法,其特徵爲:具有: 在位處於處理容器中之感測器,載置具有蝕刻 和覆蓋該蝕刻對象層而形成開口圖案並且由ArF光 F2光阻劑所構成之光阻劑層之被處理體之作業; 在前述處理容器中,導入包含 Si化合物之蝕 之作業; 對於前述蝕刻氣體來進行電漿化之作業;以及 在前述處理容器中,藉由前述蝕刻氣體之電漿 前述光阻劑層之開口圖案,而對於前述蝕刻對象層 蝕刻之作業。 170.如申請專利範圍第169項所記載之電漿處理 及’ 電费化 前述蝕 理方法 述第 2 理方法 而對於 對象層 阻劑或 刻氣體 ,通過 來進行 方法 -107- (27)200401365 ,其中,前述S i化合物係S i F 4。51. The plasma processing method as described in item 50 of the scope of patent application, wherein the mask layer is an ArF photoresist layer or an F2 photoresist layer. 5 2. The plasma processing method as described in item 50 of the scope of patent application, wherein the cover layer is made of methyl acrylic resin. 53. The plasma treatment method as described in item 50 of the scope of patent application, wherein the aforementioned etching gas system is different from other gases of CF4 and H2 mixed gas. 54. The plasma processing method according to item 50 of the scope of the patent application, wherein the age-targeted layer is the s i0 2 layer, and the etching gas system includes C 5 F 8 and O 2 gas. -88- (8) (8) 200401365 55. A plasma processing method, comprising: having an etching target layer and forming an opening pattern covering the etching target layer, and using an ArF photoresist or F2 light The object to be processed in the mask layer formed by the resist is placed on a mounting table; CF4 and H2 are plasmatized, and the object layer for engraving is etched through the opening pattern of the mask layer, An initial etching operation up to the middle; and a main etching operation for etching the aforementioned etching target layer by plasma-etching an etching gas containing fluorocarbon after the initial etching operation. 56. The plasma processing method according to item 55 in the scope of the patent application, wherein the etching target layer is a Si 02 layer. 5 7. A plasma treatment method, comprising: an acrylic resin mask having an etching target layer, an anti-reflection layer covering the etching target layer, and forming an opening pattern covering the anti-reflection layer. The object to be processed in the first layer is placed on a mounting table. The first etching operation is performed on plasma of cf4, and the etching of the anti-reflection layer is performed by the opening pattern of the cover layer. The slurry is etched through the opening pattern of the cover layer to the etching target layer, and the second etching operation is continued until midway: and after the second etching operation, the etching gas containing fluorocarbon is supplied. The third etching operation is performed by plasma to etch the uranium etching target layer. 58. The plasma processing method according to item 57 in the scope of the patent application, wherein the etching target layer is a Si 02 layer. 200401365 Ο) 59. A plasma processing method, comprising: a sensor disposed in a processing container, placing a layer having an axis-etched object layer and a mask layer covering the etching object layer to form an opening; The work of the object to be processed; the operation of introducing the processing gas containing H2 in the aforementioned processing container, the operation of supplying the above-mentioned sensor with a frequency of 1 ο 0 Μ Η Z or higher frequency power and a frequency of 3 ΜΗζ or higher frequency power; And, the operation for reducing the pressure in the processing container to 13.3 Pa (100 mTorr) or less " 60. The plasma processing method as described in item 59 of the patent application scope, wherein the high-frequency power of the frequency above 3 MHz is i 〇〇W or less. 61. A plasma processing method, comprising: processing a mask layer having an etching target portion and forming an opening pattern covering the etching target portion and comprising an ArF photoresist or an F2 photoresist; The operation of placing in a processing container; the operation of plasma-treating a processing gas containing a substance containing N in the processing container and irradiating the photoresist layer; and the etching in the processing container. Plasma is formed by gas, and etching is performed on the etching target portion through the opening pattern. 62. The plasma processing method as described in item 61 of the scope of patent application, wherein the substance having N is N2. 63. The plasma processing method as described in item 62 of the scope of the patent application, -90- (10) (10) 200401365, wherein the aforementioned processing gas system includes h2. 64. The plasma processing method according to item 62 of the scope of the patent application, wherein the processing gas system includes one or more selected from the group consisting of CHF3 'CH2F2' CH3F. 65. The plasma processing method according to item 61 of the scope of patent application, wherein the substance having N is NH3. 66_—A plasma processing method, comprising: having an etching target portion, an antireflection layer covering the etching target portion, and forming an opening pattern covering the antireflection layer, and using ArF photoresist or F 2 light A photoresist layer composed of a resist is disposed in a processing container. In the foregoing processing container, plasma is formed for a processing gas containing a substance having N. The above-mentioned opening pattern is used for the foregoing. The anti-reflection layer performs a first etching operation for etching; and a second etching operation for etching the etching target portion through the opening pattern in the processing container by plasma-etching the etching gas. 67. The plasma processing method according to item 6 of the scope of patent application, wherein the substance having N is N2. 68. The plasma processing method as described in item 67 of the scope of patent application, wherein the processing gas system contains H2. 69. The plasma processing method described in item 68 of the scope of the patent application, wherein the aforementioned first etching operation is performed so that the pressure in the aforementioned processing container becomes 107 to 160 Pa (800 to 1200 mTorr). (11) (11) 200401365 70. The plasma processing method as described in item 69 of the scope of the patent application, wherein 'the said etching target layer is the Si 0 2 layer', and the aforementioned etching gas system contains a gas of C5F8. 7 1 · The plasma treatment method as described in item 70 of the scope of the patent application, wherein the aforementioned C5F8 is 1,1,1,4,4,5,5,5 -octafluoro- 2-pentyne. 72. The plasma processing method according to item 67 of the scope of the patent application, wherein the aforementioned processing gas system includes one or more selected from the group consisting of CHF3, CH2F2, and CH3F. 73. The plasma processing method according to item 6 of the scope of the patent application, wherein the substance having N is NH3. 74. The plasma processing method according to item 66 of the scope of the patent application, wherein the etching target layer is a Si02 layer, and the etching gas system contains a gas of C4F6. 75. The plasma processing method according to item 66 of the scope of application for a patent, wherein said etching target layer is a Si 02 layer, and said etching gas system contains a gas of c5f8. 7 6 • The plasma processing method described in item 75 of the scope of patent application, wherein the aforementioned CsFs is a linear CSF8. 77. The plasma processing method as described in item 76 of the scope of patent application, wherein the aforementioned linear C5F8 series 1 is :! , I, 4,4,5,5,5-octafluoro-2-pentamidine. * 78. The plasma treatment method as described in item 75 of the patent application scope, wherein 'the processing gas system package a Ν2 and η2, the aforementioned 10th engraving operation -92- (12) (12 200401365 is implemented by setting the pressure in the processing container to 107 to 160 Pa (800 to 1200 mTorr). 79. A plasma processing method, comprising: arranging an object to be processed having an etching target layer and an organic cover curtain layer forming an opening pattern covering the aforementioned feeding target layer, and being arranged to expose a substance containing Si Work in a processing container constituting a component; introducing into the processing container at least one type of processing gas selected from the group consisting of H2, N2, and He; and performing electricity on the processing gas Plasma is a plasma treatment of the organic cover layer. 80. The plasma processing method as described in item 79 of the scope of application for a patent, wherein after the plasma processing operation, a uranium engraving operation is performed on the etching target layer. 81. The plasma processing method according to item 79 in the scope of the patent application, wherein the organic cover layer is an organic photoresist layer. 82. The plasma processing method described in item 81 of the scope of the patent application, wherein the aforementioned organic photoresist layer in Langzhong is composed of an ArF photoresist or an f2 photoresist. 8 3 · The plasma processing method as described in item 79 of the scope of the patent application, wherein the aforementioned substance containing S i is composed of a single crystal S i. 84. The plasma processing method according to item 79 of the scope of the patent application, wherein the substance containing Si is composed of SiC. 8 5. The plasma processing method described in item 79 of the scope of the patent application, wherein the aforementioned constituent member having an exposed portion containing Si is provided -93-(13) (13) 200401365 in the aforementioned processing container. Opposite electrode of the object to be processed. 86. A plasma processing method, comprising: disposing an object to be treated having an etching target layer, an organic film covering the foregoing etching target layer, and an organic cover curtain layer forming an opening pattern covering the organic film; Operation in a processing container having a constituent member containing a substance exposed portion containing Si; operation of introducing an etching gas into the processing container; plasma forming the etching gas, and using the opening pattern of the organic cover Etching the organic film; introducing at least one processing gas selected from the group consisting of 112′1 ^ 2 and He into the processing container; and performing electricity on the processing gas Plasma is a plasma treatment of the organic cover layer. 87. The plasma processing method according to item 86 of the scope of the patent application, wherein the etching gas system includes C F4. 8 8 · The plasma processing method according to item 86 of the scope of application for a patent, wherein after the plasma processing operation, the plasma etching process is further performed. 89. The plasma processing method according to item 86 in the scope of the patent application, wherein the organic film is an organic reflection prevention film. 9 〇 The plasma processing method according to item 86 of the scope of the patent application, wherein the organic mask layer is an organic photoresist layer. 91. The plasma processing method according to item 90 of the scope of the patent application, wherein the organic photoresist layer is composed of ArF photoresist or F2 photoresist -94- (14) (14) 200401365. 92. The plasma processing method according to item 86 of the scope of the patent application, wherein the substance containing S i is composed of a single crystal S i. 93. The plasma processing method according to item 86 of the scope of patent application, wherein the substance containing Si is made of SiC. 94. The plasma processing method according to item 86 of the scope of patent application, wherein the aforementioned constituent member having an exposed portion containing a substance of Si is a counter electrode of a processing object provided in the aforementioned processing container. 95. A plasma processing method, comprising: disposing an organic film having an etching target layer covering the aforementioned etching target layer and an organic cover screen layer forming an opening pattern covering the aforementioned organic film; Operations in a processing container having a constituent member containing a substance exposed portion of Si; operation of introducing 112 into the processing container; and plasmaizing the introduced H2 through the opening pattern of the organic cover curtain layer The organic film is etched. 9 6. The plasma processing method according to item 95 of the scope of application for a patent, wherein after the operation of etching the organic film, the method further includes an operation of etching the object layer to be etched. 97. The plasma processing method according to item 95 of the scope of application for a patent, wherein the organic film is an organic reflection prevention film. 98. The plasma processing method according to item 95 of the scope of application for a patent, wherein the organic cover layer is an organic photoresist layer. 9 9 · According to the plasma treatment method described in item 98 of the scope of application for patent, -95- (15) (15) 200401365, wherein the organic photoresist layer is composed of ArF photoresist or F2 photoresist . 100. The plasma processing method according to item 95 of the scope of application for a patent, wherein the substance containing S i is composed of a single crystal S i. 101. The plasma processing method as described in item 95 of the scope of patent application, wherein the substance containing Si is composed of SiC. 1 02. The plasma processing method according to item 95 in the scope of the patent application, wherein the aforementioned constituent member having the substance exposed portion including Si is a counter electrode of the object to be processed disposed in the processing container. 103. A plasma processing method, comprising: a quilt having an etching target layer and a photoresist layer composed of an ArF photoresist or an F2 photoresist to form an opening pattern covering the etching target layer. A process in which a processing body is disposed in a processing container; a process in which a processing gas containing C2f4 is introduced into the processing container in which the object to be processed is stored; a process in which the processing gas is plasmatized; and Plasma, so that the worm-engraved object layer in the object to be processed can be engraved by the opening pattern of the photoresist layer. 104. The plasma processing method as described in item 103 of the scope of patent application, 'wherein' the aforementioned etching target layer is a carbon-containing layer. 105. The plasma processing method as described in the scope of the patent application item No. 03, wherein the aforementioned etching target layer is an organic layer. 106. A plasma processing method, comprising: -96- (16) (16) 200401365 A structure to be treated having an etching target layer and a mask layer forming an opening pattern covering the etching target layer is arranged. Operation in a processing container; Operation in which a processing gas containing c2f4 and 〇2 is introduced into the processing container containing the object to be processed; operation of plasmatizing the processing gas; and using the processing gas Plasma enables the etching target layer in the object to be etched through the opening pattern of the mask layer. 107. The plasma processing method as described in item 106 of the scope of the patent application, wherein the mask layer is a photoresist layer. 108. The plasma processing method according to item 107 of the scope of patent application, wherein the etching target layer is an anti-reflection layer. 109. The plasma processing method according to item 107 in the scope of the patent application, wherein the aforementioned photoresist layer is made of an ArF photoresist or an f2 photoresist. Η 0. The plasma processing method according to item 106 of the scope of patent application, wherein the etching target layer is a carbon-containing layer. 1 1 1 · The plasma processing method according to item 106 of the scope of patent application, wherein the above-mentioned layer to be touched is an organic layer. 1 1 2-A plasma processing method, comprising: an ArF photoresist or an anti-reflection layer having an etched portion, an anti-reflection layer covering the etched portion, and an opening pattern covering the anti-reflection layer. F2 photoresist layer of the photoresist layer of the object to be disposed in the processing container; -97- (17) (17) 200401365 In the foregoing processing container, for the substances containing c and F and An operation of plasma etching with an erbium substance, and etching the anti-reflection layer through the opening pattern; and etching the object to be etched. 1 1 3 · The plasma processing method described in item 112 of the scope of patent application ′, wherein the substance having rhenium is hydrogen carbon. 1 1 4. The plasma treatment method described in item 1 of the scope of application for patent] 3, wherein the aforementioned hydrogen-carbon type CH4. 1 1 5. The plasma processing method described in item 丨 丨 2 of the scope of patent application ′, wherein the aforementioned substance having Η is H2. 1 1 6. The plasma treatment method described in item 丨 丨 2 of the scope of the patent application ′ wherein the aforementioned substance having Η is a hydrofluorocarbon. 1 17. The plasma treatment method described in item 116 of the scope of the patent application, wherein the ratio of the number of the above-mentioned hydrofluorocarbon-based fluorene atoms to the number of F atoms 値 is 3 or more. 1 1 8 · The plasma treatment method described in item η 7 of the scope of patent application ′, wherein the aforementioned HFC is CH3F. 1 1 9. The plasma treatment method described in item 8 of the scope of the patent application 'wherein' The ratio of the aforementioned CH3F flow rate in the aforementioned etching gas to the aforementioned substance flow rate having C and F is ·· 〇4〜 0.07. 1 2. The plasma processing method described in item 112 of the scope of the patent application ′ wherein ‘the substance having C and F is CF4. 121. A plasma processing method, comprising: an anti-reflection layer -98- (18) (18) 200401365 having an M-engraved object portion and covering the etching object portion, and forming an opening covering the anti-reflection layer The work of arranging a patterned cover layer in a processing container: Plasma plasma of an etching gas containing substances having C and F and hydrogen and carbon, and etch through the opening pattern. The operation of the antireflection layer; and the operation of etching the etching target portion. 1 2 2 The electric award processing method described in item 121 of the scope of patent application, wherein the aforementioned hydrogen-carbon type CH4. 1 2 3. The plasma treatment method described in item 121 of the scope of patent application, wherein the substance having C and F is CF4. 1 2 4 · The plasma processing method according to item 121 of the scope of the patent application, wherein the mask layer is an ArF photoresist layer or an F2 photoresist layer. 125 · —A plasma processing method, comprising: arranging an object to be treated having an etching target portion, an antireflection layer covering the etching target portion, and a mask layer forming an opening pattern covering the antireflection layer; Operations in a processing vessel; In the aforementioned processing vessel, uranium-engraved gas containing a substance having C and F and a substance having C, plutonium, and F and a ratio of plutonium atoms to F atomic ratios of 3 or more An operation of plasma-etching the anti-reflection layer through the opening pattern; and an operation of touching the etching target portion. 126. The plasma processing method according to item 125 of the scope of application, wherein the substance having C, C, and F and the ratio of the number of Η atoms to the number of F atoms is 値 3 or more is CH3F. -99- (19) (19) 200401365 1 2 7. The plasma processing method as described in item No. 125 of the patent application scope, wherein the aforementioned substance having C and F is CF4. 1 2 8 · The plasma processing method described in item 1 27 of the scope of patent application, wherein the ratio of the flow rate of the CH3F to the flow rate of the substance having C and F in the etching gas is 0.0 4 to 0. 0 7. 1 2 9 · The plasma processing method as described in item 125 of the scope of patent application, wherein the mask layer is an ArF photoresist layer or an F2 photoresist layer. 13〇 • A plasma processing method, comprising: a cover having an etching target portion and a photoresist layer composed of an ArF photoresist or an F2 photoresist, and an opening pattern covering the etching target portion. A process in which a processing body is disposed in a processing container; a process in which a processing gas containing a substance having C and F and CO is plasmatized, and the plasma is irradiated onto the photoresist layer; And, in the processing container, the etching gas is plasmatized, and the plasma is used to etch the etching target portion through the opening pattern. 1 3 1. The plasma processing method as described in item 130 of the scope of patent application, wherein the substance having C and F is CF4. 1 2 2. The plasma processing method as described in item 130 of the scope of the patent application, wherein the aforementioned processing gas and the aforementioned gas system are the same gas. 133. The plasma processing method according to item 132 of the scope of patent application, wherein the etching target portion is an antireflection layer. 134. — A plasma processing method, comprising: -100- (20) (20) 200401365 having an etching target portion, an anti-reflection layer covering the etching target portion, and forming an opening pattern covering the anti-reflection layer. The photoresist layer composed of an ArF photoresist or F2 photoresist is disposed in a processing container. In the aforementioned processing container, the first component containing a substance having C and F and CO is included. A first etching operation for performing plasma etching with an etching gas, and through the opening pattern through the opening pattern to perform etching on the antireflection layer; and in the processing container, electrically performing a second etching gas. The slurry is passed through the opening pattern through the plasma, and the second etching operation is performed on the etching target portion. 1 3 5 · The plasma treatment method described in item 134 of the scope of patent application, wherein the substance having C and F is CF4. 136. The plasma processing method according to item 134 in the scope of the patent application, wherein the etching target portion is a layer of Si02, and the second etching gas includes C5F8. 1 3 7 · The plasma processing method according to item 134 of the scope of the patent application, wherein the etching target part is a layer of Si 0 2 and the second etching gas is a gas containing c4F6. 1 3 8 · A plasma processing method, comprising: an object to be treated having an etching target portion, an antireflection layer covering the etching target portion, and a mask layer forming a cover pattern covering the opening pattern of the antireflection layer, The operation arranged in the processing container; In the foregoing processing container, the first etching -101-(21) (21) 200401365 gas containing CF4 and CO is plasmatized, and the plasma is transmitted through the opening pattern through the plasma. The first etching operation is performed on the anti-reflection layer; and in the processing container, the second uranium-etched gas is plasmatized, and the plasma is transmitted through the opening pattern, and the first The second etching operation for etching is performed on the object to be etched. 1 3 9 • The plasma processing method described in item 138 of the scope of patent application, wherein the above-mentioned saturated object portion is a layer of Si 0, and the second etching gas system includes C 4 F 6 ° 1 4 0 The plasma processing method according to item 139 in the scope of the patent application, wherein the etching target portion is a SiO 2 layer, and the second etching gas system includes a C5Fs gas. 141. A plasma processing method, comprising: arf photoresist or F2 having an etching target layer, an organic reflection preventing layer covering the etching target layer, and forming an opening pattern covering the organic reflection preventing layer. A photoresist layer composed of a photoresist layer, the object to be processed is disposed in a processing container; the processing container is introduced with an etching gas containing a substance containing Si; and the etching gas is electrically charged. The slurry is etched through the pattern of the photoresist layer to etch the organic reflection prevention layer. W2. The plasma processing method according to item 141 of the scope of patent application, wherein the substance containing Si is SiF4. 1 43-The plasma processing method as described in claim No. 142 of the patent application range, wherein the etching gas system contains CHF3. -102- (22) (22) 200401365 14 4. The plasma processing method according to item 142 of the scope of patent application, wherein the aforementioned etching gas system contains ΗB r. 1 45. The plasma processing method according to item 42 of the scope of patent application, wherein the etching gas system contains He. 14 6. The plasma processing method according to item 142 of the scope of application for a patent, wherein the etching gas system contains H2. 1 47. The plasma processing method according to item 141 of the scope of patent application, wherein after the operation of etching the organic reflection prevention layer, the method further comprises: passing the opening pattern of the ArF photoresist layer to the foregoing The target layer is etched to perform plasma etching. 148. A plasma processing method, comprising: a sensor positioned in a processing container; and a substrate to be processed having an etching target layer and a cover layer covering the etching target layer to form an opening. As an etiir dish, in the processing container, at least a part of the object to be processed and the surface thereof becomes Si, the operation of introducing an inert gas into the processing container; at least a part of the inert gas will be ionized. The operation of applying high-frequency energy to the aforementioned processing container; the operation of introducing an etching gas into the aforementioned processing container; the operation of plasma-forming the etching gas; and, In the processing container, an etching operation is performed on the etching target layer through the opening pattern of the cover layer. -103- (23) (23) 200401365 1 4 9 · The plasma processing method described in item No. 148 of the scope of application for a patent, wherein the aforementioned cover layer is an A r F photoresist layer or F 2 light Resistor layer. 15 0 · The plasma processing method according to item 148 in the scope of patent application, wherein at least a part of the aforementioned surface becomes a focusing ring of the component system of S i located around the object to be processed. 1 51. The plasma processing method according to item 148 in the scope of the patent application, wherein at least a part of the surface becomes a component of Si, and the etching gas is introduced into the shower head in the processing container. 1 5 2. The plasma processing method according to item 148 in the scope of the patent application, wherein the etching target layer is Si oxide, and the etching gas system includes C4F6, < 3 Khan 8 and C5FS. Group of at least one. I 5 3. The plasma processing method described in item No. 148 of the scope of patent application, wherein '' has a plasma removing operation for the mask layer in multiple stages after the aforementioned etching operation. 1 5 4 · The plasma treatment method described in item 153 of the scope of the patent application, wherein the above-mentioned plasma removal of the cover layer in multiple stages is performed by: A first removal operation for removing a part of the cover layer by plasma and a second removal operation for removing at least a portion of the cover layer remaining in the first removal operation by a plasma of a gas not containing a fluorine compound. 155. The plasma treatment method according to item 154 of the patent application scope, wherein the cover layer is an ArF photoresist layer, and the gas system C F 4 used in the above-mentioned removal operation. -104- (24) (24) 200401365 1 5 6. The plasma processing method described in item 4 of the scope of patent application] 48, wherein the aforementioned operation of introducing energy into the aforementioned processing container is included in the The antenna outside the processing container is used to apply high-frequency power. 1 5 7 According to the plasma processing method described in item No. 丨 4 of the scope of the patent application, wherein the aforementioned operation of introducing energy into the aforementioned processing container is the object of the aforementioned sensor provided in the aforementioned processing container. Electrode to apply high-frequency power. 158. An electric paddle processing method, comprising: a sensor positioned in a processing container; and a processed object having an etching target layer and a mask layer covering the etching target layer to form an opening pattern. The operation of forming an Si-containing layer on the surface of the cover layer in the processing container; the operation of introducing an etching gas in the processing container; the operation of plasma forming the etching gas; and In the processing container, the plasma of the etching gas is used to perform the etching operation on the etching target layer through the opening pattern of the mask layer. 159. The plasma processing method as described in item 58 of the scope of application for a patent, wherein after the plasma etching operation described above, there is a plasma removal operation for the cover layer in multiple stages. 160. The plasma treatment method described in the scope of application patent No. 159, wherein the aforementioned operation of removing the plasma layer for the cover layer in multiple stages includes removing by a plasma of a gas containing a fluorine compound. Mask layer -105- (25) (25) 200401365 Part of the first removal operation and at least part of the second removal of the mask layer remaining in the first removal operation by the electric award of a gas that does not contain a fluorine compound operation. ] 6 1. The plasma treatment method described in item 60 of the patent application 'wherein' the aforementioned cover layer is an ArF photoresist layer or an F2 photoresist layer, and the gas used in the aforementioned first removal operation Department of CF4. 162. The plasma processing method as described in item 158 of the scope of the patent application, wherein the aforementioned mask layer is an ArF photoresist layer or an F2 photoresist layer. 163. The plasma processing method according to item 158 of the scope of the patent application, wherein the etching target layer is Si oxide, and the etching gas system includes at least one selected from C4F6, c4f8, and C5FS. 1 64. The plasma treatment method described in item 158 of the scope of patent application, wherein the aforementioned operation of forming the Si-containing layer is performed by the PVD method. 065. If the scope of patent application is 158 The plasma processing method described in the above item, wherein the operation of forming the Si-containing layer is carried out by a CVD method. A plasma processing method is characterized in that: it is provided that at least a part of the surface is provided in the interior to be S The operation of the member i, the first electrode, and the processing container of the second electrode located at the position facing the first electrode; The first electrode 'placed in the processing container has an etching target layer and -106- (26) 200401365 Covering the object to be etched to form an opening pattern of the object to be processed: Introducing an inert gas into the processing container: Applying high-frequency power to the first electrode Operation: The operation of applying high-frequency power to the second electrode; the operation of introducing an etching gas into the processing container; the etching of the processing container by using the high-frequency power Gas, and, for the moment the target layer is etched through the opening operation of the cover layer is patterned screen. 167. The plasma processing unit described in item 166 of the scope of patent application, wherein at least a part of the aforementioned surface becomes an electrode plate of the front electrode of the component of Si. 168. The plasma processing unit described in item 166 of the scope of application for a patent, wherein after the aforementioned plasma etching operation, there is also a multi-stage masking operation to remove the plasma. 16 9. A plasma processing method, comprising: a sensor positioned in a processing container, having an opening pattern formed by etching and covering the etching target layer, and formed by an ArF light F2 photoresist The operation of the object to be processed constituted by the photoresist layer; the operation of introducing an etching containing a Si compound into the aforementioned processing container; the operation of plasma forming the aforementioned etching gas; and the aforementioned processing container using the aforementioned The opening pattern of the aforementioned photoresist layer in the plasma of the etching gas, and the etching of the aforementioned etching target layer. 170. According to the plasma treatment described in item 169 of the scope of the patent application and the second method of the above-mentioned etching method, the method of using the target layer resist or etching gas is carried out -107- (27) 200401365 Among them, the aforementioned Si compound is Si F 4. •108-• 108-
TW092117266A 2002-06-27 2003-06-25 Plasma processing method TWI265569B (en)

Applications Claiming Priority (9)

Application Number Priority Date Filing Date Title
JP2002187422 2002-06-27
JP2002214628 2002-07-24
JP2002271588 2002-09-18
JP2002271589 2002-09-18
US42078802P 2002-10-24 2002-10-24
US42356602P 2002-11-05 2002-11-05
JP2003003540 2003-01-09
JP2003110225 2003-04-15
JP2003151416 2003-05-28

Publications (2)

Publication Number Publication Date
TW200401365A true TW200401365A (en) 2004-01-16
TWI265569B TWI265569B (en) 2006-11-01

Family

ID=30004139

Family Applications (1)

Application Number Title Priority Date Filing Date
TW092117266A TWI265569B (en) 2002-06-27 2003-06-25 Plasma processing method

Country Status (5)

Country Link
JP (1) JP5008691B2 (en)
CN (1) CN100440449C (en)
AU (1) AU2003244166A1 (en)
TW (1) TWI265569B (en)
WO (1) WO2004003988A1 (en)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI450328B (en) * 2005-12-28 2014-08-21 Tokyo Electron Ltd Plasma etch methods and computer-readable memory media
TWI476544B (en) * 2008-09-04 2015-03-11 Tokyo Electron Ltd Plasma processing method and modification method of photoresist pattern
TWI497585B (en) * 2010-06-24 2015-08-21 Tokyo Electron Ltd Substrate processing method and substrate processing apparatus
TWI682426B (en) * 2014-07-29 2020-01-11 日商東京威力科創股份有限公司 Plasma processing device and plasma processing method

Families Citing this family (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040171260A1 (en) * 2002-06-14 2004-09-02 Lam Research Corporation Line edge roughness control
US7547635B2 (en) 2002-06-14 2009-06-16 Lam Research Corporation Process for etching dielectric films with improved resist and/or etch profile characteristics
JP4643916B2 (en) * 2004-03-02 2011-03-02 株式会社アルバック Method and apparatus for dry etching of interlayer insulating film
US7712434B2 (en) * 2004-04-30 2010-05-11 Lam Research Corporation Apparatus including showerhead electrode and heater for plasma processing
US20060011578A1 (en) * 2004-07-16 2006-01-19 Lam Research Corporation Low-k dielectric etch
JP4537818B2 (en) * 2004-09-30 2010-09-08 株式会社日立ハイテクノロジーズ Plasma processing method
US7053003B2 (en) * 2004-10-27 2006-05-30 Lam Research Corporation Photoresist conditioning with hydrogen ramping
JP4602171B2 (en) * 2005-06-22 2010-12-22 東京エレクトロン株式会社 Plasma etching method, plasma etching apparatus, control program, and computer storage medium
JP2007123766A (en) * 2005-10-31 2007-05-17 Tokyo Electron Ltd Etching method, plasma processing apparatus, and storage medium
JP4928832B2 (en) * 2006-05-25 2012-05-09 東京エレクトロン株式会社 Etching method and computer-readable recording medium
JP5108489B2 (en) * 2007-01-16 2012-12-26 株式会社日立ハイテクノロジーズ Plasma processing method
CN102089867B (en) * 2008-07-11 2013-11-27 东京毅力科创株式会社 Plasma processing apparatus
JP2010041028A (en) * 2008-07-11 2010-02-18 Tokyo Electron Ltd Substrate processing method
JP5207541B2 (en) * 2008-12-26 2013-06-12 富士フイルム株式会社 Liquid repellent film forming method, nozzle plate, ink jet head, and electronic apparatus
JP5486883B2 (en) * 2009-09-08 2014-05-07 東京エレクトロン株式会社 Processing method of workpiece
CN102041508B (en) * 2009-10-23 2012-07-25 中芯国际集成电路制造(上海)有限公司 Groove etching method
JP5781808B2 (en) * 2010-03-31 2015-09-24 東京エレクトロン株式会社 Plasma processing method and plasma processing apparatus
JP2012028431A (en) * 2010-07-21 2012-02-09 Toshiba Corp Method of manufacturing semiconductor device
JP5642001B2 (en) * 2011-03-25 2014-12-17 東京エレクトロン株式会社 Plasma etching method
JP5142236B1 (en) 2011-11-15 2013-02-13 エルシード株式会社 Etching method
KR20130063871A (en) * 2011-12-07 2013-06-17 삼성전자주식회사 Magnetic device and method of manufacturing the same
JP6050944B2 (en) * 2012-04-05 2016-12-21 東京エレクトロン株式会社 Plasma etching method and plasma processing apparatus
CN103377885B (en) * 2012-04-27 2016-03-16 南亚科技股份有限公司 Form the method for opening
JP6008608B2 (en) * 2012-06-25 2016-10-19 東京エレクトロン株式会社 Resist mask processing method
JP6030886B2 (en) 2012-08-09 2016-11-24 東京エレクトロン株式会社 Plasma etching method and plasma etching apparatus
JP6063264B2 (en) 2012-09-13 2017-01-18 東京エレクトロン株式会社 Method for processing substrate and plasma processing apparatus
WO2014042192A1 (en) * 2012-09-13 2014-03-20 東京エレクトロン株式会社 Method for treatment of treated substrate, and plasma treatment device
KR102148336B1 (en) * 2013-11-26 2020-08-27 삼성전자주식회사 Method of treating a surface, method of fabricating a semiconductor device and the semiconductor device so fabricated
JP6243722B2 (en) * 2013-12-10 2017-12-06 東京エレクトロン株式会社 Etching method
JP6438831B2 (en) * 2015-04-20 2018-12-19 東京エレクトロン株式会社 Method for etching an organic film
JP2016027658A (en) * 2015-09-07 2016-02-18 エルシード株式会社 Etching method
JP2017092376A (en) 2015-11-16 2017-05-25 東京エレクトロン株式会社 Etching method
JP6643875B2 (en) * 2015-11-26 2020-02-12 東京エレクトロン株式会社 Etching method
US9508556B1 (en) * 2016-01-29 2016-11-29 Taiwan Semiconductor Manufacturing Co., Ltd. Method for fabricating fin field effect transistor and semiconductor device
JP7137927B2 (en) * 2017-12-20 2022-09-15 キオクシア株式会社 Semiconductor device manufacturing method
CN109994379B (en) * 2017-12-29 2021-10-19 长鑫存储技术有限公司 Double patterning method and double patterning structure
US11106126B2 (en) * 2018-09-28 2021-08-31 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing EUV photo masks
JP7175162B2 (en) * 2018-11-05 2022-11-18 東京エレクトロン株式会社 Plasma etching method and plasma etching apparatus for object to be processed
JP7174634B2 (en) * 2019-01-18 2022-11-17 東京エレクトロン株式会社 Method for etching a film

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04151668A (en) * 1990-10-15 1992-05-25 Mitsubishi Electric Corp Formation of pattern
JPH04184916A (en) * 1990-11-20 1992-07-01 Fujitsu Ltd Resist mask forming method and dry etching method
JP2953348B2 (en) * 1991-12-30 1999-09-27 ソニー株式会社 Resist pattern forming method, antireflection film forming method, antireflection film, and semiconductor device
JPH06163479A (en) * 1992-11-17 1994-06-10 Sony Corp Dry etching method
JP3348454B2 (en) * 1993-02-05 2002-11-20 ソニー株式会社 Antioxidant method
JPH07106310A (en) * 1993-09-29 1995-04-21 Victor Co Of Japan Ltd Dry etching method
JP2882301B2 (en) * 1995-01-13 1999-04-12 日本電気株式会社 Method for manufacturing semiconductor device
US5888413A (en) * 1995-06-06 1999-03-30 Matsushita Electric Industrial Co., Ltd. Plasma processing method and apparatus
JPH09306893A (en) * 1996-05-15 1997-11-28 Tokyo Ohka Kogyo Co Ltd Method of removing antireflective film
JPH10261628A (en) * 1996-10-24 1998-09-29 Hyundai Electron Ind Co Ltd Formation of contact hole of semiconductor device
JPH10233386A (en) * 1997-02-19 1998-09-02 Sony Corp Dry etching
JPH10268526A (en) * 1997-03-24 1998-10-09 Toshiba Corp Production of semiconductor device and pattern forming method
US6013582A (en) * 1997-12-08 2000-01-11 Applied Materials, Inc. Method for etching silicon oxynitride and inorganic antireflection coatings
JP2000269198A (en) * 1999-03-19 2000-09-29 Toshiba Corp Method and apparatus for plasma treatment
JP2001110784A (en) * 1999-10-12 2001-04-20 Hitachi Ltd Apparatus and method for plasma treatment
JP3447647B2 (en) * 2000-02-25 2003-09-16 株式会社日立製作所 Sample etching method
JP2002043590A (en) * 2000-07-24 2002-02-08 Fuji Electric Co Ltd Semiconductor device and its manufacturing method
JP4605878B2 (en) * 2000-09-25 2011-01-05 パナソニック株式会社 Semiconductor device and manufacturing method thereof

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI450328B (en) * 2005-12-28 2014-08-21 Tokyo Electron Ltd Plasma etch methods and computer-readable memory media
TWI476544B (en) * 2008-09-04 2015-03-11 Tokyo Electron Ltd Plasma processing method and modification method of photoresist pattern
TWI497585B (en) * 2010-06-24 2015-08-21 Tokyo Electron Ltd Substrate processing method and substrate processing apparatus
TWI682426B (en) * 2014-07-29 2020-01-11 日商東京威力科創股份有限公司 Plasma processing device and plasma processing method

Also Published As

Publication number Publication date
TWI265569B (en) 2006-11-01
CN1663030A (en) 2005-08-31
AU2003244166A1 (en) 2004-01-19
WO2004003988A1 (en) 2004-01-08
JP2009164626A (en) 2009-07-23
JP5008691B2 (en) 2012-08-22
CN100440449C (en) 2008-12-03

Similar Documents

Publication Publication Date Title
TW200401365A (en) Plasma processing method
Baklanov et al. Plasma processing of low-k dielectrics
US7473377B2 (en) Plasma processing method
TWI355019B (en) Hydrogen ashing enhanced with water vapor and dilu
JP5087271B2 (en) Plasma etching method using periodic modulation of gas chemical reaction
TW558768B (en) Unique process chemistry for etching organic low-k materials
US7977390B2 (en) Method for plasma etching performance enhancement
KR101468213B1 (en) Method for plasma etching performance enhancement
TWI781368B (en) Methods of processing substrates
KR100854609B1 (en) A method of etching a feature
US6693043B1 (en) Method for removing photoresist from low-k films in a downstream plasma system
TWI671795B (en) Method for critical dimension reduction using conformal carbon films
TW201137970A (en) Low damage photoresist strip method for low-k dielectrics
JP4825911B2 (en) Plasma etching and photoresist strip process with defluorination and wafer defluorination steps in intervening chamber
JP2005050908A (en) Method and apparatus for etching lsi device
EP1754252A1 (en) Method for plasma stripping using periodic modulation of gas chemistry and hydrocarbon addition
WO2021011718A1 (en) Processing of workpieces using deposition process and etch process
TW507286B (en) Method and apparatus for fabricating semiconductor devices
TWI293480B (en)
JPWO2018037799A1 (en) Plasma etching method
JP4889199B2 (en) Dry etching method for low dielectric constant interlayer insulating film
JP4722243B2 (en) Gas for dry etching and processing method of semiconductor device
JPWO2017164089A1 (en) Plasma etching method
JPWO2004003988A1 (en) Plasma processing method
Liu et al. Study of photoresist plug etching back with CO/O2 plasma for dense-ISO via recess loading tunning

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees