JP2953348B2 - Resist pattern forming method, antireflection film forming method, antireflection film, and semiconductor device - Google Patents

Resist pattern forming method, antireflection film forming method, antireflection film, and semiconductor device

Info

Publication number
JP2953348B2
JP2953348B2 JP16909195A JP16909195A JP2953348B2 JP 2953348 B2 JP2953348 B2 JP 2953348B2 JP 16909195 A JP16909195 A JP 16909195A JP 16909195 A JP16909195 A JP 16909195A JP 2953348 B2 JP2953348 B2 JP 2953348B2
Authority
JP
Japan
Prior art keywords
film
less
silicon
reflection
refractive index
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP16909195A
Other languages
Japanese (ja)
Other versions
JPH0855791A (en
Inventor
透 小川
哲雄 牛膓
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Sony Corp
Original Assignee
Sony Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Sony Corp filed Critical Sony Corp
Priority to JP16909195A priority Critical patent/JP2953348B2/en
Publication of JPH0855791A publication Critical patent/JPH0855791A/en
Application granted granted Critical
Publication of JP2953348B2 publication Critical patent/JP2953348B2/en
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Description

【発明の詳細な説明】DETAILED DESCRIPTION OF THE INVENTION

【0001】[0001]

【発明の属する技術分野】本発明は、酸化シリコン系膜
からなる反射防止膜を用いたレジストパターン形成方
、該反射防止膜及び該反射防止膜を有する半導体装置
に関する。特に、本発明は、下地基板上に形成した反射
防止膜上のフォトレジストを単一波長により露光してレ
ジストパターンを形成する際の前記反射防止膜の膜厚、
および反射屈折率、吸収屈折率等などの光学条件の最適
化を図るために適した新規な反射防止膜を用い、フォト
レジストでの定在波効果が所定の値となるようにして
微細なレジストパターンを良好に形成することができる
レジストパターン形成方法、反射防止膜及び該反射防止
膜を有する半導体装置に関する。本発明は、例えば、電
子材料(半導体装置等)を製造する際の微細パターンを
形成する方法として利用することができる。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a method for forming a resist pattern using an antireflection film made of a silicon oxide film, the antireflection film, and a semiconductor device having the antireflection film.
About. In particular, the present invention, when the photoresist on the antireflection film formed on the underlying substrate is exposed by a single wavelength to form a resist pattern, the thickness of the antireflection film,
And using a novel anti-reflection film suitable for optimizing optical conditions such as refractive index, absorption refractive index, etc., so that the standing wave effect in the photoresist becomes a predetermined value ,
Resist pattern forming method capable of favorably forming fine resist pattern , antireflection film and antireflection
The present invention relates to a semiconductor device having a film. INDUSTRIAL APPLICABILITY The present invention can be used, for example, as a method of forming a fine pattern when manufacturing an electronic material (such as a semiconductor device).

【0002】[0002]

【従来の技術】例えば、フォトリングラフィー技術にお
いて、現在、最先端のステッパー(投影露光機)は、K
rFエキシマレーザー光(248nm)を光源に用い、
0.37〜0.42程度のNAのレンズを搭載している
(例えば、ニコンNSR1505EX1、キャノンFP
A4500)。これらステッパーを用いて、サブハーフ
ミクロン(0.5μm以下)領域のデザインルールデバ
イスの研究開発が研究されている。
2. Description of the Related Art For example, in the photolithography technology, a state-of-the-art stepper (projection exposure machine) is
Using rF excimer laser light (248 nm) as a light source,
A lens with an NA of about 0.37 to 0.42 is mounted (for example, Nikon NSR1505EX1, Canon FP
A4500). Research and development of design rule devices in the sub-half micron (0.5 μm or less) region have been studied using these steppers.

【0003】ステッパーは、単一波長の光を露光光源に
用いている。単一波長で露光を行う場合には、定在波効
果と呼ばれる現象が発生することが広く知られている。
定在波が発生する原因は、レジスト膜内で光干渉が起こ
ることによる。すなわち、図1に示すように、入射光P
と、レジストPRと基板Sとの界面から反射光Rとが、
レジストPRの膜内で干渉を起こすことによる。
A stepper uses light of a single wavelength as an exposure light source. It is widely known that when exposure is performed at a single wavelength, a phenomenon called a standing wave effect occurs.
The reason why the standing wave is generated is that optical interference occurs in the resist film. That is, as shown in FIG.
And the reflected light R from the interface between the resist PR and the substrate S
This is because interference occurs in the film of the resist PR .

【0004】その結果として、図2に示すごとく、レジ
ストに吸収される光量(縦軸)が、レジスト膜厚(横
軸)に依存して変化する。なお本明細書中、レジストに
吸収される光量とは、表面反射や、金属が存在する場合
該金属での吸収や、レジストから出射した光の量などを
除いた、レジスト自体に吸収される光の量をいう。かか
る吸収光量がレジストを光反射させるエネルギーとなる
ものである。
As a result, as shown in FIG. 2, the amount of light absorbed by the resist (vertical axis) changes depending on the resist film thickness (horizontal axis). In this specification, the amount of light absorbed by the resist refers to the amount of light absorbed by the resist itself, excluding surface reflection, absorption by the metal when metal is present, and the amount of light emitted from the resist. Means the amount of The amount of the absorbed light becomes the energy for light reflection of the resist.

【0005】なお、図2は、シリコン基板の上にレジス
ト膜(XP8843)を成膜し、レジスト膜の膜厚によ
る吸収光量の変化を調べた結果である。露光用光源とし
ては、λ=248nmのKrFを仮定した。
FIG. 2 shows the result of examining a change in the amount of absorbed light depending on the thickness of a resist film (XP8843) formed on a silicon substrate. As a light source for exposure, KrF of λ = 248 nm was assumed.

【0006】また、その吸収光量変化の度合いは、図3
と図4との比較からも理解されるように、下地基板の種
類により異なる。図2,3,4において、レジストはい
ずれもXP8843(シプレー社)を用いているが、下
地基板は各々Si、Al−Si、W−Siである。すな
わち、下地基板の光学定数(n,k)およびレジストの
光学定数(n,k)により定まる多重干渉を考慮した複
素振幅反射率(R)により、吸収光量の変化の度合いは
定まる。((R)は実数部と虚数部とをもつベクトル量
であることを示す)。
The degree of change in the amount of absorbed light is shown in FIG.
As can be understood from the comparison between FIG. 4 and FIG. 2, 3 and 4, XP8843 (Shipley) is used for the resist, but the underlying substrates are Si, Al-Si, and W-Si, respectively. That is, the degree of change in the amount of absorbed light is determined by the complex amplitude reflectance (R) that takes into account multiple interference determined by the optical constants (n, k) of the underlying substrate and the optical constants (n, k) of the resist. ((R) indicates a vector quantity having a real part and an imaginary part).

【0007】ここで、光学定数nは反射屈折率、kは吸
収屈折率であり、2つの光学定数n,kは、次式
(1)、(2)及び(3)により定めることができる。 I=I O exp(−αd)・・・(1) α=4πk/λ・・・(2) * =n−ik・・・(3) (上記式中、n * は透過吸収率、λは入射光の波長、I
はある深さdにおける光強度、I O は入射光の強度をそ
れぞれ表す。)
Here, the optical constant n is a reflection refractive index, and k is absorption.
And the two optical constants n and k are given by
It can be determined by (1), (2) and (3). I = I o exp (−αd) (1) α = 4πk / λ (2) n * = n−ik (3) (in the above formula, n * is a transmission absorption rate, λ is the wavelength of the incident light, I
Is the light intensity at a certain depth d, and IO is the intensity of the incident light.
Respectively. )

【0008】さらに、実デバイスにおいては、図5に示
すように、基板面には必ず凹凸が存在する。例えば、ポ
リシリコン等の凸部Inが存在する。このため、レジス
ト膜RPを塗布した際、レジスト膜の厚さは、段差の上
部と下部とで異なることになる。つまり、凸部In上の
レジスト膜厚dPR2 は、それ以外の部分のレジスト膜厚
PR1 よりも薄くなる。
Further, in an actual device, as shown in FIG. 5, irregularities always exist on the substrate surface. For example, there is a protrusion In such as polysilicon. For this reason, when the resist film RP is applied, the thickness of the resist film differs between the upper part and the lower part of the step. That is, the resist film thickness d PR2 on the protrusion In is smaller than the resist film thickness d PR1 in the other portions.

【0009】定在波効果は、レジスト膜厚により異なる
ことは前記説明したとおりであり、このため、定在波効
果の影響を受けることによるレジストに吸収される光量
の変化も、各々変わってくる。この結果、露光、現象後
に得られるレジストパターンの寸法が段差の上部と下部
とで異なってしまう。定在波効果のパターン寸法に及ぼ
す影響は、同一波長、同一開口数のステッパーを用いた
場合、パターンが細かければ細かいほど顕著化し、どの
種のレジストについても共通に見られる現象である。
[0009] standing wave effect, it differ by the thickness of the resist film are as above described, Therefore, change in the amount of light absorbed in the resist by being affected by the standing wave effect, come each change . As a result, the dimensions of the resist pattern obtained after the exposure and the phenomenon differ between the upper part and the lower part of the step. The effect of the standing wave effect on the pattern size becomes more pronounced as the pattern becomes finer when a stepper having the same wavelength and the same numerical aperture is used, and is a phenomenon that is commonly observed with any type of resist.

【0010】上記定在波効果のパターン寸法におよぼす
影響は、同一波長、同一開口数のステッパーを用いた場
合、パターンが細かければ細かいほど顕著化する。図6
〜8に、ステッパーとしてニコンNSR1505EX1
(使用露光光源:λ=248nm、KrFエキシマ、N
A=0.42)を用い、レジストとしてXP8843
(シップレーマイクロエレクトロニクス(株)の化学増
幅型レジスト;光酸発生剤を含むポリビニルフェノール
系レジスト)を用いた場合の、定在波効果の影響をパタ
ーンサイズ毎に示す。明らかにパターンが微細化すれば
するほど、定在波効果が顕著になっている(図中に○で
示す0.5μm、0.4μm、0.35μmラインアン
ドスペースパターンのクリティカルディメンジョンシフ
トCD−Shiftのばらつきも参照)。なお、図6は
0.5μmの間隔のラインアンドスペースパターンの場
合であり、図7は0.4μmの間隔のラインアンドスペ
ースパターンの場合であり、図8は0.35μmの間隔
のラインアンドスペースパターンの場合であり、微細化
するほど、定在波効果が顕著になっている。この傾向
は、どの種のレジストについても共通に見られる現象で
ある。
The effect of the standing wave effect on the pattern size becomes more pronounced as the pattern becomes finer when a stepper having the same wavelength and the same numerical aperture is used. FIG.
8 to Nikon NSR1505EX1 as a stepper
(Used exposure light source : λ = 248 nm, KrF excimer, N
A = 0.42) and XP8843 as a resist.
The effect of the standing wave effect when using (a chemically amplified resist of Shipley Microelectronics, Inc .; a polyvinylphenol-based resist containing a photoacid generator) is shown for each pattern size. Obviously, as the pattern becomes finer, the standing wave effect becomes more remarkable (the critical dimension shift CD-Shift of the 0.5 μm, 0.4 μm, 0.35 μm line and space pattern indicated by a circle in the figure). See also variation). 6 shows a case of a line and space pattern with an interval of 0.5 μm, FIG. 7 shows a case of a line and space pattern with an interval of 0.4 μm, and FIG. 8 shows a case of a line and space with an interval of 0.35 μm This is the case of a pattern, and the standing wave effect becomes more prominent as the size is reduced. This tendency is a phenomenon that is common to all types of resists.

【0011】半導体装置等のデバイス作製時のフォトリ
ソグラフィー工程におけるレジストパターンの寸法精度
は、一般に±5%である。トータルでは±5%よりも
ても実用可とは考えられるが、フォーカスその他の、
他の要因によるバラツキも生ずることを考え合わせれ
ば、レジスト露光時におけるパターン精度は、この±5
%以内に収めることが望まれる。この±5%の寸法精度
を達成するためには、定在波効果の低減が必須である。
The dimensional accuracy of a resist pattern in a photolithography step at the time of manufacturing a device such as a semiconductor device is generally ± 5%. Loose than ± 5% in total
Ku is considered the practical use is also permitted in, but the focus of the other,
Considering that variations due to other factors may occur, the pattern accuracy at the time of resist exposure is within ± 5
%. In order to achieve the dimensional accuracy of ± 5%, it is essential to reduce the standing wave effect.

【0012】図9に、レジスト膜内での吸収光量の変動
(横軸)に対する、レジストパターンの寸法変動(縦
軸)を示す。図9より、例えば0.35μmルールデバ
イスの作製を行うには、レジスト膜の吸収光量の変動
は、レンジ6%以下であることが要求されることがわか
る。
FIG. 9 shows a dimensional change (vertical axis) of the resist pattern with respect to a change in the amount of absorbed light in the resist film (horizontal axis). From FIG. 9, it can be seen that, for example, in order to manufacture a 0.35 μm rule device, the variation in the amount of light absorbed by the resist film is required to be within 6% of the range.

【0013】[0013]

【発明が解決しようとする課題】 上述した要求に応える
べく、現在各方面で反射防止技術の検討が精力的に行わ
れている。しかしながら、下地基板の材料や、使用する
レジストが決まっていても、その場合に適正な反射防止
効果が得られる反射防止膜の条件はどのようなものであ
るかを決定するのは必ずしも容易ではない。
In order to meet the above-mentioned demands, antireflection techniques are being actively studied in various fields. However, even if the material of the base substrate and the resist to be used are determined, it is not always easy to determine the conditions of the antireflection film that can obtain an appropriate antireflection effect in that case. .

【0014】例えば、反射防止膜が必要不可欠とされて
いるゲート構造上(例えばタングステンシリサイド(W
−Si)膜上)のパターン形成において、レジスト膜の
吸収光量の変動を、例えばレンジ6%以下とする反射防
止膜は、どのような条件のものであるかは、決定されて
いない。当然、そのようなW−Si上に有効な反射防止
膜材料は未だ見い出されていない。
For example, on a gate structure where an antireflection film is indispensable (for example, tungsten silicide (W
In the pattern formation on the (-Si) film), it is not determined under what conditions the antireflection film has a variation in the amount of light absorbed by the resist film, for example, in a range of 6% or less. Naturally, no effective anti-reflective coating material has been found on such W-Si.

【0015】このW−Si材料をゲートとする構造につ
いては、現在、多層レジスト法もしくはダイ入りレジス
ト等によりパターン形成を行っている。よって、早急
に、W−Si上での反射防止技術を確立することが必要
不可欠と考えられる。
With respect to the structure using the W-Si material as a gate, a pattern is currently formed by a multilayer resist method or a die-containing resist. Therefore, it is considered essential to quickly establish an anti-reflection technology on W-Si.

【0016】このような場合に、任意の単一波長を露光
光源として、任意の下地基板上に安定した微細パターン
形成を行うための反射防止膜に関する包括的な条件、お
よび具体的条件を決定し得る手段があれば、例えば上記
のようにW−Si上にいかなる条件の反射防止膜を形成
すればよいのかを見い出すことができる。しかし、この
ような手法は未だ提案されていない。
In such a case, comprehensive conditions and specific conditions regarding an antireflection film for forming a stable fine pattern on an arbitrary base substrate are determined by using an arbitrary single wavelength as an exposure light source. If there is a means for obtaining the same, it is possible to find out, for example, under what conditions an antireflection film should be formed on W-Si as described above. However, such a method has not been proposed yet.

【0017】本発明は、上記事情に鑑みてなされたもの
で、任意の単一波長の光を露光光源として、任意の下地
基板上にレジストパターンを形成する際に、そのレジス
トパターンが微細なものであっても、良好に安定したレ
ジストパターンを形成するためのレジストパターン形成
方法、前記基板上に、直接またはその他の層を介して設
けられた反射防止膜であって、露光波長が150〜45
0nmにおいて、特定の反射屈折率n、吸収屈折率k及
び特定の膜厚を有する酸化シリコン系膜で構成される反
射防止膜、および該反射防止膜を有する半導体装置を提
供することを目的とする。
The present invention has been made in view of the above circumstances, and when a resist pattern is formed on an arbitrary underlying substrate using light of an arbitrary single wavelength as an exposure light source, the resist pattern is fine. However, a method of forming a resist pattern for forming a good and stable resist pattern, and a method of forming the resist pattern directly or via another layer on the substrate.
An antireflection film having an exposure wavelength of 150 to 45.
At 0 nm, a specific reflection refractive index n, absorption refractive index k and
And a silicon oxide film with a specific thickness
Provided are an anti-reflection film and a semiconductor device having the anti-reflection film.
The purpose is to provide.

【0018】[0018]

【課題を解決するための手段】上記目的を達成するため
に、本発明は、多層構造を有する半導体装置において、
下地基板上に、直接またはその他の層を介して形成した
酸化シリコン系膜で構成される反射防止膜上に、フォト
レジストを単一波長により露光してレジストパターンを
形成するレジストパターン形成方法であって、前記酸化
シリコン系膜で構成される反射防止膜を、前記下地基板
の種類に応じて、露光時のフォトレジストでのレジスト
の膜の膜厚の変動による定在波効果のばらつきが最小の
値となる前記反射防止膜の反射屈折率n、吸収屈折率k
および膜厚になるように、成膜条件を調節しながら成膜
する工程を有するレジストパターン形成方法を提供す
る。
[MEANS FOR SOLVING THE PROBLEMS] To achieve the above object
The present invention provides a semiconductor device having a multilayer structure,
Formed directly or via another layer on the underlying substrate
Photo-resist on the anti-reflection film composed of silicon oxide film
Exposing the resist with a single wavelength to form a resist pattern
A method for forming a resist pattern, comprising:
Forming an antireflection film made of a silicon-based film on the base substrate;
Resist in the photoresist at the time of exposure, depending on the type of
The variation of the standing wave effect due to the variation of
Values of the refractive index n and the absorption refractive index k of the antireflection film.
Film formation while adjusting film formation conditions
Provided is a method for forming a resist pattern, comprising the steps of:

【0019】本発明において酸化シリコン系膜とは、S
x y (SiOとも称する)膜を意味するが、水素な
どの任意成分を含んでも良い。
In the present invention, the silicon oxide based film is S
i x O y (also referred to as SiO) means a film may include optional components such as hydrogen.

【0020】前記下地基板としては、表面が高融点金属
または高融点金属シリサイド系材料で構成される基板を
用いることもできる。前記高融点金属または高融点金属
シリサイド系材料としては、タングステンやタングステ
ンシリサイド等を例示することができる。
The undersubstrate is made of a metal having a high melting point surface.
Alternatively, use a substrate composed of a high melting point metal silicide-based material.
It can also be used. The refractory metal or refractory metal
Examples of silicide-based materials include tungsten and tungsten.
And silicide.

【0021】前記下地基板としては、表面が低融点金属
系材料で構成される基板を用いることもできる。前記低
融点金属系材料としては、アルミニウム、アルミニウム
−シリコン合金、アルミニウム−シリコン−銅合金、
銅、銅合金などを例示することができる。
The undersubstrate is made of a metal having a low melting point surface.
A substrate composed of a system material can also be used. Said low
Aluminum, aluminum
-Silicon alloy, aluminum-silicon-copper alloy,
Copper and copper alloys can be exemplified.

【0022】前記下地基板としては、表面がシリコン系
材料で構成されたものを用いることができる。前記シリ
コン系材料としては、単結晶シリコン、多結晶シリコ
ン、非晶質シリコン、ドープトポリシリコンなどを例示
することができる。
The base substrate has a silicon-based surface.
A material made of a material can be used. The series
Single crystal silicon, polycrystalline silicon
, Amorphous silicon, doped polysilicon, etc.
can do.

【0023】本発明において、下地基板の表面が高融点
金属または高融点金属シリサイド系材料の場合には、前
記反射防止膜として、露光波長が150〜450nmに
おいて、反射屈折率nが1.0以上3.6以下、吸収屈
折率kが0.11以上0.75以下、膜厚が10nm以
上100nm以下であり、レジスト膜の膜厚の変動によ
る定在波効果のばらつきが最小の値となるような反射防
止膜を成膜することが好ましい。
In the present invention, the surface of the base substrate has a high melting point.
In the case of metal or refractory metal silicide material,
As the anti-reflection film, the exposure wavelength is 150-450 nm.
In this case, the reflection refractive index n is 1.0 or more and 3.6 or less,
Folding ratio k is 0.11 or more and 0.75 or less, and film thickness is 10 nm or less.
100 nm or less due to fluctuations in the thickness of the resist film.
Anti-reflection that minimizes the dispersion of the standing wave effect
It is preferable to form a stop film.

【0024】又は、本発明において、下地基板の表面が
高融点金属または高融点金属シリサイド系材料の場合に
は、前記反射防止膜として、露光波長が150〜450
nmにおいて、反射屈折率nが1.7以上5.9以下、
吸収屈折率kが0.51以下の正数であり、膜厚が25
nm以上100nm以下であり、レジスト膜の膜厚の
動による定在波効果のばらつきが最小の値となるような
反射防止膜を成膜することが好ましい。
Alternatively, in the present invention, the surface of the underlying substrate is
For refractory metals or refractory metal silicide materials
Has an exposure wavelength of 150 to 450 as the antireflection film.
nm, the reflection refractive index n is 1.7 or more and 5.9 or less,
The absorption refractive index k is a positive number of 0.51 or less, and the film thickness is 25
is at nm more than 100nm or less, change of the thickness of the resist film
The dispersion of the standing wave effect due to motion
It is preferable to form an antireflection film.

【0025】本発明において、下地基板の表面が低融点
金属系材料の場合には、前記反射防止膜として、露光波
長が150〜450nmにおいて、反射屈折率nが1.
3以上3.6以下、吸収屈折率kが0.2以上1.5以
下、膜厚が10nm以上70nm以下であり、レジスト
膜の膜厚の変動による定在波効果のばらつきが最小の値
となるような反射防止膜を成膜することが好ましい。
In the present invention, the surface of the base substrate has a low melting point.
In the case of a metal-based material, an exposure wave is used as the antireflection film.
When the length is 150 to 450 nm, the reflection refractive index n is 1.
3 or more and 3.6 or less, absorption refractive index k is 0.2 or more and 1.5 or less
Below, the film thickness is 10 nm or more and 70 nm or less,
Minimum variation in standing wave effect due to film thickness variation
It is preferable to form an anti-reflection film such that

【0026】又は、本発明において、下地基板の表面が
低融点金属系材料の場合には、前記反射防止膜として、
露光波長が150〜450nmにおいて、反射屈折率n
が1.9以上5.9以下、吸収屈折率kが0.2以上
0.75以下であり、膜厚が25nm以上90nm以下
であり、レジスト膜の膜厚の変動による定在波効果のば
らつきが最小の値となるような反射防止膜を成膜するこ
とが好ましい。
Alternatively, in the present invention, the surface of the underlying substrate is
In the case of a low melting point metal-based material, as the antireflection film,
When the exposure wavelength is 150 to 450 nm, the reflection refractive index n
Is 1.9 or more and 5.9 or less, and the absorption refractive index k is 0.2 or more.
0.75 or less, and the film thickness is 25 nm or more and 90 nm or less
In the case of the standing wave effect due to the fluctuation of the resist film thickness,
Form an anti-reflection film to minimize the fluctuation.
Is preferred.

【0027】本発明において、下地基板の表面がシリコ
ン系材料の場合には、前記反射防止膜として、露光波長
が150〜450nmにおいて、反射屈折率nが1.8
以上2.6以下、吸収屈折率kが0.1以上0.8以
下、膜厚が20nm以上150nm以下であり、レジス
ト膜の膜厚の変動による定在波効果のばらつきが最小の
値となるような反射防止膜を成膜することが好ましい。
In the present invention, the surface of the underlying substrate is made of silicon.
In the case of a radiation-based material, the exposure wavelength
Is 150 to 450 nm, and the reflection refractive index n is 1.8.
Not less than 2.6 and absorption refractive index k is not less than 0.1 and not more than 0.8
Below, the film thickness is 20 nm or more and 150 nm or less,
The variation of the standing wave effect due to the variation of
It is preferable to form an antireflection film having such a value.

【0028】本発明に係る反射防止膜形成方法およびレ
ジストパターン形成方法では、前記反射防止膜を実際に
成膜する前に、前記下地基板の種類に応じて、露光時の
フォトレジストでの定在波効果が最小となる条件の、反
射防止膜の反射屈折率n、吸収屈折率kおよび膜厚をシ
ミュレーションにより求め、そのシミュレーションによ
り求められた反射屈折率nおよび吸収屈折率kに近づく
ように、下地基板の表面に直接またはその他の層を介し
て、酸化シリコン系膜で構成される反射防止膜を、成膜
条件を調節しながら、前記シミュレーションにより求め
られた膜厚と略等しい膜厚で成膜することが好ましい。
また、前記原料ガスに、少なくとも水素元素を含有する
物質を含むことが好ましい。
In the method for forming an anti-reflection film and the method for forming a resist pattern according to the present invention, prior to actually forming the anti-reflection film, depending on the type of the base substrate, the presence of the photoresist in the photoresist at the time of exposure may be reduced. The reflection index n, the absorption index k, and the film thickness of the antireflection film under the condition where the wave effect is minimized are obtained by simulation, and the reflection index n and the absorption index k obtained by the simulation are approached. An anti-reflection film composed of a silicon oxide-based film is formed on the surface of the base substrate directly or through another layer to a thickness substantially equal to the thickness obtained by the simulation while adjusting the film formation conditions. It is preferable to form a film.
Preferably, the source gas contains a substance containing at least a hydrogen element.

【0029】上記の新規な反射防止膜の決定に際して
は、以下の手段を用いて行った。 (I)任意に定めたある膜厚のレジストの膜厚に対し、
反射防止膜の光学条件(n,k)を連続的に変化させ
(ただし、反射防止膜の膜厚は固定しておく)た際のレ
ジスト膜内で吸収される吸収光量の等高線を求める。 (II)上記(I)で求めた各レジスト膜の膜厚における
レジスト内部の吸収光量の等高線の結果において、吸収
光量の差が最小になる共通領域を見い出し、この共通領
域により限定される光学条件を、(I)において定めた
反射防止膜の膜厚における光学条件(n,k)とする。 (III )反射防止膜の膜厚を変化させて、上記(I),
(II)の操作を繰り返し行い、反射防止膜の各膜厚に対
する各最適条件の光学定数(n,k)を求める。 (IV)上記(III )で得られた最適条件の光学定数を有
する実際の材質の反射防止膜を見い出す。
The above-mentioned new antireflection film was determined by the following means. (I) For a resist film having an arbitrary thickness,
Contour lines of the amount of light absorbed in the resist film when the optical conditions (n, k) of the antireflection film are continuously changed (however, the thickness of the antireflection film is fixed) are obtained. (II) In the result of the contour line of the amount of absorbed light inside the resist at the thickness of each resist film obtained in the above (I), a common region where the difference in the amount of absorbed light is minimized is found, and the optical conditions limited by this common region Is the optical condition (n, k) at the film thickness of the antireflection film determined in (I). (III) By changing the thickness of the antireflection film,
By repeating the operation of (II), the optical constants (n, k) of each optimum condition for each film thickness of the antireflection film are obtained. (IV) An anti-reflection film of an actual material having an optical constant of the optimum condition obtained in the above (III) is found.

【0030】[0030]

【発明の実施の形態】次に、図面を参照して、本発明に
用いられる反射防止膜の包括的条件を決定する上記手段
(I)〜(IV)についてより具体的に説明する。定在
波効果の極大値間、または極小値間のレジスト膜厚は、
レジストの屈折率をnPRとし、露光用光の波長をλとす
ると、λ/2n PR で与えられる(図10参照)。レジ
ストと下地基板との間に、反射防止膜ARLを仮定
て、その膜厚さdarl ,光学定数をnarl ,karl とす
る。図10におけるある1点(例えば、定在波効果が
極大となる膜厚)の膜厚に着目すると、反射防止膜の膜
厚さdarl を固定してnarl ,karl を変化させた場
合、その点におけるレジスト膜の吸収光量は変化する。
この変化する軌跡、すなわち吸収光量の等高線を求める
と、図11に示すようになる。他の異なったレジスト
膜厚dPRについて、少なくとも定在波効果を極大もしく
は極小にする膜厚を基準にして、λ/8nPR間隔で4ケ
所に対して、を繰り返し行うと、図11に対応した図
12〜図14が得られる(図11〜図14は、反射防止
膜厚を20nmに規定し、レジスト膜厚を各々985n
m、1000nm、1018nm、1035nmとした
結果を示す)。以上は、上記手段(I)に該当する。
Next, referring to the drawings, the above means (I) to (IV) for determining the comprehensive conditions of the antireflection film used in the present invention will be described more specifically. The resist film thickness between the maximum values or the minimum values of the standing wave effect is
Assuming that the refractive index of the resist is n PR and the wavelength of the exposure light is λ, it is given by λ / 2n PR (see FIG. 10). Assuming an anti-reflection film ARL between the resist and the underlying substrate, its thickness d arl and its optical constants are n arl and k arl . Focusing on the film thickness at a certain point (for example, the film thickness at which the standing wave effect is maximized) in FIG. 10, the case where the film thickness d arl of the antireflection film is fixed and n arl and k arl are changed. The amount of light absorbed by the resist film at that point changes.
When this changing locus, that is, the contour line of the amount of absorbed light is obtained, the result is as shown in FIG. FIG. 11 corresponds to FIG. 11 when repeating at four locations at λ / 8n PR intervals on the basis of at least the film thickness that maximizes or minimizes the standing wave effect for other different resist film thicknesses d PR . 12 to 14 are obtained (FIGS. 11 to 14 show the case where the antireflection film thickness is specified as 20 nm and the resist film thickness is 985 n each).
m, 1000 nm, 1018 nm, and 1035 nm are shown). The above corresponds to the above-mentioned means (I).

【0031】図11〜図14の各々グラフの共通領域
は、反射防止膜の特定の膜厚について、レジスト膜厚が
変化しても、レジスト膜内での吸収光量が変化しない領
域を示している。すなわち、上記共通領域は、定在波効
果を最小にする、反射防止効果が最も高い領域である。
よって、かかる共通領域を見い出す。共通領域を見い出
すのは、例えば簡便には、各図(グラフ)を重ね合わせ
て、共通領域をとることにより、行うことができる(も
ちろん、コンピュータでの共通領域の検索により行って
もよい)。これは上記手段(II)に該当する。次に、
反射防止膜の膜厚dを連続的に変化させて、上記
を繰り返す。たとえば最初のステップのまでは、d=
20nmとして操作を行ったとすると、dを変えて、上
記を繰り返し行う。これにより、定在波効果を最小にす
るような反射防止膜の膜厚darl 、光学定数narl ,k
arl の条件を特定できる。これは上記手段(III )に該
当する。
The common areas of the graphs in FIGS. 11 to 14 show areas where the amount of absorbed light in the resist film does not change even if the resist film thickness changes for a specific antireflection film thickness. . That is, the common area is an area where the standing wave effect is minimized and the antireflection effect is the highest.
Therefore, such a common area is found. The common area can be found, for example, simply by superimposing the respective figures (graphs) and taking the common area (of course, the common area may be searched by a computer). This corresponds to the above-mentioned means (II). next,
The above is repeated by continuously changing the thickness d of the antireflection film. For example, up to the first step, d =
Assuming that the operation is performed at 20 nm, the above is repeated with changing d. Thereby, the thickness d arl of the antireflection film and the optical constants n arl , k that minimize the standing wave effect
The condition of arl can be specified. This corresponds to the above means (III).

【0032】上記で特定した反射防止膜の満たすべ
き条件(膜厚、光学定数)を満足するような膜の種類
を、露光用光における各膜種の光学定数を測定すること
により見い出す。これは手段(IV)に該当する。上記手
法は、全ての波長、全ての下地基板に対して原理的に適
用可能である。
The type of film that satisfies the conditions (film thickness, optical constant) to be satisfied by the antireflection film specified above is found by measuring the optical constant of each film type in exposure light. This corresponds to the means (IV). The above method is applicable in principle to all wavelengths and all underlying substrates.

【0033】上記(I)〜(IV)の手段で、本発明に係
る方法で好適に用いることができる反射防止膜について
検討したところ、酸化シリコン系膜(SiX y 膜)が
特に適切であることが判明した。
[0033] In means of the (I) ~ (IV), was examined for the reflection preventing film can be suitably used in the process according to the present invention, a silicon-based film (Si X O y film) oxide is particularly suitable It turned out to be.

【0034】この膜は、その成膜条件により、光学条件
を大きく変化させることが可能であり、上記手法により
求めたレジスト膜の膜厚の変動による定在波効果のばら
つきが最小の値となるような反射防止膜の光学条件に合
わせた反射防止膜を容易に成膜することができる。
The optical conditions of this film can be largely changed by the film forming conditions, and the variation of the standing wave effect due to the fluctuation of the thickness of the resist film obtained by the above-mentioned method is obtained.
It is possible to easily form an anti-reflection film that meets the optical conditions of the anti-reflection film so as to minimize the stickiness .

【0035】この酸化シリコン系膜からなる反射防止膜
は、各種CVD法、熱酸化法により容易に成膜すること
ができる。たとえば、この膜は、平行平板型プラズマC
VD法、ECRプラズマCVD法、もしくはバイアスE
CRプラズマCVD法を利用し、マイクロ波を用いて、
シラン系ガスと酸素を含むガスと(たとえばSiH4
2 )の混合ガスを用いて成膜することができる。ま
た、その際に、バッファガスとして、アルゴンArガス
またはN2 ガスなどを用いることができる。
The antireflection film made of the silicon oxide film can be easily formed by various CVD methods and thermal oxidation methods. For example, this film is made of a parallel plate type plasma C
VD method, ECR plasma CVD method, or bias E
Using CR plasma CVD method and microwave
A silane-based gas and a gas containing oxygen (for example, SiH 4 +
A film can be formed using a mixed gas of O 2 ). In this case, an argon Ar gas, an N 2 gas, or the like can be used as a buffer gas.

【0036】また、これらSix y 膜は、レジストを
マスクとして、CF4 、CHF3 、C26 、C4
8 、SF6 、S22 、NF3 系ガスをエッチャントと
し、Arを添加してイオン性を高めたRIEにより、容
易にエッチングすることができる。そのRIEは、約2
Pa程度の圧力下で、10〜100W程度のパワーをか
けて行うことが好ましい。また、RIE時のガスの流量
は、特に限定されないが、5〜70SCCMであること
が好ましい。
Further, these Si x O y film, using the resist as a mask, CF 4, CHF 3, C 2 F 6, C 4 F
8 , SF 6 , S 2 F 2 , and NF 3 -based gas can be used as an etchant, and can be easily etched by RIE with increased ionicity by adding Ar. The RIE is about 2
It is preferable to perform the process under a pressure of about Pa and a power of about 10 to 100 W. The flow rate of the gas during RIE is not particularly limited, but is preferably 5 to 70 SCCM.

【0037】[0037]

【実施例】以下本発明の実施例について、具体的に説明
する。ただし、当然のことではあるが、本発明は以下の
実施例により限定されるものではない。実施例1 この実施例は、KrFエキシマリソグラフィーを用いて
W−Si膜上に安定したレジストパターンを形成するた
めの実施例である。
DESCRIPTION OF THE PREFERRED EMBODIMENTS Embodiments of the present invention will be specifically described below. However, needless to say, the present invention is not limited by the following examples. Embodiment 1 This embodiment is an embodiment for forming a stable resist pattern on a W-Si film by using KrF excimer lithography.

【0038】本実施例では、実際に反射防止膜を用いて
レジストパターンを形成する前に、以下の手法により、
フォトレジストでの定在波効果を最小にすることができ
る反射防止膜の最適な光学条件および膜厚を求めた。 (1)反射防止膜がない状態で、W−Si膜上にXP8
843レジスト(シプレイマイクロエレクトロニクス
(株))を塗布し、波長248nmのKrFエキシマレ
ーザー光により露光、現象した際の定在波効果を図15
に示す。図15より、定在波効果は、約±20%であ
る。 (2)図15において、定在波効果の極大値は、例えば
レジスト膜厚が985nmのときにある。レジスト膜厚
985nmに着目し、かつ反射防止膜の膜厚を30nm
とした際、反射防止膜の光学定数narl 、karl の変化
に対するレジスト膜内の吸収光量の変化(吸収光量の等
高線)を図16に示す。 (3)レジスト膜厚1000nm、1017.5nm、
1035nm各々に対して、上記(2)を繰り返し行っ
た結果を各々図17、図18、図19に示す。
In this embodiment, before actually forming a resist pattern using an antireflection film, the following method is used.
The optimum optical conditions and film thickness of the anti-reflection film capable of minimizing the standing wave effect in the photoresist were determined. (1) XP8 on W-Si film without antireflection film
843 resist (Shipley Microelectronics Co., Ltd.) was applied and exposed to KrF excimer laser light having a wavelength of 248 nm.
Shown in From FIG. 15, the standing wave effect is about ± 20%. (2) In FIG. 15, the maximum value of the standing wave effect is, for example, when the resist film thickness is 985 nm. Focusing on the resist film thickness of 985 nm, and setting the thickness of the antireflection film to 30 nm
FIG. 16 shows the change in the amount of absorbed light in the resist film (the contour line of the amount of absorbed light) with respect to the change in the optical constants n arl and k arl of the antireflection film. (3) a resist film thickness of 1000 nm, 1017.5 nm,
The results obtained by repeating the above (2) for each of 1035 nm are shown in FIGS. 17, 18 and 19, respectively.

【0039】 (4)図17〜19の共通領域を求めた結果、 narl =4.9, karl =0.1 または、narl =2.15, karl =0.67を得た。 すなわち、反射防止膜の膜厚を30nmとした際の最適
反射防止膜の満たすべき条件は、 narl =4.9, karl =0.1 または、narl =2.15, karl =0.67である。
(4) As a result of obtaining the common region of FIGS. 17 to 19, narl = 4.9, karl = 0.1 or narl = 2.15, karl = 0.67 were obtained. That is, when the film thickness of the anti-reflection film is 30 nm, the conditions to be satisfied by the optimum anti-reflection film are as follows : narl = 4.9, karl = 0.1, or narl = 2.15, karl = 0. .67.

【0040】本条件を用いて定在波効果を求めると、図
20、図21に示す結果を得た。図20,21におい
て、定在波効果はきわめて小さく、いずれの場合におい
ても、約±1%であった。反射防止膜なしの場合と比較
して、1/20程度に定在波効果は低減された。なお、
図20と図21とでは、反射防止膜の光学条件が相違す
る。
When the standing wave effect was obtained using these conditions, the results shown in FIGS. 20 and 21 were obtained. 20 and 21, the standing wave effect was extremely small, and was about ± 1% in each case. The standing wave effect was reduced to about 1/20 of that without the anti-reflection film. In addition,
The optical conditions of the antireflection film are different between FIG. 20 and FIG.

【0041】 (5)上記(2)〜(4)の操作は、反射防止膜の膜厚
を30nmとした場合であるが、他の異なる反射防止膜
の膜厚(ARL膜厚)に対しても、(2)〜(4)を繰
り返して行うと、反射防止膜の膜厚に応じた反射防止膜
の最適条件が求まる。求めた結果を図22,23に示
す。図22,23に示すように、解(Value )1につい
ては、反射防止膜として、反射屈折率nが1.2以上
3.4以下であり、吸収屈折率kが0.16以上0.7
2以下であり、膜厚が10nm以上100nm以下であ
るものが、最適な反射防止膜となり、解(Value )2に
ついては、反射防止膜として、反射屈折率nが1.9以
上5.7以下であり、吸収屈折率kが0以上0.46以
下であるものが、最適な反射防止膜となることが判明し
た。図22,23に示す曲線上に一致するn,kを有す
る反射防止膜が最も好ましいが、必ずしも曲線上ではな
く、nについては±0.6、好ましくは±0.2であ
り、kについては±0.3、好ましくは±0.2、さら
に好ましくは±0.15、さらにまた好ましくは±0.
05の範囲にあれば、十分な反射防止効果を奏する。
(5) The above operations (2) to (4) are performed when the thickness of the antireflection film is set to 30 nm. By repeating (2) to (4), the optimum condition of the antireflection film according to the thickness of the antireflection film is obtained. The results obtained are shown in FIGS. As shown in FIGS. 22 and 23, for the solution (Value) 1, as the antireflection film, the reflection refractive index n is 1.2 or more and 3.4 or less, and the absorption refractive index k is 0.16 or more and 0.7 or less.
2 and a film thickness of 10 nm or more and 100 nm or less is an optimal antireflection film. As for the solution (Value) 2, the reflection refractive index n is 1.9 or more and 5.7 or less as an antireflection film. It was found that those having an absorption refractive index k of 0 or more and 0.46 or less became an optimal antireflection film. The antireflection film having n and k matching the curves shown in FIGS. 22 and 23 is most preferable, but is not necessarily on the curves, and n is ± 0.6, preferably ± 0.2, and k is k. ± 0.3, preferably ± 0.2, more preferably ± 0.15, and even more preferably ± 0.1.
When it is in the range of 05, a sufficient anti-reflection effect is exhibited.

【0042】 (6)上記(5)で求めた反射防止膜の満たすべき条件
を満足するような膜種が存在するのか否かを、分光エリ
プソメーター(SOPRA社、“Moss Syste
m”)を用いて調査した。この結果、図24に示すよう
に、SiH4 とO2 との流量比およびマイクロ波出力な
どに応じて、n,kが変化することが判明し、図24
中、○印で囲まれた条件で成膜すれば、Six y
(酸化シリコン膜、SiO膜とも称する)が、図22,
23の条件を完全に満たすことが分かった。すなわち、
W−Siを下地基板とする場合には、好ましくは、反射
屈折率n=2.4±0.6、吸収屈折率k=0.7±
0.2、膜厚d=30nm±10nmであるSix y
膜を反射防止膜として用いれば、定在波効果を最小限に
することができることが予想される。
(6) It is determined whether there is a film type that satisfies the conditions to be satisfied by the anti-reflection film obtained in (5) above, using a spectroscopic ellipsometer (SOPRA, “Moss System”).
As a result, as shown in FIG. 24, it was found that n and k changed according to the flow ratio of SiH 4 and O 2 and the microwave output.
Among, if film formation under the conditions enclosed by ○ mark, Si x O y film (silicon oxide film, with SiO film referred to) is, FIG. 22,
It was found that 23 conditions were completely satisfied. That is,
When W-Si is used as the base substrate, preferably, the reflective refractive index n = 2.4 ± 0.6 and the absorption refractive index k = 0.7 ±.
0.2, a film thickness d = 30nm ± 10nm Si x O y
If the film is used as an antireflection film, it is expected that the standing wave effect can be minimized.

【0043】次に、上記光学条件および膜厚のSix
y 膜を成膜することにより、実際に定在波効果が低減さ
れるか否かを確認した。図25に示すように、シリコン
基板Sの上に、高融点金属シリサイドであるW−Siを
積層し、その上に、Six y 膜から成る反射防止膜A
RLを成膜した。すなわち、ECR−CVD装置を用
い、Six y 膜を23.8nm成膜した。そのSix
y 膜から成る反射防止膜ARLの反射屈折率nが2.
36であり、吸収屈折率kが0.53であった。そのS
x y 膜から成る反射防止膜ARLの上に、XP88
43から成るフォトレジストPRを形成し、定在波効果
を調べた結果を図26中の実施例1に示す。
Next, the Si x O with the above optical conditions and film thickness was used.
It was confirmed whether or not the standing wave effect was actually reduced by forming the y film. As shown in FIG. 25, on the silicon substrate S, by laminating a W-Si which is a refractory metal silicide, on which, Si x O y consisting film antireflection film A
RL was deposited. That is, a 23.8 nm thick Si x O y film was formed using an ECR-CVD apparatus. The Si x
O y reflection refractive index n of the antireflection film ARL consisting film 2.
36 and the absorption refractive index k was 0.53. That S
on the antireflection film ARL consisting i x O y film, XP88
The result of forming a photoresist PR of 43 and examining the standing wave effect is shown in Example 1 in FIG.

【0044】なお、比較のために、反射防止膜は形成し
ない以外は同様にして定在波効果を調べた結果も、図2
6中に比較例1として示す。実施例1では、定在波効果
は、約±1.8%程度であり、反射防止膜を用いなかっ
た比較例1の場合(±21%)と比較して、定在波効果
は1/12程度に低減された。
For the sake of comparison, the result of examining the standing wave effect in the same manner except that the antireflection film was not formed is also shown in FIG.
6 is shown as Comparative Example 1. In Example 1, the standing wave effect was about ± 1.8%, and the standing wave effect was 1 / 1.5 as compared with the case of Comparative Example 1 in which the antireflection film was not used (± 21%). It was reduced to about 12.

【0045】図25に示す構造で、フォトレジストPR
を0.35μm ルールの線幅で、露光用光源としてλ=
248nmのKrFレーザを用いてフォトリソグラフィ
ーを行ったところ、マスクパターンに近い良好なレジス
トパターンが得られた。その後、レジストパターンをマ
スクとして、下地基板をエッチングした。まず、Six
y 膜のエッチングは、CHF3 (50〜100SCC
M)+O2 (3〜20SCCM)のガス系を用い、2P
a程度の圧力下で、100〜1000W程度のパワーを
かけ、Arを添加してイオン性を高めたリアクティブエ
ッチング(RIE)法により、エッチングを行うように
して、所望のパターンをエッチングした。次に、下地基
板である図25に示すW−SiゲートGを、所定パター
ンのフォトレジストPRをマスクとして、RIEなどで
エッチングした。微細なレジストパターンが転写された
良好な微細パターンのW−SiゲートGを得ることがで
きた。
With the structure shown in FIG.
A line width of 0.35μm rule, lambda as an exposure light source =
When photolithography was performed using a 248 nm KrF laser, a favorable resist pattern close to a mask pattern was obtained. Thereafter, the underlying substrate was etched using the resist pattern as a mask. First, Si x
Etching of O y film, CHF 3 (50~100SCC
M) + O 2 (3-20 SCCM) gas system and 2P
A desired pattern was etched by applying a power of about 100 to 1000 W under a pressure of about a and etching by a reactive etching (RIE) method in which Ar was added to increase the ionicity. Next, the undersubstrate W-Si gate G shown in FIG. 25 was etched by RIE or the like using a photoresist PR of a predetermined pattern as a mask. A W-Si gate G having a good fine pattern to which a fine resist pattern was transferred was obtained.

【0046】実施例2 本実施例では、Six y 膜からなる反射防止膜を、以
下の手法により成膜した以外は、前記実施例1と同様に
して、下地基板を加工した。すなわち、本実施例では、
平行型プラズマCVD法、ECRプラズマCVD法、も
しくはバイアスECRプラズマCVD法を利用し、マイ
クロ波(2.45GHz)を用いて、SiH4 +O2
合ガスを用いて、Six y 膜から成る反射防止膜を成
膜した。この反射防止膜には、レジストの成膜前にO2
のプラズマ処理を行ってもよい。このプラズマ処理を行
うことにより、化学増幅型レジスト下方での酸の失活を
防止し、レジストのパターンエッジ部の裾引きまたはオ
ーバーハングを防止することができる。
[0046] EXAMPLE 2 In this example, an antireflection film made of Si x O y film, except that was formed by the following method, in the same manner as in Example 1, to process the underlying substrate. That is, in this embodiment,
Parallel type plasma CVD method, ECR plasma CVD method, or using a bias ECR plasma CVD method, a microwave (2.45 GHz), with SiH 4 + O 2 mixed gas, composed of Si x O y film reflective An prevention film was formed. This anti-reflection film has O 2 before the resist is formed.
May be performed. By performing this plasma treatment, the deactivation of the acid below the chemically amplified resist can be prevented, and the footing or overhang of the pattern edge of the resist can be prevented.

【0047】実施例3 本実施例では、Six y 膜からなる反射防止膜を、以
下の手法により成膜した以外は、前記実施例1と同様に
して、下地基板を加工した。すなわち、本実施例では、
平行平板型プラズマCVD法、ECRプラズマCVD
法、バイアスECRプラズマCVD法を利用し、マイク
ロ波(2.45GHz)を用いて、SiH4 +O2 混合
ガスと、バッファガスとしてArまたはN2 とを用い
て、Six y 膜からなる反射防止膜を成膜した。
[0047] EXAMPLE 3 In this example, an antireflection film made of Si x O y film, except that was formed by the following method, in the same manner as in Example 1, to process the underlying substrate. That is, in this embodiment,
Parallel plate plasma CVD, ECR plasma CVD
Law, using the bias ECR plasma CVD method, a microwave (2.45 GHz), and SiH 4 + O 2 mixed gas, using the Ar or N 2 as the buffer gas consists of Si x O y film reflective An prevention film was formed.

【0048】実施例4 本実施例では、Six y 膜からなる反射防止膜を、以
下の手法により成膜した以外は、前記実施例1と同様に
して、下地基板を加工した。すなわち、本実施例では、
平行型プラズマCVD法、ECRプラズマCVD法、も
しくはバイアスECRプラズマCVD法を利用し、Si
4 +O2 混合ガスを用いて、Six y 膜からなる反
射防止膜を成膜した。
[0048] EXAMPLE 4 In this example, an antireflection film made of Si x O y film, except that was formed by the following method, in the same manner as in Example 1, to process the underlying substrate. That is, in this embodiment,
Using parallel plasma CVD, ECR plasma CVD, or bias ECR plasma CVD, Si
Using H 4 + O 2 mixed gas was formed an antireflection film made of Si x O y film.

【0049】実施例5 本実施例では、Six y 膜からなる反射防止膜を、以
下の手法により成膜した以外は、前記実施例1と同様に
して下地基板を加工した。すなわち、本実施例では、平
行平板型プラズマCVD法、ECRプラズマCVD法、
バイアスECRプラズマCVD法を利用し、SiH4
2 混合ガスと、バッファガスとしてArまたはN2
を用いて、Six y 膜からなる反射防止膜を成膜し
た。
[0049] Example 5 In this example, an antireflection film made of Si x O y film, except that was formed by the following method, to process the underlying substrate in the same manner as in Example 1. That is, in this embodiment, a parallel plate type plasma CVD method, an ECR plasma CVD method,
Using bias ECR plasma CVD, SiH 4 +
And O 2 mixed gas, using the Ar or N 2 as the buffer gas, was formed an antireflection film made of Si x O y film.

【0050】実施例6 本実施例では、Six y 膜からなる反射防止膜を、以
下の手法により、レジストパターンをマスクとしてエッ
チングした以外は、前記実施例1と同様にして、下地基
板を加工した。すなわち、本実施例では、Six y
のエッチングは、C48 (30〜70SCCM)+C
HF3 (10〜30SCCM)のガス系を用い、2Pa
程度の圧力下で、100〜1000W程度のパワーをか
けイオン性を高めたリアクティブエッチング法により、
所望のパターンをエッチングした。
[0050] EXAMPLE 6 In this example, an antireflection film made of Si x O y film, by the following procedure, except that etching the resist pattern as a mask, in the same manner as in Example 1, a base substrate processed. That is, in the present embodiment, Si x O etching y film, C 4 F 8 (30~70SCCM) + C
Using a gas system of HF 3 (10 to 30 SCCM), 2 Pa
Under a pressure of about 100 to 1000 W, a reactive etching method of increasing the ionicity by applying a power of about 100 to 1000 W
The desired pattern was etched.

【0051】実施例7 本実施例では、Six y 膜からなる反射防止膜を、以
下の手法により、レジストパターンをマスクとしてエッ
チングした以外は、前記実施例1と同様にして、下地基
板を加工した。すなわち、本実施例では、Six y
のエッチングは、S22 (5〜30SCCM)のガス
系を用い、2Pa程度の圧力下で、100〜1000W
程度のパワーをかけイオン性を高めたリアクティブエッ
チング法により、所望のパターンをエッチングした。
[0051] In Example 7 This example, the anti-reflection film made of Si x O y film, by the following procedure, except that etching the resist pattern as a mask, in the same manner as in Example 1, a base substrate processed. That is, in this embodiment, the etching of the Si x O y film, using a gas system of S 2 F 2 (5~30SCCM), under a pressure of about 2 Pa, 100 to 1000 W
A desired pattern was etched by a reactive etching method in which ionicity was increased by applying a certain amount of power.

【0052】実施例8 本実施例では、図27に示すように、シリコン基板Sの
上に、Al、Al−Si、Al−Si−Cuなどの低融
点金属材料Gを積層し、その上に、反射防止膜ARLお
よび必要に応じてSiO2 等のシリコン酸化膜Oxを積
層し、KrFエキシマリソグラフィーを用いて、フォト
レジストPRのフォトリソグラフィー加工を行う。その
際に、本実施例では、反射防止膜として、SiX y
を用いる。Al−Siとしては、一般に使用されている
1重量%Si含有のAl−Si合金の他、Siがこれよ
りも少ないものや、あるいはこれより多いものについて
も、好ましく用いることができる。Al−Si−Cuと
しては、たとえばSiが1重量%前後であり、Cuが
0.1〜2重量%程度のものに好ましく適用できるが、
これらに限らない。代表的には、Si1重量%、Cu
0.5重量%のAl−Si−Cu合金である。
Embodiment 8 In this embodiment, as shown in FIG. 27, a low melting point metal material G such as Al, Al--Si, Al--Si--Cu is laminated on a silicon substrate S, and Then, the anti-reflection film ARL and a silicon oxide film Ox such as SiO 2 are stacked as required, and the photoresist PR is subjected to photolithography using KrF excimer lithography. At this time, in this embodiment, as the antireflection film, use of Si X O y film. As Al-Si, in addition to a commonly used Al-Si alloy containing 1% by weight of Si, an alloy containing less or more Si can be preferably used. As Al-Si-Cu, for example, Si is about 1% by weight, and Cu can be preferably applied to those having about 0.1 to 2% by weight.
Not limited to these. Typically, 1% by weight of Si, Cu
It is a 0.5% by weight Al-Si-Cu alloy.

【0053】Al、Al−Si、Al−Si−Cuなど
の低融点金属下地基板上に用いる反射防止膜として、S
X y 膜を見い出した手法は、下地基板の材質および
反射率が相違する以外は、前記実施例1と同様にして行
った。すなわち、以下の手法を用いた。 (1)反射防止膜がない状態で、Al、Al−Si、A
l−Si−Cu基板上にXP8843レジスト(シプレ
イマイクロエレクトロニクス(株))を塗布し、波長2
48nmのKrFエキシマレーザー光により露光、現像
した。この時の定在波効果を図3に示す。図3により、
定在波効果は、約±29.6%である。 (2)図3において、定在波効果の極大値は、例えば、
レジスト膜厚が982nmのときにある。レジスト膜厚
982nmに着目し、かつ反射防止膜の膜厚を30nm
とした際、反射防止膜の光学定数narl 、karl の変化
に対するレジスト膜内の吸収光量の等高線を図28に示
す。 (3)レジスト膜厚1000nm、1018nm、10
35nm各々に対して、上記(2)を繰り返し行った結
果、各々図29,図30,図31に示す。
As an anti-reflection film used on a low melting point metal base substrate such as Al, Al-Si, Al-Si-Cu, etc.
i X O y film was found technique, material and the reflectivity of the underlying substrate, except that different, it was carried out in the same manner as in Example 1. That is, the following method was used. (1) Al, Al-Si, A without an anti-reflection film
An XP8843 resist (Shipley Microelectronics Co., Ltd.) was applied on an l-Si-Cu substrate, and a wavelength of 2
Exposure and development were performed using a 48 nm KrF excimer laser beam. FIG. 3 shows the standing wave effect at this time. According to FIG.
The standing wave effect is about ± 29.6%. (2) In FIG. 3, the maximum value of the standing wave effect is, for example,
This is when the resist film thickness is 982 nm. Focusing on the resist film thickness of 982 nm, and setting the thickness of the antireflection film to 30 nm
FIG. 28 shows contour lines of the amount of light absorbed in the resist film with respect to changes in the optical constants n arl and k arl of the antireflection film. (3) Resist film thickness 1000 nm, 1018 nm, 10
As a result of repeating the above (2) for each of 35 nm, the results are shown in FIGS. 29, 30, and 31, respectively.

【0054】 (4)図28〜31の共通領域を求めた結果、 narl =4.8, karl =0.45 または、narl =2.0, karl =0.8を得た。 すなわち、反射防止膜の膜厚を30nmとした際の最適
反射防止膜の満たすべき条件は、 narl =4.8, karl =0.45 または、narl =2.0, karl =0.8である。 本条件を用いて定在波効果を求めると、図32,33に
示す結果を得た。図32,図33において、定在波効果
はきわめて小さく、いずれの場合においても、レンジ約
1%以下であった。図3に示す反射防止膜なしの場合に
比較して、1/60程度に定在波効果は低減された。図
32と図33とでは、反射防止膜の光学条件が相違す
る。
(4) As a result of finding the common area in FIGS. 28 to 31, narl = 4.8, karl = 0.45, or narl = 2.0, karl = 0.8. That is, when the thickness of the anti-reflection film is 30 nm, the conditions to be satisfied by the optimum anti-reflection film are as follows : narl = 4.8, karl = 0.45 or narl = 2.0, karl = 0. .8. When the standing wave effect was obtained using these conditions, the results shown in FIGS. 32 and 33 were obtained. 32 and 33, the standing wave effect was extremely small, and in each case, the range was about 1% or less. The standing wave effect was reduced to about 1/60 of that without the anti-reflection film shown in FIG. 32 and 33 differ in the optical conditions of the antireflection film.

【0055】 (5)上記(2)〜(4)の操作は、反射防止膜の膜厚
を30nmとした場合であるが、他の異なる反射防止膜
の膜厚(ARL膜厚)に対しても、(2)〜(4)を繰
り返し行うと、反射防止膜の膜厚に応じた反射防止膜の
最適条件が求まる。求めた結果を図22,図34に示
す。図22,34に示すように、解(Value )1につい
ては、反射防止膜として、反射屈折率nが1.2以上
3.4以下であり、吸収屈折率kが0.4以上1.4以
下であり、膜厚が10nm以上100nm以下であるも
のが、最適な反射防止膜となり、解(Value )2につい
ては、反射防止膜として、反射屈折率nが1.9以上
5.7以下であり、吸収屈折率kが0.2以上0.62
以下であるものが、最適な反射防止膜となることが判明
した。図22,34に示す曲線上に一致するn,kを有
する反射防止膜が最も好ましいが、必ずしも曲線上では
なく、nについては±0.6、好ましくは±0.2であ
り、kについては±0.3、好ましくは±0.2、さら
に好ましくは±0.15、さらにまた好ましくは±0.
05の範囲にあれば、十分な反射防止効果を奏する。
(5) The above operations (2) to (4) are performed when the thickness of the antireflection film is set to 30 nm. By repeating (2) to (4), the optimum condition of the antireflection film according to the thickness of the antireflection film is obtained. The obtained results are shown in FIGS. As shown in FIGS. 22 and 34, regarding the solution (Value) 1, as the antireflection film, the reflection refractive index n is 1.2 or more and 3.4 or less, and the absorption refractive index k is 0.4 or more and 1.4. The film having a film thickness of 10 nm or more and 100 nm or less is an optimal antireflection film, and the solution (Value) 2 has a reflection refractive index n of 1.9 or more and 5.7 or less as an antireflection film. Yes, absorption refractive index k is 0.2 or more and 0.62
The following were found to be optimal antireflection films. The antireflection film having n and k matching the curves shown in FIGS. 22 and 34 is most preferable, but is not necessarily on the curves, and n is ± 0.6, preferably ± 0.2, and k is k. ± 0.3, preferably ± 0.2, more preferably ± 0.15, and even more preferably ± 0.1.
When it is in the range of 05, a sufficient anti-reflection effect is exhibited.

【0056】 (6)上記(5)で求めた反射防止膜の満たすべき条件
を満足するような膜種が存在するのか否かを、分光エリ
プソメーター(SOPRA社)を用いて調査した。この
結果、Six y 膜をCVD法を用いて成膜する際の成
膜条件に対応して、光学定数が変化することを、前記実
施例1と同様にして、図24に示すように見い出した。
図24中、Al−(1%)Siの○印で示す領域は、図
22,図34の条件を満たす。すなわち、好ましくは、
反射屈折率n=2.3±0.6、吸収屈折率k=0.8
0±0.2、膜厚23±10nmであるSix y 膜を
反射防止膜として用いれば、定在波効果を最小限にする
ことができることが予想される。
(6) It was examined using a spectroscopic ellipsometer (SOPRA) whether or not there was a film type that satisfies the conditions to be satisfied by the antireflection film obtained in (5) above. As a result, as shown in FIG. 24, the optical constant changes in accordance with the film forming conditions when forming the Si x O y film by using the CVD method, as shown in FIG. I found it.
In FIG. 24, a region indicated by a circle of Al- (1%) Si satisfies the conditions of FIGS. That is, preferably,
Reflective refractive index n = 2.3 ± 0.6, Absorbing refractive index k = 0.8
0 ± 0.2, the use of the thickness 23 is ± 10nm Si x O y film as an antireflection film, it is expected that it is possible to minimize the standing wave effect.

【0057】次に、上記光学条件および膜厚のSix
y 膜を成膜することにより実際に定在波効果が低減され
るか否かを確認した。図27に示すように、シリコン基
板Sの上に、Al、Al−Si、Al−Si−Cuなど
の低融点金属材料層Gを積層し、その上に、図24に示
す○印の条件近くで、Six y 膜からなる反射防止膜
ARLを成膜した。すなわち、ECR−CVD装置を用
い、出力1000W近く、SiH4 /O2 の流量比が、
SiH4 リッチの条件でSix y 膜を約30nm成膜
した。そのSix y 膜からなる反射防止膜ARLの反
射屈折率nが1.83であり、吸収屈折率kが0.75
であった。そのSix y 膜からなる反射防止膜ARL
の上に、XP8843からなるフォトレジストPRを形
成し、定在波効果を調べた結果を図35中の実施例8に
示す。
Next, the Si x O with the above optical conditions and film thickness was used.
Whether or not the standing wave effect was actually reduced by forming the y film was confirmed. As shown in FIG. 27, a low melting point metal material layer G such as Al, Al-Si, Al-Si-Cu, etc. is laminated on a silicon substrate S. in was formed an antireflection film ARL consisting Si x O y film. That is, using an ECR-CVD apparatus, the output power is about 1000 W, and the flow rate ratio of SiH 4 / O 2 is:
An Si x O y film having a thickness of about 30 nm was formed under SiH 4 rich conditions. Its Si x O y is a reflection refractive index n of the antireflection film ARL consisting film 1.83, absorption refractive index k of 0.75
Met. Antireflection film ARL made from the Si x O y film
A photoresist PR made of XP8843 was formed thereon, and the result of examining the standing wave effect is shown in Example 8 in FIG.

【0058】なお、比較のために、反射防止膜は形成し
ない以外は同様にして定在波効果を調べた結果も比較例
2として示す。実施例8では、定在波効果は、約±2.
2%(1.4%)程度であり、反射防止膜を用いなかっ
た比較例2の場合と比較して、定在波効果は1/20程
度に低減された。図27に示す構造で、フォトレジスト
PRを0.35μm ルールの線幅で、露光用光源として
λ=248nmのKrFレーザを用いてフォトリソグラ
フィーを行ったところ、マスクパターンに近い良好なレ
ジストパターンが得られた。
For the purpose of comparison, the result of the standing wave effect examined in the same manner except that the antireflection film was not formed is also shown as Comparative Example 2. In the eighth embodiment, the standing wave effect is about ± 2.
It was about 2% (1.4%), and the standing wave effect was reduced to about 1/20 of that in Comparative Example 2 in which the antireflection film was not used. With the structure shown in FIG. 27, the photoresist PR was subjected to photolithography using a KrF laser of λ = 248 nm as a light source for exposure with a line width of the rule of 0.35 μm. As a result, a good resist pattern close to a mask pattern was obtained. Was done.

【0059】その後、レジストパターンをマスクとし
て、下地基板をエッチングした。まず、Six y 膜の
エッチングは、CHF3 (50〜100SCCM)+O
2 (3〜20SCCM)のガス系を用い、2Pa程度の
圧力下で、100〜1000W程度のパワーをかけイオ
ン性を高めたリアクティブエッチング(RIE)法によ
り、エッチングを行うようにして、所望のパターンをエ
ッチングした。次に、下地基板である図27に示す金属
配線材料である低融点金属材料層Gを、所定パターンの
フォトレジストPRをマスクとして、RIEなどでエッ
チングした。微細なレジストパターンが転写された良好
な微細パターンの金属配線層を得ることができた。
Thereafter, the underlying substrate was etched using the resist pattern as a mask. First, the etching of the Si x O y film, CHF 3 (50~100SCCM) + O
2 Using a gas system of (3 to 20 SCCM), a reactive etching (RIE) method in which a power of about 100 to 1000 W is applied under a pressure of about 2 Pa to increase ionicity to perform desired etching. The pattern was etched. Next, the low-melting-point metal material layer G, which is a metal wiring material shown in FIG. 27 as a base substrate, was etched by RIE or the like using a photoresist PR of a predetermined pattern as a mask. A good fine pattern metal wiring layer to which the fine resist pattern was transferred was obtained.

【0060】実施例9 本実施例では、実施例8で示したSix y 膜からなる
反射防止膜を、以下の手法により成膜した。すなわち、
本実施例では、平行平板型プラズマCVD法、ECRプ
ラズマCVD法、もしくはバイアスECRプラズマCV
D法を利用し、必要に応じてマイクロ波(2.45GH
z)を用いて、SiH4 +O2 混合ガスを用いて成膜し
た。なお、原料ガスのバッファガスとして、Arまたは
2 を用いても良い。このようなCVD法により、ガス
の流量比を調節することにより、反射防止膜厚と最適反
射防止膜の満たすべき光学特性との関係を示す図(図2
2,図34)における曲線上の値、もしくは、nに関し
ては曲線上の値±0.6,kに関しては曲線上の値±
0.2の範囲内にあるSix y 膜からなる反射防止膜
を良好に成膜することができた。
[0060] In Example 9 This example, an antireflection film made of Si x O y film shown in Example 8 was formed by the following method. That is,
In this embodiment, a parallel plate type plasma CVD method, an ECR plasma CVD method, or a bias ECR plasma CV
Using method D, if necessary, use microwaves (2.45 GHz
Using z), a film was formed using a mixed gas of SiH 4 + O 2 . Note that Ar or N 2 may be used as a buffer gas for the source gas. FIG. 2 shows the relationship between the antireflection film thickness and the optical characteristics to be satisfied by the optimum antireflection film by adjusting the gas flow ratio by such a CVD method.
2, the value on the curve in FIG. 34) or, for n, the value on the curve ± 0.6, and for k, the value on the curve ±
An anti-reflection film made of a Si x O y film in the range of 0.2 was successfully formed.

【0061】実施例10 本実施例では、実施例8で示したSix y 膜からなる
反射防止膜を、以下の手法により成膜した。すなわち、
本実施例では、SiH4 +O2 +N2 混合ガスを用い
て、常温〜500°Cの温度で、0.01〜10Paの
圧力下でCVDにより成膜した。このCVD法により、
ガスの流量比を調節することにより、反射防止膜厚と最
適反射防止膜の満たすべき光学特性との関係を示す図
(図22,図34)における曲線上の値、もしくは、n
に関しては曲線上の値±0.6,kに関しては曲線上の
値±0.2の範囲内にあるSix y 膜からなる反射防
止膜を良好に成膜することができた。
[0061] In Example 10 This example, an antireflection film made of Si x O y film shown in Example 8 was formed by the following method. That is,
In this embodiment, a film is formed by CVD using a mixed gas of SiH 4 + O 2 + N 2 at a temperature of normal temperature to 500 ° C. under a pressure of 0.01 to 10 Pa. By this CVD method,
By adjusting the gas flow ratio, the values on the curves in the diagrams (FIGS. 22 and 34) showing the relationship between the antireflection film thickness and the optical characteristics to be satisfied by the optimum antireflection film, or n
Value ± 0.6 on the curve with respect to, could be satisfactorily deposited antireflection film made of Si x O y film within a range of values ± 0.2 on the curve with respect to k.

【0062】実施例11 本実施例では、Six y 膜からなる反射防止膜を、以
下の手法により、レジストパターンをマスクとしてエッ
チングした以外は、前記実施例8と同様にして、下地基
板を加工した。すなわち、本実施例では、Six y
のエッチングは、C48 (30〜70SCCM)+C
HF3 (10〜30SCCM)のガス系を用い、2Pa
程度の圧力下で、100〜1000W程度のパワーをか
けイオン性を高めたリアクティブエッチング法により、
所望のパターンをエッチングした。
[0062] In Example 11 This example, an antireflection film made of Si x O y film, by the following procedure, except that etching the resist pattern as a mask, in the same manner as in Example 8, the underlying substrate processed. That is, in the present embodiment, Si x O etching y film, C 4 F 8 (30~70SCCM) + C
Using a gas system of HF 3 (10 to 30 SCCM), 2 Pa
Under a pressure of about 100 to 1000 W, a reactive etching method of increasing the ionicity by applying a power of about 100 to 1000 W
The desired pattern was etched.

【0063】実施例12 本実施例では、Six y 膜からなる反射防止膜を、以
下の手法により、レジストパターンをマスクとしてエッ
チングした以外は、前記実施例8と同様にして、下地基
板を加工した。すなわち、本実施例では、Six y
のエッチングは、S22 (5〜30SCCM)のガス
系を用い、2Pa程度の圧力下で、100〜1000W
程度のパワーをかけイオン性を高めたリアクティブエッ
チング法により、所望のパターンをエッチングした。
[0063] In Example 12 This example, an antireflection film made of Si x O y film, by the following procedure, except that etching the resist pattern as a mask, in the same manner as in Example 8, the underlying substrate processed. That is, in this embodiment, the etching of the Si x O y film, using a gas system of S 2 F 2 (5~30SCCM), under a pressure of about 2 Pa, 100 to 1000 W
A desired pattern was etched by a reactive etching method in which ionicity was increased by applying a certain amount of power.

【0064】実施例13 本実施例では、前記実施例8〜12において、下地基板
として、Cu系金属材料であるCuを用いた以外は、前
記実施例と同様にして、下地基板上に反射防止膜を成膜
し、その上にレジスト膜を成膜し、レジスト膜のフォト
リソグラフィー加工を行い、そのレジスト膜をマスクと
して、反射防止膜および下地基板のエッチングを行っ
た。Cuからなる下地基板でも、同様にして定在波効果
を最小限にして良好なパターンで微細加工を行うことが
できた。
Embodiment 13 In this embodiment, an anti-reflection coating is formed on the base substrate in the same manner as in Embodiments 8 to 12, except that Cu which is a Cu-based metal material is used as the base substrate. A film was formed, a resist film was formed thereon, photolithography of the resist film was performed, and the antireflection film and the underlying substrate were etched using the resist film as a mask. In the same manner, the fine substrate could be finely processed with a favorable pattern by minimizing the standing wave effect even with the underlying substrate made of Cu.

【0065】実施例14 本実施例では、図36に示すように、下地基板Sとし
て、単結晶シリコン、多結晶シリコン、非晶質シリコ
ン、ドープトポリシリコン等のシリコン系下地基板Gを
用い、その上に、反射防止膜ARLを積層し、KrFエ
キシマリソグラフィーを用いて、フォトレジストPRの
フォトリソグラフィー加工を行う。その際に、本実施例
では、反射防止膜として、SiX y 膜を用いる。単結
晶シリコン、多結晶シリコン、非晶質シリコン、ドープ
ドポリシリコン等のシリコン系基板上に用いる反射防止
膜として、SiX y 膜を見い出した手法は、下地基板
の材質および反射率が相違する以外は、前記実施例1と
同様にして行った。すなわち、以下の手法を用いた。
Embodiment 14 In this embodiment, as shown in FIG. 36, a silicon base substrate G of single crystal silicon, polycrystalline silicon, amorphous silicon, doped polysilicon or the like is used as a base substrate S. An anti-reflection film ARL is laminated thereon, and the photoresist PR is subjected to photolithography using KrF excimer lithography. At this time, in this embodiment, as the antireflection film, use of Si X O y film. As an anti-reflection film used on silicon-based substrates such as single-crystal silicon, polycrystalline silicon, amorphous silicon, and doped polysilicon, the technique of finding a Si X O y film differs in the material and reflectance of the underlying substrate. The procedure was the same as in Example 1 except for the above. That is, the following method was used.

【0066】 (1)反射防止膜がない状態でSi系基板上にXP88
43レジスト(シプレイマイクロエレクトロニクス
(株))を塗布し、波長248nmのKrFエキシマレ
ーザー光を光源とする露光機で、現像した。この時の定
在波効果を図37に示す。図37より、定在波効果は、
約±20%である。 (2)図37において、定在波効果の極大値は、例えば
レジスト膜厚が985nmのときにある。レジスト膜厚
985nmに着目し、かつ反射防止膜の膜厚を30nm
とした際、反射防止膜の光学定数narl 、karl の変化
に対するレジスト膜内の吸収光量の変化を求める。 (3)他の複数のレジスト膜厚を取って、その各々に対
して、上記(2)を繰り返し行った。 (4)その結果を図示し、これらの共通領域を求める。
このような操作を各種反射防止膜膜厚について求め、こ
れにより、ある膜厚についての光学定数の最適値(n
値,k値)を求める。例えば、反射防止膜の膜厚を30
nmとした際の最適反射防止膜の満たすべき光学条件
は、 narl =2.1, karl =0.7である。 上記条件を用いて定在波効果を求めると、図38に示す
結果を得た。図38において、本実施例(with SiO)の
場合の定在波効果は極めて小さく、±1%以下であっ
た。反射防止膜なし(without SiO )の場合の±23%
に比較して、1/23程度以下に定在波効果は低減され
た。
(1) XP88 on a Si-based substrate without an anti-reflection film
43 resist (Shipley Microelectronics Co., Ltd.) was applied and developed with an exposure machine using a KrF excimer laser beam having a wavelength of 248 nm as a light source. FIG. 37 shows the standing wave effect at this time. From FIG. 37, the standing wave effect is
About ± 20%. (2) In FIG. 37, the maximum value of the standing wave effect is, for example, when the resist film thickness is 985 nm. Focusing on the resist film thickness of 985 nm, and setting the thickness of the antireflection film to 30 nm
Then , a change in the amount of absorbed light in the resist film with respect to a change in the optical constants n arl and k arl of the antireflection film is obtained. (3) Another plurality of resist film thicknesses were obtained, and the above (2) was repeated for each of them. (4) The results are shown and these common areas are determined.
Such an operation is determined for various antireflection film thicknesses, whereby the optimum value of the optical constant (n
Value, k value). For example, if the thickness of the antireflection film is 30
The optical conditions to be satisfied by the optimum anti-reflection film when nm is set are: narl = 2.1 and karl = 0.7. When the standing wave effect was obtained using the above conditions, the result shown in FIG. 38 was obtained. In FIG. 38, the standing wave effect in the case of the present example (with SiO) was extremely small and was ± 1% or less. ± 23% without anti-reflection coating (without SiO 2)
, The standing wave effect was reduced to about 1/23 or less.

【0067】 (5)上記(2)〜(4)の操作は、反射防止膜の膜厚
を30nmとした場合であるが、他の異なる反射防止膜
の膜厚(ARL膜厚)に対しても、(2)〜(4)を繰
り返し行うと、反射防止膜の膜厚に応じた反射防止膜の
最適条件が求まる。 (6)上記(5)で求めた反射防止膜の満たすべき条件
を満足するような膜種が存在するのか否かを、分光エリ
プソメーター(SOPRA社)を用いて調査した。
(5) The above operations (2) to (4) are performed when the thickness of the antireflection film is set to 30 nm. By repeating (2) to (4), the optimum condition of the antireflection film according to the thickness of the antireflection film is obtained. (6) It was investigated using a spectroscopic ellipsometer (SOPRA) whether or not a film type satisfying the conditions to be satisfied by the antireflection film obtained in (5) above was present.

【0068】この結果、前記実施例1と同様に、Six
y 膜をCVD法を用いて成膜する際の成膜条件に対応
して光学定数が図24に示す変化を示すことを見い出し
た。図24中のSi条件○で示す領域は、上述した
(4)の条件を満たす。すなわち、図24中Si条件○
で示す領域となるように、CVDの条件を設定して、S
x y 膜からなる反射防止膜を成膜すれば、その反射
屈折率nおよび吸収屈折率kは、反射防止膜の膜厚が3
0nmで最適となるnarl =2.1,karl =0.7に
近づく。なお、nの許容値は±0.6であり、kの許容
値は±0.3であり、膜厚dの許容値は±10nmであ
る。その結果、図36に示すように、シリコン系材料で
構成された下地基板Gの上に、図24に示すSi条件○
印の条件で、Six y 膜からなる反射防止膜を成膜
し、その上にフォトレジストPRを成膜し、フォトレジ
ストPRのホトリソグラフィ加工を行う際に、定在波効
果を最小限にすることができることが予想される。
As a result, as in the first embodiment, Six x
It has been found that the optical constants change as shown in FIG. 24 according to the film forming conditions when forming the O y film by using the CVD method. The region indicated by the Si condition ○ in FIG. 24 satisfies the condition (4) described above. That is, in FIG.
The conditions of CVD are set so that the region shown by
i x O if y film antireflective film deposited consisting of the reflection refractive index n and the absorption refractive index k, the film thickness of the antireflection film 3
It approaches n arl = 2.1 and k arl = 0.7 which are optimal at 0 nm. Note that the allowable value of n is ± 0.6, the allowable value of k is ± 0.3, and the allowable value of the film thickness d is ± 10 nm. As a result, as shown in FIG. 36, a Si substrate shown in FIG.
Minimum a sign conditions, by forming a reflection preventing film consisting of Si x O y film, thereby forming a photoresist PR on it, when performing photolithography processing of the photoresist PR, the standing wave effect It is expected that can be.

【0069】次に、上記光学条件および膜厚のSix
y 膜を成膜することにより実際に定在波効果が低減され
るか否かを確認した。図36に示すように、シリコン基
板Sの上に、図24に示すSi条件○印の条件近くで、
Six y 膜からなる反射防止膜ARLを成膜した。す
なわち、ECR−CVD装置を用い、SiH4 /O2
流量比が、SiH4 プアの条件でSix y 膜を約30
nm成膜した。そのSix y 膜からなる反射防止膜A
RLの反射屈折率nが2.1であり、吸収屈折率kが
0.7であった。そのSix y膜からなる反射防止膜
ARLの上に、XP8843からなるフォトレジストP
Rを形成し、定在波効果を調べた結果を図38中の実施
例14に示す。なお、比較のために、反射防止膜は形成
しない以外は同様にして定在波効果を調べた結果も比較
例3として示す。
Next, the Si x O with the above optical conditions and film thickness was used.
Whether or not the standing wave effect was actually reduced by forming the y film was confirmed. As shown in FIG. 36, on the silicon substrate S, near the condition of the Si condition 印 shown in FIG.
Was deposited Si x O y antireflection film ARL consisting film. That is, using an ECR-CVD apparatus, the flow rate ratio of SiH 4 / O 2 is about 30 when the Si x O y film is formed under the condition of SiH 4 poor.
nm. Antireflection film A composed of the Si x O y film
The reflection refractive index n of the RL was 2.1, and the absorption refractive index k was 0.7. On the antireflection film ARL made from the Si x O y film, a photoresist P consisting XP8843
The result of examining the standing wave effect by forming R is shown in Example 14 in FIG. For comparison, a result of similarly examining the standing wave effect except that the antireflection film was not formed is also shown as Comparative Example 3.

【0070】実施例14では、定在波効果は、約±1.
1%程度であり、反射防止膜を用いなかった比較例3の
場合(±23%)と比較して、定在波効果は1/23程
度に低減された。実際に、図36に示す構造で、フォト
レジストPRを0.35μm ルールの線幅で、露光用
としてλ=248nmのKrFレーザを用いてフォト
リソグラフィーを行ったところ、マスクパターンに近い
良好なレジストパターンが得られた。
In the fourteenth embodiment, the standing wave effect is approximately ± 1.
It was about 1%, and the standing wave effect was reduced to about 1/23 as compared with the case of Comparative Example 3 in which the antireflection film was not used (± 23%). Actually, in the structure shown in FIG. 36, the photoresist PR is exposed to light for exposure at a line width of 0.35 μm rule.
When photolithography was performed using a KrF laser of λ = 248 nm as a source , a good resist pattern close to a mask pattern was obtained.

【0071】その後、レジストパターンをマスクとし
て、下地基板をエッチングした。まず、Six y 膜の
エッチングは、CHF3 (50〜100SCCM)+O
2 (3〜20SCCM)のガス系を用い、2Pa程度の
圧力下で、100〜1000W程度のパワーをかけイオ
ン性を高めたリアクティブエッチング(RIE)法によ
り、エッチングを行うようにして、所望のパターンをエ
ッチングした。次に、下地基板である図36に示すシリ
コン系材料で構成された下地基板Gの表面を、所定パタ
ーンのフォトレジストPRをマスクとして、RIEなど
でエッチングした。微細なレジストパターンが転写され
た良好な微細パターンを得ることができた。
Thereafter, the underlying substrate was etched using the resist pattern as a mask. First, the etching of the Si x O y film, CHF 3 (50~100SCCM) + O
2 Using a gas system of (3 to 20 SCCM), a reactive etching (RIE) method in which a power of about 100 to 1000 W is applied under a pressure of about 2 Pa to increase ionicity to perform desired etching. The pattern was etched. Next, the surface of the underlying substrate G made of the silicon-based material shown in FIG. 36 as the underlying substrate was etched by RIE or the like using the photoresist PR of a predetermined pattern as a mask. A good fine pattern to which the fine resist pattern was transferred was obtained.

【0072】実施例15 本実施例では、実施例14で示したSiX y 膜を、以
下の手法により成膜した以外は、実施例14と同様にし
て、反射防止膜を形成した。すなわち、本実施例では、
平行平板型プラズマCVD法、ECRプラズマCVD
法、もしくはバイアスECRプラズマCVD法を利用
し、必要に応じてマイクロ波(2.45GHz)を用い
て、SiH4 +O2 混合ガスを用いて成膜した。なお、
この原料ガスには、バッファガスとして、ArまたはN
2 を用いてもよい。
Example 15 In this example, an anti-reflection film was formed in the same manner as in Example 14, except that the Si X O y film shown in Example 14 was formed by the following method. That is, in this embodiment,
Parallel plate plasma CVD, ECR plasma CVD
A film was formed by using a mixed gas of SiH 4 + O 2 by using a microwave (2.45 GHz) as necessary, using a method or a bias ECR plasma CVD method. In addition,
This source gas contains Ar or N as a buffer gas.
2 may be used.

【0073】実施例16 本実施例では、実施例14で示したSix y 膜からな
る反射防止膜を、以下の手法により成膜した。すなわ
ち、本実施例では、SiH4 +O2 +N2 混合ガスを用
いて、常温〜500°Cの温度で、0.01〜10Pa
の圧力下でCVDにより成膜した。このCVD法によ
り、ガスの流量比を調節することにより、反射防止膜厚
と最適反射防止膜の満たすべき光学特性との関係を示す
図(図22,図34)における曲線上の値、もしくは、
nに関しては曲線上の値±0.6,kに関しては曲線上
の値±0.2の範囲内にあるSix y 膜からなる反射
防止膜を良好に成膜することができた。
[0073] In Example 16 In this example, an antireflection film made of Si x O y film shown in Example 14 was formed by the following method. That is, in the present embodiment, a mixed gas of SiH 4 + O 2 + N 2 is used at a temperature of normal temperature to 500 ° C. and 0.01 to 10 Pa
Under the pressure described above by CVD. By adjusting the gas flow ratio by this CVD method, the values on the curves in the diagrams (FIGS. 22 and 34) showing the relationship between the antireflection film thickness and the optical characteristics to be satisfied by the optimum antireflection film, or
value ± 0.6 on the curve with respect to n, could be satisfactorily deposited antireflection film made of Si x O y film within a range of values ± 0.2 on the curve with respect to k.

【0074】実施例17 本実施例では、Six y 膜からなる反射防止膜を、以
下の手法により、レジストパターンをマスクとしてエッ
チングした以外は、前記実施例14と同様にして、下地
基板を加工した。すなわち、本実施例では、Six y
膜のエッチングは、C48 (30〜70SCCM)+
CHF3 (10〜30SCCM)のガス系を用い、2P
a程度の圧力下で、100〜1000W程度のパワーを
かけイオン性を高めたリアクティブエッチング法によ
り、所望のパターンをエッチングした。
[0074] In Example 17 In this example, an antireflection film made of Si x O y film, by the following procedure, except that etching the resist pattern as a mask, in the same manner as in Example 14, the underlying substrate processed. That is, in the present embodiment, Si x O y
Etching of the membrane, C 4 F 8 (30~70SCCM) +
Using CHF 3 (10-30 SCCM) gas system, 2P
Under a pressure of about a, a desired pattern was etched by a reactive etching method in which a power of about 100 to 1000 W was applied to increase ionicity.

【0075】実施例18 本実施例では、Six y 膜からなる反射防止膜を、以
下の手法により、レジストパターンをマスクとしてエッ
チングした以外は、前記実施例14と同様にして、下地
基板を加工した。すなわち、本実施例では、Six y
膜のエッチングは、S22 (5〜30SCCM)のガ
ス系を用い、2Pa程度の圧力下で、100〜1000
W程度のパワーをかけイオン性を高めたリアクティブエ
ッチング法により、所望のパターンをエッチングした。
[0075] In Example 18 This example, an antireflection film made of Si x O y film, by the following procedure, except that etching the resist pattern as a mask, in the same manner as in Example 14, the underlying substrate processed. That is, in the present embodiment, Si x O y
The film is etched using a gas system of S 2 F 2 (5 to 30 SCCM) under a pressure of about 2 Pa and 100 to 1000
A desired pattern was etched by a reactive etching method in which ionicity was increased by applying a power of about W.

【0076】実施例19 本実施例では、SiH4 とO2 混合ガスを用いて、Si
x y 膜を形成したところ、形成された膜には水素が含
有されていることが確認された。すなわち、上記実施例
において、Six y 膜と考えられていた反射防止膜の
一部は、Sixy z 膜(ただし、zは0でも良い)
であったと考えられる。
Embodiment 19 In this embodiment, a mixed gas of SiH 4 and O 2 is used,
When an xOy film was formed, it was confirmed that the formed film contained hydrogen. That is, in the above embodiment, a portion of the Si x O y film and thought have antireflection film, Si x O y H z films (where, z may be 0)
It is thought that it was.

【0077】実施例20 本実施例では、前記実施例1において、図39,40に
示すように、レジスト厚みとクリティカルディメンジョ
ンとの関係を実際に調べた。いずれもW−Si上におい
て、KrFエキシマレーザー光を用いて実験した。図3
9は0.30μmラインアンドスペースパターンの場合
であり、図40は0.35μmラインアンドスペースパ
ターンの場合である。いずれも、レジストとしては化学
増幅型のポジレジストを用いた。図39,40に示すよ
うに、レジストの厚みによらず、略一定の線幅のパター
ンが得られることが確認された。
Embodiment 20 In this embodiment, as shown in FIGS. 39 and 40, the relationship between the resist thickness and the critical dimension in the first embodiment was actually examined. In each case, experiments were performed on W-Si using KrF excimer laser light. FIG.
9 shows a case of a 0.30 μm line and space pattern, and FIG. 40 shows a case of a 0.35 μm line and space pattern. In each case, a chemically amplified positive resist was used as the resist. As shown in FIGS. 39 and 40, it was confirmed that a pattern having a substantially constant line width was obtained regardless of the resist thickness.

【0078】実施例21 本実施例は、少なくともSi元素を含有する有機化合物
を原料に用いる反射防止膜の形成法である。有機化合物
を原料に用いると段差部分の反射防止膜の被覆率が向上
し、つまり平坦部と段差垂直部の反射防止膜の膜厚差が
小さくなり、半導体デバイスチップ内の反射防止効果の
均一性制が向上する。よって本実施例は、ステップカバ
レージ(段差部の被覆率)がすぐれている有機化合物を
原料ガスとして用いるので、段差の厳しいデバイスにお
いて特に有効と言える。有機化合物として例えばTEO
Sや、OMCTS(Si4 O(CH3)8;Si/O=
比1)や、HMDS(Si2 O(CH3 )6;Si/O
比=2)等を用いることができる。本実施例では、平行
平板プラズマCVD装置を用いて、次の成膜条件で実施
した。 OMCTS=50sccm RF Power=190W 圧力=332.5pa(2.5torr) 基板温度=400℃ 電極間距離=1cm
Example 21 This example is a method for forming an antireflection film using an organic compound containing at least Si element as a raw material. When an organic compound is used as a raw material, the coverage of the antireflection film at the step portion is improved, that is, the difference in the thickness of the antireflection film between the flat portion and the vertical portion of the step is reduced, and the uniformity of the antireflection effect in the semiconductor device chip is improved The system is improved. Therefore, this embodiment is particularly effective in a device having a strict step because an organic compound having excellent step coverage (coverage of the step) is used as a source gas. As an organic compound, for example, TEO
S or OMCTS (Si 4 O (CH 3 ) 8; Si / O =
Ratio 1) or HMDS (Si 2 O (CH 3 ) 6; Si / O
Ratio = 2) can be used. In this embodiment, the film formation was performed using the parallel plate plasma CVD apparatus under the following film forming conditions. OMCTS = 50 sccm RF Power = 190 W Pressure = 332.5 pa (2.5 torr) Substrate temperature = 400 ° C. Distance between electrodes = 1 cm

【0079】実施例22 実施例21において、さらに、Siの比率の高い膜を形
成したい場合には、OやNを含有しないSiソースとし
てSiH4 等を添加してもよい。平行平板プラズマCV
D装置を用いた場合の成膜条件を以下に示す。 OMCTS=50sccm SiH4 =5sccm RF Power=190W 圧力=332.5pa(2.5torr) 基板温度=400℃ 電極間距離=1cm
Embodiment 22 In Embodiment 21, when it is desired to form a film having a higher ratio of Si, SiH 4 or the like may be added as a Si source containing no O or N. Parallel plate plasma CV
The film forming conditions when the D apparatus is used are shown below. OMCTS = 50 sccm SiH 4 = 5 sccm RF Power = 190 W Pressure = 332.5 pa (2.5 torr) Substrate temperature = 400 ° C. Distance between electrodes = 1 cm

【0080】以上の実施例では、主にガス流量比をパラ
メーターとして膜の光学定数をコントロールする方法を
述べたが、成膜圧力、RFパワー、基板温度をパラメー
ターとしても膜の光学定数をコントロールできる。
In the above embodiments, the method of controlling the optical constant of the film mainly by using the gas flow ratio as a parameter has been described. However, the optical constant of the film can be controlled by using the film forming pressure, RF power and substrate temperature as parameters. .

【0081】[0081]

【発明の効果】以上説明してきたように、本発明に係る
レジストパターン形成方法によれば、任意の単一波長の
光を露光光源として、任意の下地基板上にレジストパタ
ーンを形成する際に、露光時の定在波効果を最小限にす
ることができ、レジストパターンが微細なものであって
も、良好に、安定したレジストパターンが形成できる。
また、本発明に係る反射防止膜形成方法によれば、定在
波効果を最小限にする光学条件を有する反射防止膜を、
きわめて容易に成膜することができ、また、そのエッチ
ングも容易である。
As described above, according to the method for forming a resist pattern according to the present invention, when a resist pattern is formed on an arbitrary base substrate using light of an arbitrary single wavelength as an exposure light source, The standing wave effect at the time of exposure can be minimized, and a favorable and stable resist pattern can be formed even if the resist pattern is fine.
Further, according to the antireflection film forming method according to the present invention, an antireflection film having an optical condition that minimizes the standing wave effect,
The film can be formed very easily, and its etching is also easy.

【図面の簡単な説明】[Brief description of the drawings]

【図1】従来技術の問題点を説明する図であり、レジス
ト膜内での光の干渉を示す図である。
FIG. 1 is a view for explaining a problem of a conventional technique, and is a view showing light interference in a resist film.

【図2】従来技術の問題点を説明する図であり、定在波
効果を示す図である。
FIG. 2 is a diagram illustrating a problem of the related art, and is a diagram illustrating a standing wave effect.

【図3】従来技術の問題点を説明する図であり、定在波
効果を示す図である。
FIG. 3 is a diagram for explaining a problem of the related art, and is a diagram illustrating a standing wave effect.

【図4】従来技術の問題点を説明する図であり、定在波
効果を示す図である。
FIG. 4 is a diagram for explaining a problem of the related art, and is a diagram illustrating a standing wave effect.

【図5】従来技術の問題点を説明する図であり、段差の
影響をを示す図である。
FIG. 5 is a diagram for explaining a problem of the related art, and is a diagram showing an influence of a step.

【図6】定在波効果の影響を示す図である。FIG. 6 is a diagram showing the effect of the standing wave effect.

【図7】定在波効果の影響を示す図である。FIG. 7 is a diagram showing the effect of the standing wave effect.

【図8】定在波効果の影響を示す図である。FIG. 8 is a diagram showing the effect of the standing wave effect.

【図9】吸収光量の変動とパターン寸法変動との関係を
示す図である。
FIG. 9 is a diagram illustrating a relationship between a variation in the amount of absorbed light and a variation in pattern dimension.

【図10】定在波効果を示す図である。FIG. 10 is a diagram illustrating a standing wave effect.

【図11】或るレジスト膜厚について、反射防止膜AR
Lの膜厚を固定して、narl ,karl を変化させた場合
のレジスト膜の吸収光量の変化の軌跡(吸収光量の等高
線)を示す図である。
FIG. 11 shows an anti-reflection film AR for a certain resist film thickness.
FIG. 9 is a diagram showing a locus of a change in the amount of absorbed light of the resist film (a contour line of the amount of absorbed light) when n arl and k arl are changed while the thickness of L is fixed.

【図12】他の異なったレジスト膜厚についての軌跡
(等高線)を示す図である。
FIG. 12 is a diagram showing trajectories (contour lines) for other different resist film thicknesses.

【図13】他の異なったレジスト膜厚についての軌跡
(等高線)を示す図である。
FIG. 13 is a diagram showing trajectories (contour lines) for other different resist film thicknesses.

【図14】他の異なったレジスト膜厚についての軌跡
(等高線)を示す図である。
FIG. 14 is a diagram showing trajectories (contour lines) for other different resist film thicknesses.

【図15】解決すべき定在波効果を示す図である。FIG. 15 is a diagram showing a standing wave effect to be solved.

【図16】反射防止膜の膜厚30nmの場合の、レジス
ト膜厚985nmについてのnarl ,karl の変化に対
するレジスト膜の吸収光量の変化の軌跡(吸収光量の等
高線)を示す図である。
FIG. 16 is a diagram showing a locus (contour of absorption light amount) of a change in absorption light amount of a resist film with respect to a change in n arl and k arl for a resist film thickness of 985 nm when the thickness of the antireflection film is 30 nm.

【図17】レジスト膜厚1000nmについての軌跡
(等高線)を示す図である。
FIG. 17 is a diagram showing a locus (contour line) for a resist film thickness of 1000 nm.

【図18】レジスト膜厚1017.5nmについての軌
跡(等高線)を示す図である。
FIG. 18 is a diagram showing a locus (contour line) for a resist film thickness of 1017.5 nm.

【図19】レジスト膜厚1035nmについての軌跡
(等高線)を示す図である。
FIG. 19 is a diagram showing a locus (contour line) for a resist film thickness of 1035 nm.

【図20】最適条件(実施例)での定在波効果を示す図
である。
FIG. 20 is a diagram showing a standing wave effect under optimal conditions (Example).

【図21】最適条件(実施例)での定在波効果を示す図
である。
FIG. 21 is a diagram showing a standing wave effect under optimal conditions (Example).

【図22】反射防止膜の膜厚と光学条件としてのnとの
関係を示す図である。
FIG. 22 is a diagram showing the relationship between the thickness of an antireflection film and n as an optical condition.

【図23】反射防止膜の膜厚と光学条件としてのkとの
関係を示す図である。
FIG. 23 is a view showing the relationship between the thickness of an antireflection film and k as an optical condition.

【図24】CVDによるSix y 成膜の挙動を示す図
である。
24 is a diagram showing the behavior of the Si x O y film formation by CVD.

【図25】他の実施例に係る反射防止膜の成膜構造を示
す断面図である。
FIG. 25 is a cross-sectional view showing a film formation structure of an antireflection film according to another example.

【図26】W−Si上のSix y (25nm)の反射
防止効果を示す図である。
26 is a diagram illustrating an anti-reflection effect of Si on W-Si x O y (25nm ).

【図27】他の実施例に係る反射防止膜の成膜構造を示
す断面図である。
FIG. 27 is a cross-sectional view illustrating a film formation structure of an antireflection film according to another example.

【図28】反射防止膜の膜厚30nmの場合の、レジス
ト膜厚982nmについてのnarl ,karl の変化に対
するレジスト膜の吸収光量の変化の軌跡(吸収光量の等
高線)を示す図である。
FIG. 28 is a diagram showing a locus (a contour line of the amount of absorbed light) of a change in the amount of absorbed light of the resist film with respect to a change in n arl and k arl for a resist film thickness of 982 nm when the thickness of the antireflection film is 30 nm.

【図29】レジスト膜厚1000nmについての軌跡
(等高線)を示す図である。
FIG. 29 is a diagram showing loci (contour lines) for a resist film thickness of 1000 nm.

【図30】レジスト膜厚1018nmについての軌跡
(等高線)を示す図である。
FIG. 30 is a diagram showing a locus (contour line) for a resist film thickness of 1018 nm.

【図31】レジスト膜厚1035nmについての軌跡
(等高線)を示す図である。
FIG. 31 is a diagram showing a locus (contour line) for a resist film thickness of 1035 nm.

【図32】最適条件での定在波効果を示す図である。FIG. 32 is a diagram showing a standing wave effect under optimal conditions.

【図33】最適条件での定在波効果を示す図である。FIG. 33 is a diagram showing a standing wave effect under optimal conditions.

【図34】反射防止膜の膜厚と光学条件としてのkとの
関係を示す図である。
FIG. 34 is a diagram showing the relationship between the thickness of an antireflection film and k as an optical condition.

【図35】Six y 膜の成膜条件による光学定数特性
を示す図である。
FIG. 35 is a diagram showing optical constant characteristics according to film forming conditions of a Si x O y film.

【図36】他の実施例における反射防止膜の成膜構造を
示す断面図である。
FIG. 36 is a cross-sectional view showing a film formation structure of an antireflection film in another example.

【図37】定在波効果を示す図である。FIG. 37 is a diagram showing a standing wave effect.

【図38】Si上のSix y 膜(32nm)の反射防
止効果を示す図である。
38 is a diagram illustrating an anti-reflection effect of the Si x O y film on Si (32 nm).

【図39】その他の実施例(0.30μm L/S)の作
用説明図である。
FIG. 39 is an operation explanatory view of another embodiment (0.30 μm L / S).

【図40】その他の実施例(0.35μm L/S)の作
用説明図である。
FIG. 40 is an operation explanatory view of another embodiment (0.35 μm L / S).

【符号の説明】[Explanation of symbols]

ARL 反射防止膜 PR フォレジスト S 下地基板 ARL Anti-reflection coating PR photoresist S Base substrate

───────────────────────────────────────────────────── フロントページの続き (31)優先権主張番号 特願平4−87912 (32)優先日 平4(1992)3月11日 (33)優先権主張国 日本(JP) (31)優先権主張番号 特願平4−244314 (32)優先日 平4(1992)8月20日 (33)優先権主張国 日本(JP) (31)優先権主張番号 特願平4−316073 (32)優先日 平4(1992)10月31日 (33)優先権主張国 日本(JP) (56)参考文献 特開 昭60−153125(JP,A) 特開 昭59−6540(JP,A) 特開 昭51−58072(JP,A) 特開 平1−241125(JP,A) 特開 平2−148731(JP,A) (58)調査した分野(Int.Cl.6,DB名) H01L 21/027 G03F 7/11 503 G03F 7/26 ──────────────────────────────────────────────────続 き Continued on the front page (31) Priority claim number Japanese Patent Application Hei 4-87912 (32) Priority date Hei 4 (1992) March 11 (33) Priority claim country Japan (JP) (31) Priority Claim number Japanese Patent Application No. 4-244314 (32) Priority Date Hei 4 (1992) August 20 (33) Priority claiming country Japan (JP) (31) Priority claim number Japanese Patent Application No. 4-316073 (32) Priority (4) Japan (JP) (56) Reference JP-A-60-153125 (JP, A) JP-A-59-6540 (JP, A) JP JP-A-51-58072 (JP, A) JP-A-1-241125 (JP, A) JP-A-2-148731 (JP, A) (58) Fields investigated (Int. Cl. 6 , DB name) H01L 21 / 027 G03F 7/11 503 G03F 7/26

Claims (37)

(57)【特許請求の範囲】(57) [Claims] 【請求項1】(1) 多層構造を有する半導体装置において、下In a semiconductor device having a multilayer structure,
地基板上に直接またはその他の層を介して形成した酸化Oxidation formed directly on the ground substrate or through other layers
シリコン系膜で構成される反射防止膜上に、フォトレジThe photoresist is placed on the anti-reflection coating composed of silicon-based coating.
ストを単一波長により露光してレジストパターンを形成Exposing the resist with a single wavelength to form a resist pattern
するレジストパターン形成方法であって、Resist pattern forming method, 前記酸化シリコン系膜で構成される反射防止膜を、前記The anti-reflection film composed of the silicon oxide-based film,
下地基板の種類に応じて、露光時のフォトレジストでのDepending on the type of underlying substrate, the photoresist
レジスト膜の膜厚の変動による定在波効果のばらつきがVariations in the standing wave effect due to variations in the resist film thickness
最小の値となる前記反射防止膜の反射屈折率n、吸収屈The reflection refractive index n of the antireflection film,
折率kおよび膜厚になるように、成膜条件を調節しながAdjust the film forming conditions so as to obtain the folding ratio k and the film thickness.
ら成膜する工程を有する、Having a step of forming a film from レジストパターン形成方法。A method for forming a resist pattern.
【請求項2】 前記下地基板の表面が高融点金属または高
融点金属シリサイド系材料で構成されている請求項1記載のレジストパターン形成方法。
2. The method according to claim 1, wherein the surface of the base substrate is made of a high melting point metal or a high melting point metal.
2. The method for forming a resist pattern according to claim 1, wherein the method is formed of a metal silicide-based material having a melting point .
【請求項3】(3) 前記高融点金属はタングステンであり、前The refractory metal is tungsten,
記高融点金属シリサイド系材料はタングステンシリサイThe refractory metal silicide material is tungsten silicide.
ドである、Is 請求項2記載のレジストパターン形成方法。The method for forming a resist pattern according to claim 2.
【請求項4】 前記下地基板の表面が低融点金属系材料で
構成されている請求項1記載のレジストパターン形成方法。
Wherein the surface of the underlying substrate with a low melting point metal material
The method for forming a resist pattern according to claim 1, wherein the method is configured.
【請求項5】(5) 前記低融点金属系材料は、アルミニウム、The low melting point metal-based material is aluminum,
アルミニウム−シリコン合金、アルミニウム−シリコンAluminum-silicon alloy, aluminum-silicon
−銅合金、銅、銅合金のいずれかである、-Any of copper alloy, copper, copper alloy, 請求項4記載のレジストパターン形成方法。The method for forming a resist pattern according to claim 4.
【請求項6】 前記下地基板の表面がシリコン系材料で構
成されている、請求項1記載のレジストパターン形成方法。
6. The method for forming a resist pattern according to claim 1, wherein a surface of said base substrate is made of a silicon-based material .
【請求項7】7. 前記シリコン系材料は、単結晶シリコン、The silicon-based material is a single crystal silicon,
多結晶シリコン、非晶質シリコン、ドープトポリシリコPolycrystalline silicon, amorphous silicon, doped polysilico
ンからなる群から選ばれる一種である、Is selected from the group consisting of 請求項6記載のレジストパターン形成方法。The method for forming a resist pattern according to claim 6.
【請求項8】前記下地基板の表面が高融点金属または高
融点金属シリサイド系材料の場合において、 前記反射防止膜は、露光波長が150〜450nmにお
いて、反射屈折率nが1.0以上3.6以下であり、吸
収屈折率kが0.11以上0.75以下であり、膜厚が
10nm以上100nm以下の反射防止膜である、 請求項1記載のレジストパターン形成方法。
8. When the surface of the base substrate is a refractory metal or a refractory metal silicide-based material, the antireflection film has a reflection refractive index n of 1.0 or more at an exposure wavelength of 150 to 450 nm. The resist pattern forming method according to claim 1, wherein the antireflection film has an absorption refractive index k of 0.11 or more and 0.75 or less and a film thickness of 10 nm or more and 100 nm or less.
【請求項9】9. 前記高融点金属はタングステンであり、前The refractory metal is tungsten,
記高融点金属シリサイド系材料はタングステンシリサイThe refractory metal silicide material is tungsten silicide.
ドである、Is 請求項8記載のレジストパターン形成方法。A method for forming a resist pattern according to claim 8.
【請求項10】10. 前記下地基板の表面が高融点金属またはThe surface of the base substrate is a refractory metal or
高融点金属シリサイド系材料の場合において、In the case of refractory metal silicide material, 前記反射防止膜は、露光波長が150〜450nmにおThe antireflection film has an exposure wavelength of 150 to 450 nm.
いて、反射屈折率nが1.7以上5.9以下であり、吸And the reflection refractive index n is 1.7 or more and 5.9 or less,
収屈折率kが0.51以下の正数であり、膜厚が25nThe collection index k is a positive number of 0.51 or less, and the film thickness is 25 n
m以上100nm以下の反射防止膜である、m or more and 100 nm or less, 請求項1記載のレジストパターン形成方法。The method for forming a resist pattern according to claim 1.
【請求項11】11. 前記高融点金属はタングステンであり、The refractory metal is tungsten,
前記高融点金属シリサイド系材料はタングステンシリサThe refractory metal silicide-based material is tungsten silicide.
イドである、Id, 請求項10記載のレジストパターン形成方法。The method for forming a resist pattern according to claim 10.
【請求項12】12. 前記下地基板の表面が低融点金属系材料The surface of the base substrate is a low melting point metal-based material.
の場合において、In the case of 前記反射防止膜は、露光波長が150〜450nmにおThe antireflection film has an exposure wavelength of 150 to 450 nm.
いて、反射屈折率nが1.3以上3.6以下であり、吸The refractive index n is 1.3 or more and 3.6 or less,
収屈折率kが0.2以上1.5以下であり、膜厚が10The collection index k is 0.2 or more and 1.5 or less, and the film thickness is 10
nm以上70nm以下の反射防止膜である、an antireflection film having a thickness of not less than 70 nm and not more than 70 nm, 請求項1記載のレジストパターン形成方法。The method for forming a resist pattern according to claim 1.
【請求項13】Claim 13 前記低融点金属系材料は、アルミニウThe low melting point metal-based material is aluminum.
ム、アルミニウム−シリコン合金、アルミニウム−シリAluminum, silicon-alloy, aluminum-silicon
コン−銅合金、銅、銅合金のいずれかである、Con-copper alloy, copper, any of copper alloy, 請求項12記載のレジストパターン形成方法。The method for forming a resist pattern according to claim 12.
【請求項14】14. 前記下地基板の表面が低融点金属系材料The surface of the base substrate is a low melting point metal-based material.
の場合において、In the case of 前記反射防止膜は、露光波長が150〜450nmにおThe antireflection film has an exposure wavelength of 150 to 450 nm.
いて、反射屈折率nが1.9以上5.9以下であり、吸And the reflection refractive index n is 1.9 or more and 5.9 or less,
収屈折率kが0.2以上0.75以下であり、膜厚が2The collection index k is 0.2 or more and 0.75 or less, and the film thickness is 2
5nm以上90nm以下の反射防止膜である、An antireflection film having a thickness of 5 nm or more and 90 nm or less; 請求項1記載のレジストパターン形成方法。The method for forming a resist pattern according to claim 1.
【請求項15】15. 前記低融点金属系材料は、アルミニウThe low melting point metal-based material is aluminum.
ム、アルミニウム−シリコン合金、アルミニウム−シリAluminum, silicon-alloy, aluminum-silicon
コン−銅合金、銅、銅合金のいずれかである、Con-copper alloy, copper, any of copper alloy, 請求項14記載のレジストパターン形成方法。The method for forming a resist pattern according to claim 14.
【請求項16】16. 前記下地基板の表面がシリコン系材料のThe surface of the base substrate is made of a silicon-based material.
場合において、In some cases, 前記反射防止膜は、露光波長が150〜450nmにおThe antireflection film has an exposure wavelength of 150 to 450 nm.
いて、反射屈折率nが1.8以上2.6以下であり、吸And the reflection refractive index n is 1.8 or more and 2.6 or less,
収屈折率kが0.1以上0.8以下であり、膜厚が20The collection index k is 0.1 or more and 0.8 or less, and the film thickness is 20
nm以上150nm以下の反射防止膜である、an antireflection film having a thickness of 150 nm or more, 請求項1記載のレジストパターン形成方法。The method for forming a resist pattern according to claim 1.
【請求項17】17. 前記シリコン系材料は、単結晶シリコThe silicon-based material is a single crystal silicon
ン、多結晶シリコン、非晶質シリコン、ドープトポリシ, Polycrystalline silicon, amorphous silicon, doped policy
リコンからなる群から選ばれる一種である、It is a kind selected from the group consisting of recons, 請求項16記載のレジストパターン形成方法。The method for forming a resist pattern according to claim 16.
【請求項18】表面が高融点金属または高融点金属シリ
サイド系材料からなる下地基板上に、直接またはその他
の層を介して設けられた反射防止膜であって、 前記反射防止膜は、露光波長が150〜450nmにお
いて、反射屈折率nが1.0以上3.6以下、吸収屈折
率kが0.11以上0.75以下、膜厚が10nm以上
100nm以下であり、レジスト膜の膜厚の変動による
定在波効果のばらつきが最小の値となるような酸化シリ
コン系膜で構成されている、反射防止膜。
18. An anti-reflection film having a surface provided directly or via another layer on a base substrate made of a high melting point metal or a high melting point metal silicide-based material, wherein the anti-reflection film has an exposure wavelength Is 150 to 450 nm, the reflection refractive index n is 1.0 or more and 3.6 or less, the absorption refractive index k is 0.11 or more and 0.75 or less , and the film thickness is 10 nm or more and 100 nm or less. An anti-reflection film made of a silicon oxide-based film in which the variation of the standing wave effect due to the fluctuation becomes a minimum value.
【請求項19】(19) 前記高融点金属はタングステンであり、The refractory metal is tungsten,
前記高融点金属シリサイド系材料はタングステンシリサThe refractory metal silicide-based material is tungsten silicide.
イドである、Id, 請求項18記載の反射防止膜。The anti-reflection film according to claim 18.
【請求項20】20. 表面が高融点金属または高融点金属シリThe surface is made of high melting point metal or high melting point metal
サイド系材料からなる下地基板上に、直接またはその他Directly or on the underlying substrate made of a side material
の層を介して設けられた反射防止膜であって、An anti-reflection film provided through a layer of 前記反射防止膜は、露光波長が150〜450nmにおThe antireflection film has an exposure wavelength of 150 to 450 nm.
いて、反射屈折率nが1.7以上5.9以下、吸収屈折And the refractive index n is 1.7 or more and 5.9 or less,
率kが0.51以下の正数、膜厚が25nm以上100Positive number with a ratio k of 0.51 or less, film thickness of 25 nm or more and 100
nm以下であり、レジスト膜の膜厚の変動による定在波nm or less, and a standing wave due to fluctuations in the thickness of the resist film
効果のばらつきが最小の値となるような酸化シリコン系Silicon oxide type with minimum effect variation
膜で構成されている、Composed of a membrane, 反射防止膜。Anti-reflection film.
【請求項21】21. 前記高融点金属はタングステンであり、The refractory metal is tungsten,
前記高融点金属シリサイド系材料はタングステンシリサThe refractory metal silicide-based material is tungsten silicide.
イドである、Id, 請求項20記載の反射防止膜。The antireflection film according to claim 20.
【請求項22】22. 表面が低融点金属系材料からなる下地基Base material whose surface is made of low-melting metal material
板上に、直接またはその他の層を介して設けられた反射Reflection provided directly or through other layers on the plate
防止膜であって、A barrier film, 前記反射防止膜は、露光波長が150〜450nmにおThe antireflection film has an exposure wavelength of 150 to 450 nm.
いて、反射屈折率nがAnd the refractive index n is 1.3以上3.6以下、吸収屈折1.3 or more and 3.6 or less, absorption / refraction
率kが0.2以上1.5以下、膜厚が10nm以上70The ratio k is 0.2 or more and 1.5 or less, and the film thickness is 10 nm or more and 70.
nm以下であり、レジスト膜の膜厚の変動による定在波nm or less, and a standing wave due to fluctuations in the thickness of the resist film
効果のばらつきが最小の値となるような酸化シリコン系Silicon oxide type with minimum effect variation
膜で構成されている、Composed of a membrane, 反射防止膜。Anti-reflection film.
【請求項23】23. 前記低融点金属系材料は、アルミニウThe low melting point metal-based material is aluminum.
ム、アルミニウム−シリコン合金、アルミニウム−シリAluminum, silicon-alloy, aluminum-silicon
コン−銅合金、銅、銅合金のいずれかである、Con-copper alloy, copper, any of copper alloy, 請求項22記載の反射防止膜。An anti-reflection film according to claim 22.
【請求項24】24. 表面が低融点金属系材料からなる下地基Base material whose surface is made of low-melting metal material
板上に、直接またはその他の層を介して設けられた反射Reflection provided directly or through other layers on the plate
防止膜であって、A barrier film, 前記反射防止膜は、露光波長が150〜450nmにおThe antireflection film has an exposure wavelength of 150 to 450 nm.
いて、反射屈折率nが1.9以上5.9以下、吸収屈折The refractive index n is 1.9 or more and 5.9 or less,
率kが0.2以上0.75以下、膜厚が25nm以上9The ratio k is 0.2 or more and 0.75 or less, and the film thickness is 25 nm or more and 9
0nm以下であり、レジスト膜の膜厚の変動による定在0 nm or less, standing due to variation in the thickness of the resist film
波効果のばらつきが最小の値となるような酸化シリコンSilicon oxide that minimizes wave effect variation
系膜で構成されている、Composed of system membranes, 反射防止膜。Anti-reflection film.
【請求項25】25. 前記低融点金属系材料は、アルミニウThe low melting point metal-based material is aluminum.
ム、アルミニウム−シリコン合金、アルミニウム−シリAluminum, silicon-alloy, aluminum-silicon
コン−銅合金、銅、銅合金のいずれかである、Con-copper alloy, copper, any of copper alloy, 請求項24記載の反射防止膜。The antireflection film according to claim 24.
【請求項26】26. 表面がシリコン系材料からなる下地基板Base substrate whose surface is made of silicon-based material
上に、直接またはその他の層を介して設けられた反射防Anti-reflective coating, directly or through other layers
止膜であって、A stop film, 前記反射防止膜は、露光波長が150〜450nmにおThe antireflection film has an exposure wavelength of 150 to 450 nm.
いて、反射屈折率nが1.8以上2.6以下、吸収屈折The refractive index n is 1.8 or more and 2.6 or less,
率kが0.1以上0.8以下、膜厚が20nm以上15Rate k is 0.1 or more and 0.8 or less, and film thickness is 20 nm or more and 15
0nm以下であり、レジスト膜の膜厚の変動による定在0 nm or less, standing due to variation in the thickness of the resist film
波効果のばらつきが最小の値となるような酸化シリコンSilicon oxide that minimizes wave effect variation
系膜で構成されている、Composed of system membranes, 反射防止膜。Anti-reflection film.
【請求項27】27. 前記シリコン系材料は、単結晶シリコThe silicon-based material is a single crystal silicon
ン、多結晶シリコン、非晶質シリコン、ドープトポリシ, Polycrystalline silicon, amorphous silicon, doped policy
リコンからなる群から選ばれる一種である、It is a kind selected from the group consisting of recons, 請求項26記載の反射防止膜。The anti-reflection film according to claim 26.
【請求項28】複数の層からなる半導体装置であって、 表面が高融点金属または高融点金属シリサイド系材料か
らなる下地基板上と、 該下地基板上に、直接またはその他の層を介して、露光
波長が150〜450nmにおいて、反射屈折率nが
1.0以上3.6以下、吸収屈折率kが0.11以上
0.75以下、膜厚が10nm以上100nm以下、レ
ジスト膜の膜厚の変動による定在波効果のばらつきが最
小の値となるような酸化シリコン系膜で構成されている
反射防止膜とを有する、 半導体装置。
28. A semiconductor device comprising a plurality of layers, comprising: an undersubstrate having a surface made of a refractory metal or a refractory metal silicide-based material; and When the exposure wavelength is 150 to 450 nm, the reflection refractive index n is 1.0 or more and 3.6 or less, and the absorption refractive index k is 0.11 or more.
An anti-reflection film made of a silicon oxide-based film having a thickness of 0.75 or less , a film thickness of 10 nm or more and 100 nm or less, and a variation in a standing wave effect due to a variation in the thickness of the resist film being a minimum value; , Semiconductor devices.
【請求項29】29. 前記高融点金属はタングステンであり、The refractory metal is tungsten,
前記高融点金属シリサイド系材料はタングステンシリサThe refractory metal silicide-based material is tungsten silicide.
イドである、Id, 請求項28記載の半導体装置。29. The semiconductor device according to claim 28.
【請求項30】 複数の層からなる半導体装置であって表面が高融点金属または高融点金属シリサイド系材料か
らなる下地基板上 と、該下地基板上に、直接またはその他の層を介して、露光
波長が150〜450nmにおいて、反射屈折率nが
1.7以上5.9以下、吸収屈折率kが0.51以下の
正数、膜厚が25nm以上100nm以下、レジスト膜
の膜厚の変動による定在波効果のばらつきが最小の値と
なるような酸化シリコン系膜で構成されている反射防止
膜とを有する、 半導体装置。
30. A semiconductor device comprising a plurality of layers , the surface of which is made of a refractory metal or a refractory metal silicide-based material.
Exposure on the underlying substrate composed of, and directly or via another layer on the underlying substrate
When the wavelength is 150 to 450 nm, the reflection refractive index n is
1.7 or more and 5.9 or less, and the absorption refractive index k is 0.51 or less.
Positive number, film thickness of 25 nm or more and 100 nm or less, resist film
The variation of the standing wave effect due to the variation of
Anti-reflection made of silicon oxide based film
A semiconductor device having a film .
【請求項31】31. 前記高融点金属はタングステンであり、The refractory metal is tungsten,
前記高融点金属シリサイド系材料はタングステンシリサThe refractory metal silicide-based material is tungsten silicide.
イドである、Id, 請求項30記載の半導体装置。The semiconductor device according to claim 30.
【請求項32】 複数の層からなる半導体装置であって、 表面が低融点金属系材料からなる下地基板 と、該下地基板上に、直接またはその他の層を介して、露光
波長が150〜450nmにおいて、反射屈折率nが
1.3以上3.6以下、吸収屈折率kが0.2以上1.
5以下、膜厚が10nm以上70nm以下であり、レジ
スト膜の膜厚の変動による定在波効果のばらつきが最小
の値となるような酸化シリコン系膜で構成されている反
射防止膜とを有する、 半導体装置。
32. A semiconductor device comprising a plurality of layers, comprising : a base substrate having a surface made of a low-melting-point metal-based material ;
When the wavelength is 150 to 450 nm, the reflection refractive index n is
1.3 or more and 3.6 or less, and the absorption refractive index k is 0.2 or more.
5 or less, the film thickness is 10 nm or more and 70 nm or less,
Minimal variation in standing wave effect due to variation in film thickness
Of a silicon oxide-based film with a value of
A semiconductor device having an anti-reflection film .
【請求項33】33. 前記低融点金属系材料は、アルミニウThe low melting point metal-based material is aluminum.
ム、アルミニウム−シリコン合金、アルミニウム−シリAluminum, silicon-alloy, aluminum-silicon
コン−銅合金、銅、銅合金のいずれかである、Con-copper alloy, copper, any of copper alloy, 請求項32記載の半導体装置。The semiconductor device according to claim 32.
【請求項34】 複数の層からなる半導体装置であって、 表面が低融点金属系材料からなる下地基板 と、該下地基板上に、直接またはその他の層を介して、露光
波長が150〜450nmにおいて、反射屈折率nが
1.9以上5.9以下、吸収屈折率kが0.2以上0.
75以下、膜厚が25nm以上90nm以下であり、レ
ジスト膜の膜厚の変動による定在波効果のばらつきが最
小の値となるような酸化シリコン系膜で構成されている
反射防止膜とを有する、 半導体装置。
34. A semiconductor device comprising a plurality of layers, comprising : a base substrate having a surface made of a low-melting-point metal-based material ;
When the wavelength is 150 to 450 nm, the reflection refractive index n is
1.9 or more and 5.9 or less, and the absorption refractive index k is 0.2 or more and 0.1 or more.
75 or less, and the film thickness is 25 nm or more and 90 nm or less.
The variation of the standing wave effect due to the variation of the
It is composed of a silicon oxide-based film with a small value
A semiconductor device having an antireflection film .
【請求項35】35. 前記低融点金属系材料は、アルミニウThe low melting point metal-based material is aluminum.
ム、アルミニウム−シリコン合金、アルミニウム−シリAluminum, silicon-alloy, aluminum-silicon
コン−銅合金、銅、銅合金のいずれかである、Con-copper alloy, copper, any of copper alloy, 請求項34記載の半導体装置。The semiconductor device according to claim 34.
【請求項36】 複数の層からなる半導体装置であって、 表面がシリコン系材料からなる下地基板 と、該下地基盤上に、直接またはその他の層を介して、露光
波長が150〜450nmにおいて、反射屈折率nが
1.8以上2.6以下、吸収屈折率kが0.1以上0.
8以下、膜厚が20nm以上150nm以下であり、レ
ジスト膜の膜厚の変動による定在波効果のばらつきが最
小の値となるような酸化シリコン系膜で構成されている
反射防止膜とを有する、 半導体装置。
36. A semiconductor device comprising a plurality of layers, comprising a base substrate having a surface made of a silicon-based material , and exposing the base substrate directly or through another layer.
When the wavelength is 150 to 450 nm, the reflection refractive index n is
1.8 or more and 2.6 or less, and the absorption refractive index k is 0.1 or more and 0.2 or less.
8 or less, the film thickness is 20 nm or more and 150 nm or less, and
The variation of the standing wave effect due to the variation of the
It is composed of a silicon oxide-based film with a small value
A semiconductor device having an antireflection film .
【請求項37】37. 前記シリコン系材料は、単結晶シリコThe silicon-based material is a single crystal silicon
ン、多結晶シリコン、非晶質シリコン、ドープトポリシ, Polycrystalline silicon, amorphous silicon, doped policy
リコンからなる群から選ばれる一種である、It is a kind selected from the group consisting of recons, 請求項36記載の半導体装置。The semiconductor device according to claim 36.
JP16909195A 1991-12-30 1995-07-04 Resist pattern forming method, antireflection film forming method, antireflection film, and semiconductor device Expired - Lifetime JP2953348B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP16909195A JP2953348B2 (en) 1991-12-30 1995-07-04 Resist pattern forming method, antireflection film forming method, antireflection film, and semiconductor device

Applications Claiming Priority (13)

Application Number Priority Date Filing Date Title
JP36052191 1991-12-30
JP36052391 1991-12-30
JP8791192 1992-03-11
JP8791292 1992-03-11
JP24431492 1992-08-20
JP4-87911 1992-10-31
JP31607392 1992-10-31
JP3-360521 1992-10-31
JP3-360523 1992-10-31
JP4-316073 1992-10-31
JP4-244314 1992-10-31
JP4-87912 1992-10-31
JP16909195A JP2953348B2 (en) 1991-12-30 1995-07-04 Resist pattern forming method, antireflection film forming method, antireflection film, and semiconductor device

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP35975092A Division JP2897569B2 (en) 1991-12-30 1992-12-29 Method for determining conditions of antireflection film used in forming resist pattern, and method for forming resist pattern

Publications (2)

Publication Number Publication Date
JPH0855791A JPH0855791A (en) 1996-02-27
JP2953348B2 true JP2953348B2 (en) 1999-09-27

Family

ID=27565445

Family Applications (1)

Application Number Title Priority Date Filing Date
JP16909195A Expired - Lifetime JP2953348B2 (en) 1991-12-30 1995-07-04 Resist pattern forming method, antireflection film forming method, antireflection film, and semiconductor device

Country Status (1)

Country Link
JP (1) JP2953348B2 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6841404B2 (en) 2001-09-11 2005-01-11 Kabushiki Kaisha Toshiba Method for determining optical constant of antireflective layer, and method for forming resist pattern

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100380277B1 (en) * 2000-08-22 2003-04-16 주식회사 하이닉스반도체 Method of defining micropatterns
US7473377B2 (en) 2002-06-27 2009-01-06 Tokyo Electron Limited Plasma processing method
CN100440449C (en) * 2002-06-27 2008-12-03 东京毅力科创株式会社 Plasma processing method
JP2013044887A (en) * 2011-08-23 2013-03-04 Murata Mfg Co Ltd Method for manufacturing electronic component

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6841404B2 (en) 2001-09-11 2005-01-11 Kabushiki Kaisha Toshiba Method for determining optical constant of antireflective layer, and method for forming resist pattern

Also Published As

Publication number Publication date
JPH0855791A (en) 1996-02-27

Similar Documents

Publication Publication Date Title
US5698352A (en) Semiconductor device containing Si, O and N anti-reflective layer
US5472829A (en) Method of forming a resist pattern by using an anti-reflective layer
US5677111A (en) Process for production of micropattern utilizing antireflection film
US5674356A (en) Method for forming a semiconductor device in which an anti reflective layer is formed by varying the composition thereof
EP0588087B1 (en) Method of forming a resist pattern using an optimized anti-reflective layer
US7589015B2 (en) Fabrication of semiconductor devices using anti-reflective coatings
US6365320B1 (en) Process for forming anti-reflective film for semiconductor fabrication using extremely short wavelength deep ultraviolet photolithography
US5643833A (en) Method of making a contact hole in a semiconductor device
JPH0955351A (en) Manufacture of semiconductor device
JP2953348B2 (en) Resist pattern forming method, antireflection film forming method, antireflection film, and semiconductor device
JP2953349B2 (en) Resist pattern forming method, antireflection film forming method, antireflection film, and semiconductor device
JP2897569B2 (en) Method for determining conditions of antireflection film used in forming resist pattern, and method for forming resist pattern
JP2897692B2 (en) Resist pattern forming method, antireflection film forming method, antireflection film, and semiconductor device
US6479401B1 (en) Method of forming a dual-layer anti-reflective coating
JP3326943B2 (en) Semiconductor device manufacturing method and semiconductor device
JP3339156B2 (en) Method for manufacturing fine pattern and method for manufacturing semiconductor device
JP3248353B2 (en) Anti-reflection coating design method
JP2897691B2 (en) Resist pattern forming method, antireflection film forming method, antireflection film, and semiconductor device
JP3339153B2 (en) Method for manufacturing semiconductor device
JPH07201990A (en) Pattern forming method
JP3257245B2 (en) Method of forming fine pattern
JPH1172924A (en) Formation of pattern
JP3271185B2 (en) Manufacturing method of antireflection film
JPH05114558A (en) Manufacture of semiconductor device
KR100266847B1 (en) Condition determinative method of anti-reflective layer, method of forming anti-reflective layer and method of forming a resist pattern using an anti-reflective layer

Legal Events

Date Code Title Description
FPAY Renewal fee payment (prs date is renewal date of database)

Free format text: PAYMENT UNTIL: 20080716

Year of fee payment: 9

FPAY Renewal fee payment (prs date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090716

Year of fee payment: 10

FPAY Renewal fee payment (prs date is renewal date of database)

Year of fee payment: 10

Free format text: PAYMENT UNTIL: 20090716

FPAY Renewal fee payment (prs date is renewal date of database)

Year of fee payment: 11

Free format text: PAYMENT UNTIL: 20100716

FPAY Renewal fee payment (prs date is renewal date of database)

Year of fee payment: 11

Free format text: PAYMENT UNTIL: 20100716

FPAY Renewal fee payment (prs date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110716

Year of fee payment: 12

FPAY Renewal fee payment (prs date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120716

Year of fee payment: 13

FPAY Renewal fee payment (prs date is renewal date of database)

Year of fee payment: 13

Free format text: PAYMENT UNTIL: 20120716

FPAY Renewal fee payment (prs date is renewal date of database)

Year of fee payment: 14

Free format text: PAYMENT UNTIL: 20130716

EXPY Cancellation because of completion of term
FPAY Renewal fee payment (prs date is renewal date of database)

Year of fee payment: 14

Free format text: PAYMENT UNTIL: 20130716