JP3339156B2 - Method for manufacturing fine pattern and method for manufacturing semiconductor device - Google Patents

Method for manufacturing fine pattern and method for manufacturing semiconductor device

Info

Publication number
JP3339156B2
JP3339156B2 JP35038493A JP35038493A JP3339156B2 JP 3339156 B2 JP3339156 B2 JP 3339156B2 JP 35038493 A JP35038493 A JP 35038493A JP 35038493 A JP35038493 A JP 35038493A JP 3339156 B2 JP3339156 B2 JP 3339156B2
Authority
JP
Japan
Prior art keywords
film
resist
inorganic
fine pattern
mask
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP35038493A
Other languages
Japanese (ja)
Other versions
JPH07201825A (en
Inventor
透 小川
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Sony Corp
Original Assignee
Sony Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Sony Corp filed Critical Sony Corp
Priority to JP35038493A priority Critical patent/JP3339156B2/en
Publication of JPH07201825A publication Critical patent/JPH07201825A/en
Application granted granted Critical
Publication of JP3339156B2 publication Critical patent/JP3339156B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Landscapes

  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Drying Of Semiconductors (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Description

【発明の詳細な説明】DETAILED DESCRIPTION OF THE INVENTION

【0001】[0001]

【産業上の利用分野】本発明は、微細パターンの製造方
法およびこの方法を用いた半導体装置の製造方法に関す
る。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a method for manufacturing a fine pattern and a method for manufacturing a semiconductor device using the method.

【0002】[0002]

【従来の技術】現在、半導体集積回路の研究開発におい
て、サブハーフミクロン領域のデザインルールデバイス
が研究開発されている。これらデバイス開発において使
用されるフォトリソグラフィー技術においては、ステッ
パー(縮小投影露光機)と呼ばれる単一波長の光を露光
光源に用いた露光装置が使用されている。
2. Description of the Related Art Currently, in the research and development of semiconductor integrated circuits, design rule devices in the sub-half micron range are being researched and developed. In photolithography technology used in the development of these devices, an exposure apparatus using a single wavelength light as an exposure light source called a stepper (reduction projection exposure machine) is used.

【0003】単一波長で露光を行う場合には、定在波効
果と呼ばれる現象が発生することが広く知られている。
定在波が発生する原因は、レジスト膜内で露光光の多重
干渉が起こることによる。すなわち、図1に示すよう
に、入射光Pと、レジシトPRと基板Sとの界面からの
反射光Rとが、レジスト膜内で干渉を起こすことによ
る。その結果として、図2に示すごとく、レジストに吸
収される光量(縦軸)が、レジスト膜厚(横軸)に依存
して変化する。なお、本明細書中、レジストに吸収され
る光量とは、レジスト表面での表面反射や、基板での吸
収や、レジストから射出した光などを除いた、レジスト
自体に吸収される光の量を示す。かかる吸収光量が、レ
ジストを光反応させるエネルギーとなる。
It is widely known that when exposure is performed at a single wavelength, a phenomenon called a standing wave effect occurs.
The reason why the standing wave is generated is that multiple interference of exposure light occurs in the resist film. That is, as shown in FIG. 1, the incident light P and the reflected light R from the interface between the resist PR and the substrate S cause interference in the resist film. As a result, as shown in FIG. 2, the amount of light absorbed by the resist (vertical axis) changes depending on the resist film thickness (horizontal axis). In this specification, the amount of light absorbed by the resist refers to the amount of light absorbed by the resist itself, excluding surface reflection on the resist surface, absorption by the substrate, light emitted from the resist, and the like. Show. The amount of absorbed light is the energy that causes the resist to undergo a photoreaction.

【0004】なお、図2は、シリコン基板の上にレジス
ト膜(XP8843)を成膜し、レジスト膜の膜厚によ
る吸収光量の変化を調べた結果である。露光用光として
は、λ=248nmのKrFを仮定した。また、吸収光
量変化の度合いは、図3と図4との比較からも理解され
るように、下地基板の種類により異なる。図2、3、4
において、レジストはいずれもXP8843(シプレー
社)を用いているが、下地はそれぞれSi、Al−S
i、W−Siである。すなわち、下地(基板)の光学定
数(n,k)およびレジスト膜の光学定数(n,k)に
より定まる多重干渉を考慮した複素振幅反射率(R)に
より、吸収光量の変化の度合いは定まる((R)は実数
部と虚数部をと持つベクトル量であることを示す)。
FIG. 2 shows a result of forming a resist film (XP8843) on a silicon substrate and examining a change in the amount of absorbed light depending on the thickness of the resist film. As the exposure light, KrF of λ = 248 nm was assumed. Further, the degree of change in the amount of absorbed light differs depending on the type of the underlying substrate, as can be understood from a comparison between FIG. 3 and FIG. Figures 2, 3, 4
, XP8843 (Shipley Co.) was used for the resist, but the underlying layers were Si and Al-S, respectively.
i, W-Si. That is, the degree of change in the amount of absorbed light is determined by the complex amplitude reflectance (R) in consideration of multiple interference determined by the optical constant (n, k) of the base (substrate) and the optical constant (n, k) of the resist film ( (R) indicates a vector quantity having a real part and an imaginary part).

【0005】さらに、 実デバイスにおいては、図5に
示すように、基板面には必ず凹凸が存在する。例えば、
ポリシリコン等の凸部Inが存在する。このため、レジ
スト膜RPを塗布した際、レジスト膜の厚さは、段差の
上部と下部とで異なることになる。つまり、凸部In上
のレジスト膜厚dPR2 は、それ以外の部分のレジスト膜
厚dPR1 よりも薄くなる。
Further, in an actual device, as shown in FIG. 5, irregularities always exist on the substrate surface. For example,
There is a protrusion In such as polysilicon. For this reason, when the resist film RP is applied, the thickness of the resist film differs between the upper part and the lower part of the step. That is, the resist film thickness d PR2 on the protrusion In is smaller than the resist film thickness d PR1 in the other portions.

【0006】定在波効果は、レジスト膜厚により異なる
ことは、前記説明したとうりであり、このため、定在波
効果の影響を受けることによるレジストに吸収される光
量の変化も、各々変わってくる。この結果、露光、現象
後に得られるレジストパターンの寸法が、段差の上部と
下部とで異なってしまう。定在波効果のパターン寸法に
及ぼす影響は、同一波長、同一開口数のステッパーを用
いた場合、パターンが細かければ細かいほど顕著化し、
どの種のレジストについても、共通に見られる現象であ
る。
As described above, the standing wave effect varies depending on the resist film thickness. Therefore, the change in the amount of light absorbed by the resist due to the effect of the standing wave effect also varies. Come. As a result, the dimensions of the resist pattern obtained after the exposure and the phenomenon differ between the upper part and the lower part of the step. The effect of the standing wave effect on the pattern size becomes more pronounced as the pattern becomes finer when using a stepper with the same wavelength and the same numerical aperture.
This phenomenon is common to all types of resist.

【0007】半導体デバイス作製時のフォトリソグラフ
ィー工程におけるレジストパターンの寸法精度は、一般
に±5%である。この±5%の寸法精度を達成するため
には、定在波効果の低減が必須である。図6にレジスト
膜内での吸収光量の変動(横軸)に対する、レジストパ
ターンの寸法変動(縦軸)を示す。図6から明らかなよ
うに、たとえば0.35μmルールのデバイスの作製を
行うには、レジスト膜の吸収光量の変動は、レンジ6%
以下であることが要求される。
The dimensional accuracy of a resist pattern in a photolithography process at the time of manufacturing a semiconductor device is generally ± 5%. In order to achieve the dimensional accuracy of ± 5%, it is essential to reduce the standing wave effect. FIG. 6 shows a dimensional change of the resist pattern (vertical axis) with respect to a change in the amount of absorbed light in the resist film (horizontal axis). As is clear from FIG. 6, for example, when fabricating a device having a rule of 0.35 μm, the variation in the amount of light absorbed by the resist film is in the range of 6%.
It is required that:

【0008】[0008]

【発明が解決しようとする課題】上述した要求にこたえ
るべく、現在各方面で反射防止技術の検討が精力的に行
われている。その結果、反射防止膜が必要不可欠とされ
ている高融点金属シリサイド(例えばW−Si)、金属
(例えばA1−Si)、シリコン系材料(たとえばPo
ly−Si)上の優れた反射防止材料として、SiC,
SiOx ,Sixy z ,Six y が、本発明者に
よって、見い出されている。
In order to meet the above-mentioned demands, anti-reflection techniques are being actively studied in various fields. As a result, high melting point metal silicide (for example, W-Si), metal (for example, A1-Si), silicon-based material (for example, Po
As excellent anti-reflective materials on ly-Si), SiC,
SiO x, Si x O y N z, Si x N y is, by the present inventors, have been found.

【0009】実際のデバイス作製時において、半導体マ
スクパターンをレジストに転写し、転写されたレジスト
をマスクにして、SiC,SiOx ,Six y z
Six y 等の反射防止膜、および高融点金属シリサイ
ド(例えばW−Si)、金属(例えばA1−Si)、シ
リコン系材料(たとえばPoly−Si)を、エッチン
グすることにより、半導体基板を作製していく。その
際、エッチング時にレジストと被加工層との間に選択比
が取れないと、寸法制御性が劣化する。
[0009] In actual device during manufacturing, transferring a semiconductor mask pattern on the resist, and the transferred resist as a mask, SiC, SiO x, Si x O y N z,
Si x N y such as an antireflection film, and a refractory metal silicide (e.g. W-Si), a metal (e.g., A1-Si), silicon-based material (e.g., Poly-Si), by etching, fabricating a semiconductor substrate I will do it. At that time, if a selective ratio cannot be obtained between the resist and the layer to be processed at the time of etching, dimensional controllability deteriorates.

【0010】このため、選択比が特に問題となる金属層
エッチングにおいては、レジストの厚みを厚くする、も
しくは、被加工層上の反射防止膜上に、さらに、プラズ
マテオス(P−TEOS)等の酸化膜系の無機膜を成膜
して、パターンが転写されたレジストをマスクに、酸化
膜にパターンを転写し、その後レジストを除去し、転写
された酸化膜をマスクに、金属層をエッチングする、い
わゆる無機マスク法を用いている。
For this reason, in the etching of the metal layer where the selectivity is particularly problematic, the thickness of the resist is increased, or the anti-reflection film on the layer to be processed is further provided with a plasma-teos (P-TEOS) or the like. An oxide-based inorganic film is formed, the pattern is transferred to the oxide film using the transferred resist as a mask, the resist is removed, and the metal layer is etched using the transferred oxide film as a mask. That is, a so-called inorganic mask method is used.

【0011】ただし、レジストの膜厚を厚くする際に
は、解像性能の低下が問題となり、また、P−TEOS
等を用いた無機マスク法では、プロセスの複雑さゆえ
に、半導体デバイスの量産技術にはなり得ない。よっ
て、エッチング時にレジストと被加工層との間に選択比
が大きく取れない下地基板上、特に配線材料上への半導
体マスクパターン作製においては、早急に何らかの対策
が必要不可欠である。
However, when the thickness of the resist is increased, there is a problem that the resolution performance is deteriorated.
The inorganic mask method using such methods cannot be used as a mass production technique for semiconductor devices due to the complexity of the process. Therefore, when a semiconductor mask pattern is formed on an undersubstrate, particularly a wiring material, on which a large selectivity cannot be obtained between a resist and a layer to be processed at the time of etching, some measure is urgently indispensable.

【0012】本発明は、上記実情に鑑みてなされ、工程
数を増加させることなく良好に安定したマスクパターン
を形成できるように、反射防止効果と無機マスクとを兼
ね備える無機膜を決定し、これにより良好なマスクパタ
ーンの転写を可能にする微細パターンの製造方法および
半導体装置の製造方法を提供することを目的とする。
The present invention has been made in view of the above circumstances, and determines an inorganic film having both an anti-reflection effect and an inorganic mask so that a mask pattern can be favorably formed without increasing the number of steps. It is an object of the present invention to provide a method for manufacturing a fine pattern and a method for manufacturing a semiconductor device, which can transfer a good mask pattern.

【0013】[0013]

【課題を解決するための手段および作用】上記目的を達
成するために、本発明に係る微細パターンの製造方法
は、下地基板上に、無機膜で構成された反射防止膜を形
成する工程と、この反射防止膜上に、レジスト膜を形成
する工程と、このレジスト膜に対し、i線またはi線よ
りも短波長の光を用いて、露光を行い、レジスト膜にマ
スクパターンを転写する工程と、このマスクパターンが
転写されたレジスト膜をマスクとして、上記反射防止膜
をエッチング加工し、反射防止膜にマスクパターンを転
写する工程と、このマスクパターンが転写された反射防
止膜をマスクとして、下地基板をエッチング加工し、下
地基板にマスクパターンを転写する工程とを有する。
In order to achieve the above object, a method for manufacturing a fine pattern according to the present invention comprises the steps of: forming an antireflection film made of an inorganic film on a base substrate; A step of forming a resist film on the antireflection film, a step of exposing the resist film using i-line or light having a wavelength shorter than the i-line, and transferring a mask pattern to the resist film; Etching the anti-reflection film using the resist film to which the mask pattern has been transferred as a mask, and transferring the mask pattern to the anti-reflection film; and using the anti-reflection film to which the mask pattern has been transferred as a mask. Etching the substrate and transferring the mask pattern to the underlying substrate.

【0014】上記反射防止膜として、本発明において
は、Sixyz :H膜を用いる。Sixyz
H膜とは、水素Hを含有するSixyz 膜である。
上記反射防止膜は、その屈折率(n)が1.7以上2.
4以下であり、その消衰係数(k)が0.85以下であ
り、その膜厚が100〜500nmである。
[0014] As the anti-reflection film, in the present invention, Si x O y N z: H film is used. Si x O y N z:
The H film, an Si x O y N z film containing hydrogen H.
The antireflection film has a refractive index (n) of 1.7 or more.
4 or less, the extinction coefficient (k) is 0.85 or less, a film thickness of Ru 100~500nm der.

【0015】上記下地基板の表面は、配線層あるいはゲ
ート電極などの導電層で構成してある。下地基板の表面
が、配線層あるいはゲート電極などの導電層で構成され
る場合には、そのエッチング加工に際して、塩素系のガ
スを用いることが好ましい。上記レジスト膜は、反射防
止膜をマスクとして、下地基板をエッチング加工する際
には、取り除かれていることが好ましい。なぜなら、レ
ジスト膜が付いたまま下地基板の加工を行うと、エッチ
ング加工時に、レジスト膜に含まれる炭素Cが、下地基
板の加工に悪影響を与えるおそれがあるからである。
The surface of the undersubstrate is formed of a conductive layer such as a wiring layer or a gate electrode. When the surface of the base substrate is formed of a conductive layer such as a wiring layer or a gate electrode, it is preferable to use a chlorine-based gas at the time of etching. The resist film is preferably removed when the underlying substrate is etched using the antireflection film as a mask. This is because if the underlying substrate is processed with the resist film attached, carbon C contained in the resist film may adversely affect the underlying substrate processing during etching.

【0016】本発明に係る微細パターンの製造方法は、
半導体装置の製造過程に好ましく用いることができる。
本発明は、i線(365nm)または、それよりも短波
長の光、例えばi線、KrF,ArFエキシマレーザー
を光源に用いて半導体デバイスを作成する際、反射防止
効果と無機マスクとを兼ね備える無機膜を金属層上に形
成することにより、工程数を増加させることなく、良好
に安定したマスクパターンが形成できる。
The method for producing a fine pattern according to the present invention comprises:
It can be preferably used in a manufacturing process of a semiconductor device.
The present invention relates to an inorganic material having both an anti-reflection effect and an inorganic mask when a semiconductor device is manufactured using i-line (365 nm) or light having a shorter wavelength, for example, i-line, KrF, or ArF excimer laser as a light source. By forming the film on the metal layer, a good and stable mask pattern can be formed without increasing the number of steps.

【0017】反射防止効果と無機マスクとを兼ね備える
無機膜の決定に際しては、以下の手段を用いて行った。 (I)任意に定めたある膜厚のレジストの膜厚に対し、
反射防止膜の光学条件(n,k)を連続的に変化させ
(ただし、反射防止膜の膜厚は固定しておく)た際のレ
ジスト膜内で吸収される吸収光量の等高線を求める。
The following means was used to determine an inorganic film having both an antireflection effect and an inorganic mask. (I) For a resist film of a certain thickness that is arbitrarily determined,
Contour lines of the amount of light absorbed in the resist film when the optical conditions (n, k) of the antireflection film are continuously changed (however, the thickness of the antireflection film is fixed) are obtained.

【0018】(II)上記(I)で求めた各レジスト膜の
膜厚におけるレジスト内部の吸収光量の等高線の結果に
おいて、吸収光量の差が最小になる共通領域を見い出
し、この共通領域により限定される光学条件を、(I)
において定めた反射防止膜の膜厚における光学条件
(n,k)とする。
(II) In the result of the contour line of the amount of absorbed light inside the resist at the thickness of each resist film obtained in the above (I), a common area where the difference in the amount of absorbed light is minimized is found and limited by this common area. Optical conditions, (I)
The optical conditions (n, k) for the film thickness of the antireflection film determined in the above.

【0019】(III)反射防止膜の膜厚を変化させて、
上記(I),(II)の操作を繰り返し行い、反射防止膜
の各膜厚に対する各最適条件の光学定数(n,k)を求
める。 (IV)上記(III)で得られた最適条件の光学定数を有
する実際の材質の反射防止膜を見い出す。
(III) By changing the thickness of the antireflection film,
By repeating the above operations (I) and (II), the optical constants (n, k) of each optimum condition for each film thickness of the antireflection film are obtained. (IV) An anti-reflection film of an actual material having an optical constant of the optimum condition obtained in the above (III) is found.

【0020】次に、図面を参照して、本発明に用いられ
る反射防止膜の包括的条件を決定する上記手段(I)〜
(IV)について、より具体的に説明する。 定在波効果の極大値間、または極小値間のレジスト膜
厚は、レジストの屈折率をnPRとし、露光用光の波長を
λとすると、λ/4nで与えられる(図7参照)。
Next, with reference to the drawings, the above-mentioned means (I) to determine the comprehensive conditions of the antireflection film used in the present invention.
(IV) will be described more specifically. Between the maximum value of the standing wave effect, or resist film thickness between the minimum value, when the refractive index of the resist and n PR, the wavelength of the exposure light is lambda, it is given by lambda / 4n (see FIG. 7).

【0021】レジストと下地基板との間に、反射防止
膜ARLを過程して、その膜厚さdarl ,光学定数をn
arl ,karl とする。 図7におけるある1点(例えば、定在波効果が極大と
なる膜厚)の膜厚に着目すると、反射防止膜の膜厚さd
arl を固定してnarl ,karl を変化させた場合、その
点におけるレジスト膜の吸収光量は変化する。この変化
する軌跡、すなわち吸収光量の等高線を求めると、図8
に示すようになる。
An anti-reflection film ARL is formed between the resist and the underlying substrate, and the film thickness d arl and the optical constant are set to n.
arl and k arl . Focusing on the film thickness at a certain point (for example, the film thickness at which the standing wave effect is maximized) in FIG.
When n arl and k arl are changed while arl is fixed, the amount of light absorbed by the resist film at that point changes. When this changing trajectory, that is, the contour line of the absorbed light amount is obtained, FIG.
It becomes as shown in.

【0022】他の異なったレジスト膜厚dPRについ
て、少なくとも定在波効果を極大もしくは極小にする膜
厚を基準にして、λ/8nPR間隔で4ケ所に対して、
を繰り返し行うと、図8に対応した図9〜図11が得ら
れる(図8〜図11は、反射防止膜厚を20nmに規定
し、レジスト膜厚を各々985nm、1000nm、1
018nm、1035nmとした結果を示す)。以上
は、上記手段(I)に該当する。
With respect to other different resist film thicknesses d PR , at least at four positions at λ / 8n PR intervals on the basis of at least the film thickness that maximizes or minimizes the standing wave effect.
9 to 11 corresponding to FIG. 8 are obtained (FIGS. 8 to 11 define the antireflection film thickness to be 20 nm and the resist film thicknesses to be 985 nm, 1000 nm, 1
018 nm and 1035 nm are shown). The above corresponds to the above means (I).

【0023】図8〜図11の各々グラフの共通領域
は、反射防止膜の特定の膜厚について、レジスト膜厚が
変化しても、レジスト膜内での吸収光量が変化しない領
域を示している。すなわち、上記共通領域は、定在波効
果を最小にする、反射防止効果が最も高い領域である。
よって、かかる共通領域を見い出す。共通領域を見い出
すのは、例えば簡便には、各図(グラフ)を重ね合わせ
て、共通領域をとることにより、行うことができる(も
ちろん、コンピュータでの共通領域の検索により行って
もよい)。これは上記手段(II)に該当する。
The common area of each of the graphs in FIGS. 8 to 11 shows an area where the amount of absorbed light in the resist film does not change even if the resist film thickness changes for a specific antireflection film thickness. . That is, the common area is an area where the standing wave effect is minimized and the antireflection effect is the highest.
Therefore, such a common area is found. The common area can be found, for example, simply by superimposing the respective figures (graphs) and taking the common area (of course, the common area may be searched by a computer). This corresponds to the above-mentioned means (II).

【0024】次に、反射防止膜の膜厚dを連続的に変
化させて、上記を繰り返す。たとえば最初のステ
ップのまでは、d=20nmとして操作を行ったとす
ると、dを変えて、上記を繰り返し行う。これにより、
定在波効果を最小にするような反射防止膜の膜厚
arl 、光学定数narl ,karl の条件を特定できる。
これは上記手段(III)に該当する。
Next, the above is repeated while the thickness d of the antireflection film is continuously changed. For example, assuming that the operation is performed with d = 20 nm up to the first step, the above is repeated while changing d. This allows
The conditions of the thickness d arl of the antireflection film and the optical constants n arl and k arl that minimize the standing wave effect can be specified.
This corresponds to the above means (III).

【0025】上記で特定した反射防止膜の満たすべ
き条件(膜厚、光学定数)を満足するような膜の種類
を、露光用光における各膜種の光学定数を測定すること
により、見い出す。これは手段(IV)に該当する。上記
手法は、全ての波長、全ての下地基板に対して、原理的
に適用可能である。
The type of film that satisfies the conditions (film thickness, optical constant) to be satisfied by the antireflection film specified above is found by measuring the optical constant of each film type in exposure light. This corresponds to the means (IV). The above method is applicable in principle to all wavelengths and all underlying substrates.

【0026】上記(I)〜(IV)の手段で、本発明に係
る方法で好適に用いることができる無機膜を兼ねた反射
防止膜について検討したところ、Si X y z :H膜
特に適切であることが判明した。すなわち、下地基板
の表面に、単結晶シリコン、多結晶シリコン、アモルフ
ァスシリコン、ドープドポリシリコン等のシリコン系
膜、ダングステン、タングステンシリサイド等の高融点
金属シリサイド系膜、アルミニウム、アルミシリコン、
アルミシリコンカッパ、アルミカッパ等の金属配線膜が
形成してある場合には、その上に成膜される無機膜を兼
ねた反射防止膜としては、n=1.7〜2.4、k≦
0.85(好ましくは0.1≦k≦0.6)の光学定数
を有するは無機膜、特に、Si X y z :H膜を、1
00〜500nmの膜厚で用いることが好ましいことが
判明した。
[0026] was examined for the above (I) by means of ~ (IV), an anti-reflection film which also serves as an inorganic film which can be suitably used in the process according to the present invention, Si X O y N z: H film
There have been found to be particularly suitable. That is, a silicon-based film such as single-crystal silicon, polycrystalline silicon, amorphous silicon, or doped polysilicon, a high-melting-point metal silicide-based film such as dungsten or tungsten silicide, aluminum, aluminum silicon,
When a metal wiring film such as aluminum silicon kappa or aluminum kappa is formed, the antireflection film serving also as an inorganic film formed thereon has n = 1.7 to 2.4, k ≦
0.85 (preferably 0.1 ≦ k ≦ 0.6) the inorganic film has optical constants of a, in particular, Si X O y N z: H film, 1
It turned out that it is preferable to use with a film thickness of 00 to 500 nm.

【0027】kを上記範囲とするのは、kが0.85よ
り越えると、反射防止膜としての膜厚を薄くできるが、
無機膜としての機能が低下する傾向にあり、kが余りに
小さいと、反射防止膜としての機能を維持するためには
膜厚が厚くなりすぎる傾向にあるからである。このこと
は、次の関係式から導かれる。すなわち、波長をλと
し、膜の吸収係数をα(=4πk/λ)、膜の屈折率の
虚数部をkとすると、膜の透過率Tは、入射光量をI
0 、透過光をIとすると、T(=I/I0 )=exp
(−αd)で与えられる。
The reason for setting k to the above range is that when k exceeds 0.85, the thickness of the antireflection film can be reduced.
This is because the function as an inorganic film tends to decrease, and if k is too small, the film thickness tends to be too large in order to maintain the function as an antireflection film. This is derived from the following relational expression. That is, assuming that the wavelength is λ, the absorption coefficient of the film is α (= 4πk / λ), and the imaginary part of the refractive index of the film is k, the transmittance T of the film becomes
0 , if the transmitted light is I, T (= I / I 0 ) = exp
(−αd).

【0028】また、膜の吸収率Aは、A=I0 −I=I
0 −I0 T=I0 ×(1−T)で与えられる。すなわ
ち、膜の吸収率Aは透過率Tが小さいほど大きく、そし
て透過率Tは、吸収係数α(=4πk/λ)が大きくか
つ膜厚dが厚いほど小さくなる。
The absorption rate A of the film is expressed as follows: A = I 0 -I = I
0− I 0 T = I 0 × (1−T) That is, the absorbance A of the film increases as the transmittance T decreases, and the transmittance T decreases as the absorption coefficient α (= 4πk / λ) increases and the film thickness d increases.

【0029】これらSi X y z :H膜は、各種CV
D法により容易に成膜することができる。たとえば、こ
れら膜は、平行平板型プラズマCVD法、ECRプラズ
マCVD法、もしくはバイアスECRプラズマCVD法
を利用し、マイクロ波を用いて、シラン系ガスと酸素お
よび窒素を含むガスと(たとえばSiH4 +O2 +N
2 )の混合ガス、またはシラン系ガスと窒素を含むガス
(たとえばSiH4 +N2 O)の混合ガスとを用いて成
膜することができる。また、その際に、バッファガスと
して、アルゴンArガスなどを用いることができる。
[0029] These Si X O y N z: H film, various CV
The film can be easily formed by the method D. For example, these films are formed by using a parallel plate plasma CVD method, an ECR plasma CVD method, or a bias ECR plasma CVD method, and using a microwave and a silane-based gas and a gas containing oxygen and nitrogen (for example, SiH 4 + O 2 + N
The film can be formed using a mixed gas of 2 ) or a mixed gas of a silane-based gas and a gas containing nitrogen (eg, SiH 4 + N 2 O). In this case, an argon Ar gas or the like can be used as a buffer gas.

【0030】たとえば、Si X y z :H膜は、図1
2(A),(B)に示すように、製膜時の条件、特にシ
ラン系ガスの流量比に応じて、例えば波長248nmの
波長帯において、屈折率の実数部nは、ほぼ2.1程度
で一定値をとり、屈折率の虚数部kは、シラン系ガスの
流量比を変化させることにより、任意にコントロールで
きる。そのため、特定の下地基板のための反射防止膜と
して要求される光学定数の値を持つ反射防止膜を容易に
作ることができる。
For example, the Si X O y N z : H film is shown in FIG.
As shown in FIGS. 2 (A) and 2 (B), the real part n of the refractive index is approximately 2.1 in a wavelength band of, for example, 248 nm, depending on the conditions at the time of film formation, particularly the flow rate ratio of the silane-based gas. The imaginary part k of the refractive index can be arbitrarily controlled by changing the flow ratio of the silane-based gas. Therefore, an antireflection film having an optical constant value required as an antireflection film for a specific base substrate can be easily formed.

【0031】たとえば、W−Si基板を下地基板として
用いる場合には、n=2.12、k=0.54、d=2
9nmの反射防止膜が最適であり、定在波効果を最小限
にすることができる。また、A1−Si基板を下地基板
として用いる場合には、n=2.09、k=0.87、
d=24nmの反射防止膜が最適であり、定在波効果を
最小限にすることができる。Si基板を下地基板として
用いる場合には、n=2.0、k=0.55、d=32
nmの反射防止膜が最適であり、定在波効果を最小限に
することができる。
For example, when a W-Si substrate is used as a base substrate, n = 2.12, k = 0.54, d = 2
A 9 nm anti-reflection coating is optimal and can minimize standing wave effects. When an A1-Si substrate is used as a base substrate, n = 2.09, k = 0.87,
An antireflection film with d = 24 nm is optimal and can minimize the standing wave effect. When a Si substrate is used as a base substrate, n = 2.0, k = 0.55, and d = 32.
An anti-reflection coating of nm is optimal and can minimize standing wave effects.

【0032】これらの条件のSix y z :H膜を、
無機膜兼反射防止膜として、それぞれタングステンシリ
サイド上、アルミシリコン、単結晶シリコン上に成膜
し、それらの定在波効果を、それらを用いない場合に比
較して示した結果を、図13、図14、図15に示す。
これら図13〜15に示すように、適切な条件のSix
y z :H膜を、無機膜兼反射防止膜として用いるこ
とで、定在波効果を抑制することができ、反射防止効果
を達成することができる。
The Si x O y N z of the conditions: H film,
As an inorganic film and an antireflection film, they were formed on tungsten silicide, aluminum silicon, and single crystal silicon, respectively. These are shown in FIGS.
As shown in FIGS. 13 to 15, Si x under appropriate conditions
By using the O y N z : H film as the inorganic film and the anti-reflection film, the standing wave effect can be suppressed, and the anti-reflection effect can be achieved.

【0033】また、このSi X y z :H膜は、レジ
ストをマスクとして、CF4 、CHF3 、C26 、C
48 、SF6 、S22 、NF3 系ガスをエッチャン
トとし、Arを添加してイオン性を高めたRIEによ
り、容易にエッチングすることができる。そのRIE
は、約2Pa程度の圧力下で、10〜100W程度のパ
ワーをかけて行うことが好ましい。また、RIE時のガ
スの流量は、特に限定されないが、5〜70SCCMで
あることが好ましい。
Further, the Si X O y N z: H film, a resist as a mask, CF 4, CHF 3, C 2 F 6, C
4 F 8, SF 6, S 2 F 2, the NF 3 series gas as an etchant, by the addition of Ar RIE with increased ionic, can be easily etched. That RIE
Is preferably performed under a pressure of about 2 Pa and applying a power of about 10 to 100 W. The flow rate of the gas during RIE is not particularly limited, but is preferably 5 to 70 SCCM.

【0034】このように、SiX y z :H膜は、酸
化膜と同様のフッソ系ガスにより容易にエッチングする
ことができるので、SiX y z :H膜を厚膜化(1
00〜500nm)して、金属層上に形成することによ
り、反射防止膜と無機マスクとを同時に兼ね備えること
ができる。しかも、SiX y z :H膜は、酸化シリ
コン膜に比較し、下地基板のエッチング加工時のエッチ
レートが、約1/3であり、無機膜として同じ機能を酸
化シリコン膜で構成させる場合に比較し、膜厚を約1/
3にすることができる。
[0034] Thus, Si X O y N z: H film, it is possible to easily etched by fluorine-based gas similar to the oxide film, Si X O y N z: H film thicker ( 1
(500 to 500 nm) and formed on the metal layer, the antireflection film and the inorganic mask can be simultaneously provided. Moreover, Si X O y N z: H film, as compared to the silicon oxide film, etching rate during etching of the underlying substrate is from about 1/3 to configure the same functionality in the silicon oxide film as the inorganic film Film thickness is about 1 /
It can be 3.

【0035】本発明では、i線、またはそれよりも短波
長の光、例えばi線、KrF,ArFエキシマレーザー
を用いて、段差構造を有する金属配線上の半導体マスク
パターンを形成において、反射防止効果と無機マスク機
能とを兼ね備える無機膜兼反射防止膜を、下地基板上に
形成することにより、工程数を増加させることなく良好
に安定したマスクパターンが形成することができる。
According to the present invention, an anti-reflection effect can be obtained by forming a semiconductor mask pattern on a metal wiring having a step structure using i-line or light having a shorter wavelength, for example, i-line, KrF or ArF excimer laser. By forming an inorganic film and an anti-reflection film having both an inorganic mask function and an inorganic mask function on a base substrate, a good and stable mask pattern can be formed without increasing the number of steps.

【0036】[0036]

【実施例】以下本発明の実施例について、具体的に説明
する。ただし、本発明は以下の実施例により限定される
ものではなく、本発明の範囲内で種々に改変することが
できる。
DESCRIPTION OF THE PREFERRED EMBODIMENTS Embodiments of the present invention will be specifically described below. However, the present invention is not limited by the following examples, and can be variously modified within the scope of the present invention.

【0037】実施例1 この実施例は、本発明をi線(365nm)またはそれ
よりも短波長の光、たとえばi線、KrF,ArFエキ
シマレーザーを用いて、段差構造を有する金属配線(導
電層)上の半導体マスクパターン形成において、工程数
を増加させることなく良好に安定したマスクパターンを
形成するために、反射防止効果と無機マスクとを兼ね備
える無機膜として、本発明者により見い出されたSix
y z:H膜を金属層上に用いた例である。
Embodiment 1 In this embodiment, a metal wiring having a stepped structure (conductive layer) is formed by using an i-line (365 nm) or light having a wavelength shorter than that, for example, i-line, KrF or ArF excimer laser. In the above semiconductor mask pattern formation, in order to form a good and stable mask pattern without increasing the number of steps, Si x found by the present inventors as an inorganic film having both an antireflection effect and an inorganic mask.
This is an example in which an O y N z : H film is used on a metal layer.

【0038】本実施例の製造方法は、図16(A)〜
(D)および図17(E),(F)に示すように、たと
えばAl,Al−Si,Al−Si−Cu,Al−Cu
等の金属配線材料層上に、半導体マスクパターンを形成
する半導体製造工程において好適に用いることができ
る。ただし、本実施例における考え方は、当然、基板種
類やレジスト種類や高反射層の種類を問わずに好適に適
用することができる。
The manufacturing method of this embodiment is similar to that shown in FIGS.
As shown in (D) and FIGS. 17 (E) and (F), for example, Al, Al-Si, Al-Si-Cu, Al-Cu
And the like can be suitably used in a semiconductor manufacturing process for forming a semiconductor mask pattern on a metal wiring material layer. However, the concept in this embodiment can be suitably applied irrespective of the type of the substrate, the type of the resist, and the type of the high reflection layer.

【0039】図16,17に示す実施例について詳細に
説明する。本実施例では、まず図16(A)に示すよう
に、半導体基板2の表面に、ゲート絶縁層を介して、ポ
リシリコン層4およびタングステンシリサイド6から成
るポリサイド構造のゲート電極8を形成する。その上
に、酸化シリコン膜等の層間絶縁膜10を成膜する。層
間絶縁膜10の膜厚は、特に限定されないが、たとえば
300〜600nm、好ましくは500nm程度であ
る。
The embodiment shown in FIGS. 16 and 17 will be described in detail. In this embodiment, first, as shown in FIG. 16A, a gate electrode 8 having a polycide structure composed of a polysilicon layer 4 and a tungsten silicide 6 is formed on the surface of a semiconductor substrate 2 via a gate insulating layer. An interlayer insulating film 10 such as a silicon oxide film is formed thereon. The thickness of the interlayer insulating film 10 is not particularly limited, but is, for example, 300 to 600 nm, and preferably about 500 nm.

【0040】次に、この層間絶縁膜10に、微細パター
ンのコンタクトホール12a,12bを形成する。この
微細パターンのコンタクトホール12a,12bは、本
発明者によって開発された方法により形成されるが、本
発明とは直接の関係がないので、その詳細な説明は省略
するが、その方法を簡単に述べる。
Next, fine pattern contact holes 12a and 12b are formed in the interlayer insulating film 10. The contact holes 12a and 12b of the fine pattern are formed by the method developed by the present inventor, but have no direct relation to the present invention, so that detailed description thereof will be omitted, but the method will be simplified. State.

【0041】すなわち、層間絶縁膜10において、タン
グステンシリサイド6の上部に位置する領域と、半導体
基板2の表面に形成される不純物拡散層の上部に位置す
る領域とでは、フォトマスクを用いて露光を行う際に、
下地基板(ポリシリコン膜4、タングステンシリサイド
膜6および層間絶縁膜10が形成された半導体基板2)
の光学条件が相違する。光学条件が相違する領域に、一
回の露光で、微細パターンを形成することは従来では困
難であった。
That is, in the interlayer insulating film 10, a region located above the tungsten silicide 6 and a region located above the impurity diffusion layer formed on the surface of the semiconductor substrate 2 are exposed using a photomask. When doing it,
Base substrate (semiconductor substrate 2 on which polysilicon film 4, tungsten silicide film 6, and interlayer insulating film 10 are formed)
Are different in optical conditions. Conventionally, it has been difficult to form a fine pattern in a region having different optical conditions by a single exposure.

【0042】そこで、層間絶縁膜10の上に、まず、高
吸収膜を全面に成膜する。高吸収膜としては、n=0.
5〜7、k=1.5〜3.5である単結晶シリコン、多
結晶シリコン、非晶質シリコン、ドープトシリコンなど
の膜厚9.5〜40nmのシリコン系材料を用いること
ができる。また、タングステンまたはチタンなどの高融
点金属または高融点金属の化合物、特に、チタンナイト
ライド、チタンオキシナイトライドなどのチタン系材料
も用いることができる。このような高融点金属または高
融点金属の化合物は、露光用光に対して、n=0.5〜
3.0、k=0.5〜3.0の光学定数を有する。ま
た、この高融点金属または高融点金属の化合物で構成さ
れる高吸収膜の膜厚は、15〜120nmで用いること
が好ましい。また、タングステンシリサイドなどの高融
点金属シリサイド系材料も用いることができる。この高
融点金属シリサイド系材料は、露光用光に対して、n=
0.5〜4.5、k=1.5〜3.5の光学定数を有す
る。また、この高融点金属シリサイドで構成される高吸
収膜の膜厚は、8〜30nmで用いることが好ましい。
Therefore, first, a high absorption film is formed on the entire surface of the interlayer insulating film 10. As a high absorption film, n = 0.
A silicon-based material having a thickness of 9.5 to 40 nm, such as single crystal silicon, polycrystalline silicon, amorphous silicon, or doped silicon having 5 to 7 and k = 1.5 to 3.5 can be used. Further, a high melting point metal such as tungsten or titanium or a compound of a high melting point metal, in particular, a titanium-based material such as titanium nitride or titanium oxynitride can also be used. Such a refractory metal or a compound of a refractory metal has n = 0.5 to
It has an optical constant of 3.0, k = 0.5 to 3.0. The thickness of the high-absorption film made of the high-melting-point metal or the compound of the high-melting-point metal is preferably 15 to 120 nm. Further, a refractory metal silicide-based material such as tungsten silicide can be used. This refractory metal silicide-based material has n =
It has an optical constant of 0.5 to 4.5 and k = 1.5 to 3.5. Further, it is preferable that the thickness of the high absorption film composed of the high melting point metal silicide is 8 to 30 nm.

【0043】このような高吸収膜を形成することで、そ
の下地基板を、光学的に単一の基板と同様に扱うことが
可能になる。その後、高吸収膜の表面に、レジスト膜を
反射防止膜を介して成膜する。その後、フォトマスクを
用いて、レジスト膜をパターン加工すれば、定在波効果
を最小にして、レジスト膜を高精度で微細パターンに加
工することができる。その後、そのレジスト膜で層間絶
縁膜10をエッチング加工することで、微細パターンの
コンタクトホール12a,12bを形成することができ
る。
By forming such a high absorption film, the underlying substrate can be optically treated as a single substrate. Thereafter, a resist film is formed on the surface of the high absorption film via an antireflection film. Thereafter, if the resist film is patterned using a photomask, the standing wave effect can be minimized, and the resist film can be processed into a fine pattern with high precision. Thereafter, by etching the interlayer insulating film 10 with the resist film, the contact holes 12a and 12b having a fine pattern can be formed.

【0044】次に、本実施例では、各コンタクトホール
12a,12b内に、選択成長法などを用いて、タング
ステンなどの埋め込みプラグ層14a,14bを形成す
る。次に、埋め込みプラグ層14a,14bが形成され
た層間絶縁層10の上に、チタンナイトライド(Ti
N)などの下地層16を成膜する。下地層16は、たと
えばスパッタリングまたはCVD法により成膜され、そ
の膜厚は、特に限定されないが、50〜150nm、好
ましくは100nm程度である。
Next, in this embodiment, buried plug layers 14a and 14b of tungsten or the like are formed in the contact holes 12a and 12b by using a selective growth method or the like. Next, titanium nitride (Ti) is formed on the interlayer insulating layer 10 on which the buried plug layers 14a and 14b are formed.
N) or the like is formed. The underlayer 16 is formed, for example, by sputtering or CVD, and its thickness is not particularly limited, but is about 50 to 150 nm, preferably about 100 nm.

【0045】次に、下地層16の上に、導電層としての
Al,Al−Si,Al−Si−Cu,Al−Cu等の
金属配線層18を成膜する。この金属配線18は、たと
えばスパッタリング法あるいはCVD法などで成膜さ
れ、その膜厚が、好ましくは、300〜500nm、さ
らに好ましくは約400nm程度である。
Next, a metal wiring layer 18 of Al, Al-Si, Al-Si-Cu, Al-Cu or the like as a conductive layer is formed on the underlayer 16. The metal wiring 18 is formed by, for example, a sputtering method or a CVD method and has a thickness of preferably about 300 to 500 nm, and more preferably about 400 nm.

【0046】本実施例の方法は、この金属配線層18を
所定の微細パターンに加工するための方法であり、以下
の方法が採用される。すなわち、図16(A)に示すよ
うに、金属配線層18の上に、本実施例に係る無機膜を
兼ねた反射防止膜20として、SiX y z 膜(水素
Hを含有;以下、「SiX y z :H膜」とも称す
る)を成膜する。この反射防止膜20は、特に限定され
ないが、その屈折率(n)が1.7以上2.4以下であ
り、その消衰係数(k)が0.85以下である。この反
射防止膜の膜厚は、特に限定されないが、好ましくは1
00〜500nm、さらに好ましくは200nm程度で
ある。
The method of this embodiment is a method for processing the metal wiring layer 18 into a predetermined fine pattern, and the following method is employed. That is, as shown in FIG. 16 (A), on the metal wiring layer 18, as an anti-reflection film 20 which also serves as an inorganic film according to the present embodiment, it contains a Si X O y N z film (hydrogen H; or less ,: also referred to as "Si X O y N z H film") forming a. Although not particularly limited, the antireflection film 20 has a refractive index (n) of 1.7 or more and 2.4 or less and an extinction coefficient (k) of 0.85 or less. The thickness of the antireflection film is not particularly limited, but is preferably 1
It is from about 00 to 500 nm, and more preferably about 200 nm.

【0047】SiX y z :H膜は、平行平板型プラ
ズマCVD法、ECRプラズマCVD法、もしくはバイ
アスECRプラズマCVD法を利用し、マイクロ波を用
いて、シラン系ガスと酸素および窒素を含むガスと(た
とえばSiH4 +O2 +N2)の混合ガス、またはシラ
ン系ガスと窒素を含むガス(たとえばSiH4 +N
2O)の混合ガスとを用いて成膜することができる。
[0047] Si X O y N z: H film, parallel plate type plasma CVD method, ECR plasma CVD method, or using a bias ECR plasma CVD method, a microwave, a silane gas and oxygen and nitrogen Mixed gas of a gas containing SiH 4 + O 2 + N 2 or a gas containing a silane-based gas and nitrogen (eg, SiH 4 + N 2 )
A film can be formed using a mixed gas of 2O).

【0048】このSix y z :H膜は、成膜する際
のガス流量比をコントロールすることにより、たとえば
図12(A),(B)に示すように、波長248nmの
波長帯において、屈折率の実数部nは、ほぼ2.1程度
で一定値をとり、屈折率の虚数部kは、シリコンを含ん
だガスの流量比を変化させることにより、任意にコント
ロールすることができる。
[0048] The Si x O y N z: H film, by controlling the gas flow rate ratio in forming, for example, as shown in FIG. 12 (A), (B) , in the wavelength band of wavelength 248nm The real part n of the refractive index has a constant value of about 2.1, and the imaginary part k of the refractive index can be arbitrarily controlled by changing the flow ratio of the gas containing silicon.

【0049】反射防止膜20の、さまざまな膜厚に応じ
た最適屈折率条件は、発明が解決しようとする課題の項
で前述したように、下地基板の光学条件に応じて、定在
波効果を最小にするように、一義に定めることができ
る。下地基板の条件に対し、Six y z :H膜は、
シリコンを含んだガスの流量比を変化させることによ
り、屈折率の虚数部kをコントロールして、さまざまな
下地基板の種類に対して合わせ込むことができる。
The optimum refractive index condition for the antireflection film 20 according to various film thicknesses is determined by the standing wave effect according to the optical condition of the underlying substrate as described in the section of the problem to be solved by the invention. Can be uniquely determined so as to minimize. To conditions of the base substrate, Si x O y N z: H film,
By changing the flow ratio of the gas containing silicon, the imaginary part k of the refractive index can be controlled and adjusted to various types of base substrates.

【0050】たとえば、Al−Siの金属配線層18を
加工する場合には、その上に成膜される無機膜兼反射防
止膜20としては、n=2.08およびk=0.85の
光学定数を有する膜厚d=25nmのSix y z
H膜を用いる。Al−Si上に、無機膜兼反射防止膜と
して、Six y z :H膜を用いた場合の反射防止効
果(定在波効果)を図18に示す。図18に示すよう
に、無機膜兼反射防止膜20を設けないで、Al−Si
上に、直接レジスト膜(XP8843)を成膜した場合
の定在波効果(曲線A)に比較し、n=2.08および
k=0.85の光学定数を有する膜厚d=25nmのS
x y z :H膜を介して、レジスト膜(XP884
3)を成膜した場合の定在波効果(曲線B)は、極力防
止され、そのバラツキは、±0.48%以下に抑えるこ
とができる。すなわち、n=2.08およびk=0.8
5の光学定数を有する膜厚d=25nmのSix y
z :H膜の有効な反射防止効果が確認された。
For example, when the Al—Si metal wiring layer 18 is processed, the inorganic / anti-reflection film 20 formed thereon is formed of an optical film having n = 2.08 and k = 0.85. of thickness d = 25 nm with a constant Si x O y N z:
An H film is used. On al-Si, as the inorganic film and the antireflection film, Si x O y N z: antireflection effect of using H film (standing wave effect) shown in FIG. 18. As shown in FIG. 18, without providing the inorganic film and the anti-reflection film 20, Al-Si
As compared with the standing wave effect (curve A) when a resist film (XP8843) is directly formed on the upper surface, S = 25 nm in film thickness having optical constants of n = 2.08 and k = 0.85
i x O y N z : A resist film (XP884)
The standing wave effect (curve B) when film 3) is formed is prevented as much as possible, and its variation can be suppressed to ± 0.48% or less. That is, n = 2.08 and k = 0.8
Of thickness d = 25 nm with a 5 optical constants Si x O y N
z : An effective antireflection effect of the H film was confirmed.

【0051】なお、図18に示す実験で用いたSix
y z :H膜は、SiH4 ガスとN2 Oガスとを用いて
CVD法により成膜され、その流量比は、SiH4 /N
2 Oが0.83であった。また、露光用光としては、K
rFを用いた。レジストのnPRおよびkPRは、それぞれ
1.801および0.011であった。また、Al−S
i基板のnsub およびksub は、それぞれ0.089お
よび2.354であった。
The Si x O used in the experiment shown in FIG.
y N z: H film was formed by CVD method using a SiH 4 gas and N 2 O gas, the flow ratio, SiH 4 / N
2 O was 0.83. Further, as the exposure light, K
rF was used. The n PR and k PR of the resist were 1.801 and 0.011, respectively. Also, Al-S
The n sub and k sub of the i-substrate were 0.089 and 2.354, respectively.

【0052】反射防止膜としての同様の効果は、膜の吸
収量を支配している屈折率の虚数部kを小さくし、小さ
くしたぶん膜厚を増加させることにより得られることが
できる。図19には、Al−Si基板上に、無機膜兼反
射防止膜として、n=2.04、k=0.225のSi
x y z :H膜を、d=205nmの膜厚で成膜し、
該膜上にレジスト(XP8843)を塗布した際の定在
波効果を、曲線B’で示す。なお、無機膜兼反射防止膜
を設けないで、直接レジスト膜を成膜した場合の定在波
効果を曲線A’で示す。図に示すように、無機膜兼反射
防止膜を用いることで、ほぼ完全に定在波効果は打ち消
されている。
The same effect as the anti-reflection film can be obtained by reducing the imaginary part k of the refractive index which governs the absorption amount of the film, and increasing the film thickness accordingly. FIG. 19 shows that an inorganic film and an antireflection film of n = 2.04 and k = 0.225 are formed on an Al—Si substrate.
x O y N z: H film was deposited at a film thickness of d = 205 nm,
The standing wave effect when a resist (XP8843) is applied on the film is shown by a curve B ′. A standing wave effect when a resist film is directly formed without providing an inorganic film and an antireflection film is shown by a curve A ′. As shown in the figure, by using the inorganic film and the antireflection film, the standing wave effect is almost completely canceled.

【0053】図19に示す実験で用いたSix
y z :H膜は、SiH4 ガスとN2 Oガスとを用いて
CVD法により成膜され、その流量比は、SiH4 /N
2 Oが0.75であった。また、露光用光としては、K
rF(波長λ=248nm)を用いた。レジストのnPR
およびkPRは、それぞれ1.801および0.011で
あった。また、Al−Si基板のnsub およびk
sub は、それぞれ0.089および2.354であっ
た。
The Si x O used in the experiment shown in FIG.
y N z: H film was formed by CVD method using a SiH 4 gas and N 2 O gas, the flow ratio, SiH 4 / N
2 O was 0.75. Further, as the exposure light, K
rF (wavelength λ = 248 nm) was used. Resist the n PR
And k PR were 1.801 and 0.011, respectively. Further, n sub and k of the Al—Si substrate
sub was 0.089 and 2.354, respectively.

【0054】図18および図19に示す曲線B,B’と
なるような条件で、無機膜兼反射防止膜20を、図16
(A)に示すように、金属配線層18の上に成膜した
後、この上にレジスト膜24を成膜する。レジスト膜2
4としては、特に限定されないが、たとえばnPRおよび
PRが、それぞれ1.802および0.0107である
XP8843、あるいはその他のレジスト膜が用いられ
る。このレジスト膜24は、たとえばスピンコート法に
より成膜される。このレジスト膜24の膜厚は、特に限
定されないが、好ましくは600〜1200nm、さら
に好ましくは1000nm程度である。このレジスト膜
24が成膜される下地層部分に、多少の段差が形成され
ていたとしても、前述したように、無機膜兼反射防止膜
20が、定在波効果を最小限にしているので、形成すべ
きパターン寸法の誤差は、最小限に抑えることができ
る。
Under the condition that the curves B and B ′ shown in FIG. 18 and FIG.
As shown in FIG. 1A, after a film is formed on the metal wiring layer 18, a resist film 24 is formed thereon. Resist film 2
The material 4 is not particularly limited. For example, XP8843 in which n PR and k PR are 1.802 and 0.0107, respectively, or another resist film is used. This resist film 24 is formed by, for example, a spin coating method. The thickness of the resist film 24 is not particularly limited, but is preferably 600 to 1200 nm, and more preferably about 1000 nm. As described above, since the inorganic film and the antireflection film 20 minimize the standing wave effect, even if a slight step is formed in the underlayer where the resist film 24 is formed, In addition, an error in a pattern dimension to be formed can be minimized.

【0055】次に、本実施例では、レジスト膜24に対
し、微細パターンを形成するために、i線またはそれ以
下の短波長を用いた露光装置により、露光工程を行い、
図16(B)に示すように、レジスト膜24に微細パタ
ーン26を形成する。その際に、本実施例では、加工す
べき金属配線層18の上に、無機膜兼反射防止膜20を
形成し、その上にレジスト膜24を成膜してあるので、
定在波効果を最小限に抑制した状態で、レジスト膜24
の微細加工が可能である。したがって、レジスト膜24
に対し、高精度の微細パターン26を、良好に、しかも
安定して形成することができる。
Next, in this embodiment, in order to form a fine pattern on the resist film 24, an exposure process is performed by an exposure apparatus using an i-line or a short wavelength shorter than the i-line.
As shown in FIG. 16B, a fine pattern 26 is formed on the resist film 24. At this time, in this embodiment, the inorganic film / antireflection film 20 is formed on the metal wiring layer 18 to be processed, and the resist film 24 is formed thereon.
With the standing wave effect minimized, the resist film 24
Fine processing is possible. Therefore, the resist film 24
On the other hand, the fine pattern 26 with high precision can be formed favorably and stably.

【0056】その後、微細パターン26に加工されたレ
ジスト膜24をマスクとして、図16(C)に示すよう
に、Six y z :H膜で構成される無機膜兼反射防
止膜20を、所定の微細パターン28にエッチング加工
する。エッチング加工に際しては、CHF3 (50〜1
00SCCM)+O2 (30SCCM)などのフッ素系ガスを用
い、2Pa程度の圧力下で、100〜1000W程度の
パワーをかけ、イオン性を高めたRIE(リアクティブ
イオンエッチング)を行う。
[0056] Then, as a mask a resist film 24 is processed into a fine pattern 26, as shown in FIG. 16 (C), Si x O y N z: an inorganic film and an antireflection film 20 formed of H film Then, a predetermined fine pattern 28 is etched. When etching, CHF 3 (50-1
Using a fluorine-based gas such as (00 SCCM) + O 2 (30 SCCM), RIE (reactive ion etching) with enhanced ionicity is performed under a pressure of about 2 Pa and a power of about 100 to 1000 W.

【0057】次に、図16(D)に示すように、レジス
ト膜24を、酸素プラズマなどで除去する。なお、本発
明では、このレジスト膜24は、必ずしも除去すること
なく、次工程のエッチング工程を行っても良いが、この
レジスト膜24を除去した方が、次工程でのエッチング
によるパターン加工が良好になる傾向にある。なぜな
ら、レジスト膜が付いたまま下地基板の加工を行うと、
エッチング加工時に、レジスト膜に含まれる炭素Cが、
下地基板の加工に悪影響を与えるおそれがあるからであ
る。
Next, as shown in FIG. 16D, the resist film 24 is removed by oxygen plasma or the like. In the present invention, the resist film 24 may not necessarily be removed but may be subjected to an etching step in the next step. However, the removal of the resist film 24 provides better pattern processing by etching in the next step. Tends to be. Because, when processing the underlying substrate with the resist film attached,
During the etching process, carbon C contained in the resist film is
This is because there is a possibility that the processing of the underlying substrate may be adversely affected.

【0058】次に、本実施例では、微細パターン28が
形成された無機膜兼反射防止膜20をマスクとして、少
なくとも塩素系を含むガスを用いて、図17(E)に示
すように、反射防止膜20および金属配線層18および
下地層16を同時にエッチング加工し、金属配線層18
およびその下地層16に、半導体マスクパターンを転写
し、微細パターン30を形成する。塩素系ガスに対する
Six y z :H膜(反射防止膜20)のエッチング
レートは、約300nm程度であり、金属配線層18の
エッチングレートは、約700nm程度である。金属配
線層18の厚みは、400nm程度であるので、170
nm程度以上の厚みのSix y z :H膜を無機膜兼
反射防止膜20として用いることにより、金属配線層1
8に、Six y z :H膜で構成される無機マスクに
より、半導体マスクパターンが転写され、微細パターン
30を安定して良好に形成することができる。無機膜と
しての反射防止膜20は、微細パターン30の形成と同
時に除去される。
Next, in this embodiment, as shown in FIG. 17 (E), at least a chlorine-containing gas is used as a mask with the inorganic / anti-reflection film 20 having the fine pattern 28 formed thereon as a mask. The protection film 20, the metal wiring layer 18, and the underlayer 16 are simultaneously etched to form the metal wiring layer 18.
The semiconductor mask pattern is transferred to the underlayer 16 to form a fine pattern 30. Chlorinated Si x for gas O y N z: etching rate of the H film (antireflection film 20) is approximately 300 nm, the etching rate of the metal wiring layer 18 is about 700 nm. Since the thickness of the metal wiring layer 18 is about 400 nm,
of nm order or more thick Si x O y N z: By using the H film as the inorganic film and the antireflection film 20, metal wiring layers 1
To 8, Si x O y N z : by H film comprised an inorganic mask, is transferred semiconductor mask pattern can be satisfactorily formed by the fine pattern 30 stably. The antireflection film 20 as an inorganic film is removed at the same time when the fine pattern 30 is formed.

【0059】すなわち、被加工層である金属配線層18
の膜厚の半分程度以上の膜厚のSi x y z :H膜を
用いることにより、半導体マスクパターンを、良好に転
写することができる。本実施例の製造方法では、金属配
線層18のエッチングと同時に、無機マスク兼反射防止
膜20であるSix y z :H膜の膜厚を低減するこ
とができ(あるいはSix y z :H膜を完全に除去
することができ)、金属配線層18上の段差を、さらに
増大させることはない。
That is, the metal wiring layer 18 which is the layer to be processed
Si with a thickness of about half or more of xOyNz: H film
By using the semiconductor mask pattern, it is possible to
Can be copied. In the manufacturing method of the present embodiment, the metal distribution
At the same time as etching of the line layer 18, an inorganic mask and anti-reflection
Si as the film 20xOyNz: Reducing the thickness of the H film
(Or SixOyNz: Complete removal of H film
Can be performed), and the step on the metal wiring layer 18 can be further
It does not increase.

【0060】また、本実施例の製造方法では、必要不可
欠な反射防止膜20が無機マスクを兼用することができ
るので、本実施例の製造プロセスは、従来の製造プロセ
スに比較し、工程数を全く増加させない。金属配線層1
8に微細パターンを形成した後には、たとえば図17
(F)に示すように、酸化シリコンなどで構成される層
間絶縁層32を成膜した後、この層間絶縁層32に微細
パターンのコンタクトホール34を形成する。この微細
パターンのコンタクトホールは、前述した高吸収層およ
び反射防止膜を用いた製法により形成することができ
る。
Further, in the manufacturing method of the present embodiment, the indispensable antireflection film 20 can also serve as an inorganic mask, so that the manufacturing process of the present embodiment has a smaller number of steps than the conventional manufacturing process. Do not increase at all. Metal wiring layer 1
After the formation of the fine pattern in FIG.
As shown in (F), after an interlayer insulating layer 32 made of silicon oxide or the like is formed, a contact hole 34 having a fine pattern is formed in the interlayer insulating layer 32. The contact hole of this fine pattern can be formed by a manufacturing method using the above-described high absorption layer and antireflection film.

【0061】その後、コンタクトホール34に、タング
ステンの選択成長などで、埋め込みプラグ層36を形成
し、その上に、上層側金属配線層38を形成する。この
上層側金属配線層38を微細パターンに加工する場合に
も、本発明の実施例を適用することができる。
Thereafter, a buried plug layer 36 is formed in the contact hole 34 by selective growth of tungsten or the like, and an upper metal wiring layer 38 is formed thereon. The embodiment of the present invention can be applied to the case where the upper metal wiring layer 38 is processed into a fine pattern.

【0062】実施例2 本実施例では、上記実施例1で示した、無機膜兼反射防
止膜としてのSix y z :H膜を、以下の手法によ
り成膜した以外は、実施例1と同様にして、半導体装置
に微細パターンを形成した。
[0062] Example 2 In this Example, as shown in Example 1, Si x O y N z as an inorganic film and antireflection film: H film, except that was formed by the following method, Example In the same manner as in Example 1, a fine pattern was formed on the semiconductor device.

【0063】すなわち、本実施例では、Six
y z :H膜を、平行平板型プラズマCVD法、ECR
プラズマCVD法、もしくはバイアスECRプラズマC
VD法を利用し、マイクロ波(2.45GHz)を用い
て、SiH4 +O2 +N2 の混合ガス、もしくはSiH
4 +N2 Oの混合ガスを用いて成膜した。
That is, in this embodiment, the Si x O
y N z: H film, parallel plate type plasma CVD method, ECR
Plasma CVD method or bias ECR plasma C
Using a VD method and a microwave (2.45 GHz), a mixed gas of SiH 4 + O 2 + N 2 or SiH 4
A film was formed using a mixed gas of 4 + N 2 O.

【0064】実施例3 本実施例では、実施例1で示した、無機膜兼反射防止膜
としてのSix y z :H膜を、以下の手法により成
膜した以外は、実施例1と同様にして、半導体装置に微
細パターンを形成した。
[0064] EXAMPLE 3 In this example, as shown in Example 1, as an inorganic film and an antireflection film Si x O y N z: except that the H film was formed by the following method, Example 1 In the same manner as described above, a fine pattern was formed on the semiconductor device.

【0065】すなわち、本実施例では、Six
y z :H膜を、平行平板型プラズマCVD法、ECR
プラズマCVD法、バイアスECRプラズマCVD法を
利用し、マイクロ波(2.45GHz)を用いて、Si
4 +O2 +N2 の混合ガス、もしくはSiH4 +N2
Oの混合ガスを用い、バッファガスとしてArを用いて
成膜した。
That is, in this embodiment, the Si x O
y N z: H film, parallel plate type plasma CVD method, ECR
Using a plasma CVD method and a bias ECR plasma CVD method, using microwave (2.45 GHz),
H 4 + O 2 + N 2 mixed gas or SiH 4 + N 2
A film was formed using a mixed gas of O and Ar as a buffer gas.

【0066】実施例4 本実施例では、実施例1で示した、無機膜兼反射防止膜
としてのSix y z :H膜を、以下の手法により成
膜した以外は、実施例1と同様にして、半導体装置に微
細パターンを形成した。
[0066] EXAMPLE 4 In this example, shown in Example 1, as an inorganic film and an antireflection film Si x O y N z: except that the H film was formed by the following method, Example 1 In the same manner as described above, a fine pattern was formed on the semiconductor device.

【0067】すなわち、本実施例では、無機膜兼反射防
止膜を、平行平板型プラズマCVD法、ECRCVD
法、もしくはバイアスECRCVD法を利用し、SiH
4 +O2 +N2 の混合ガス、もしくはSiH4 +N2
の混合ガスを用いて成膜した。
That is, in this embodiment, the inorganic film and the anti-reflection film are formed by a parallel plate type plasma CVD method, ECRCVD.
Method or bias ECRCVD method,
4 + O 2 + N 2 mixed gas or SiH 4 + N 2 O
Was formed using a mixed gas of

【0068】実施例5 本実施例では、実施例1で示した、無機膜兼反射防止膜
としてのSix y z :H膜を、以下の手法により成
膜した以外は、実施例1と同様にして、半導体装置に微
細パターンを形成した。
[0068] Example 5 In this example, as shown in Example 1, as an inorganic film and an antireflection film Si x O y N z: except that the H film was formed by the following method, Example 1 In the same manner as described above, a fine pattern was formed on the semiconductor device.

【0069】すなわち、本実施例では、無機膜兼反射防
止膜を、平行平板型プラズマCVD法、ECRプラズマ
CVD法、もしくはバイアスECRプラズマCVD法を
利用し、SiH4 +O2 +N2 の混合ガス、もしくはS
iH4 +N2 Oの混合ガスを用い、バッファガスとして
Arを用いて成膜した。
That is, in this embodiment, the inorganic film and the antireflection film are formed by using a mixed gas of SiH 4 + O 2 + N 2 using a parallel plate type plasma CVD method, an ECR plasma CVD method, or a bias ECR plasma CVD method. Or S
A film was formed using a mixed gas of iH 4 + N 2 O and Ar as a buffer gas.

【0070】実施例6 本実施例では、実施例1で示した、無機膜兼反射防止膜
としてのSix y z :H膜の代わりに、Six y
を用い、それを、以下の手法により成膜した以外は、実
施例1と同様にして、半導体装置に微細パターンを形成
した。
[0070] EXAMPLE 6 In this example, as shown in Example 1, Si x O y N z as an inorganic film and an antireflection film: instead of an H film, Si x N y
Then, a fine pattern was formed on the semiconductor device in the same manner as in Example 1 except that a film was formed by the following method.

【0071】すなわち、本実施例では、無機膜兼反射防
止膜を、平行平板型プラズマCVD法、ECRプラズマ
CVD法、もしくはバイアスECRプラズマCVD法を
利用し、マイクロ波(2.45GHz)を用いて、Si
4 +NH3 混合ガス、もしくはSiH2 Cl2+NH3
混合ガスを用いて成膜した。
That is, in this embodiment, the inorganic film and the anti-reflection film are formed by using a parallel plate plasma CVD method, an ECR plasma CVD method, or a bias ECR plasma CVD method and using microwaves (2.45 GHz). , Si
H 4 + NH 3 mixed gas or SiH 2 Cl 2 + NH 3
Film formation was performed using a mixed gas.

【0072】実施例7 本実施例では、実施例1で示した、無機膜兼反射防止膜
としてのSix y z :H膜の代わりに、Six y
を用い、それを、以下の手法により成膜した以外は、実
施例1と同様にして、半導体装置に微細パターンを形成
した。
[0072] In Example 7 This example, shown in Example 1, Si x O y N z as an inorganic film and an antireflection film: instead of an H film, Si x N y
Then, a fine pattern was formed on the semiconductor device in the same manner as in Example 1 except that a film was formed by the following method.

【0073】すなわち、本実施例では、無機膜兼反射防
止膜を、平行平板型プラズマCVD法、ECRプラズマ
CVD法、もしくはバイアスECRプラズマCVD法を
利用し、マイクロ波(2.45GHz)を用いて、Si
4 +O2 混合ガス、もしくはSiH2 Cl2+NH3
混合ガスを用い、バッファガスとしてArを用いて成膜
した。
That is, in the present embodiment, the inorganic film and the antireflection film are formed by using a parallel plate plasma CVD method, an ECR plasma CVD method, or a bias ECR plasma CVD method and using microwaves (2.45 GHz). , Si
H 4 + O 2 mixed gas or SiH 2 Cl 2 + NH 3
A film was formed using a mixed gas and Ar as a buffer gas.

【0074】実施例8 本実施例では、実施例1で示した、無機膜兼反射防止膜
としてのSix y z :H膜の代わりに、Six y
を用い、それを、以下の手法により成膜した以外は、実
施例1と同様にして、半導体装置に微細パターンを形成
した。
[0074] In Example 8 This example, shown in Example 1, Si x O y N z as an inorganic film and an antireflection film: instead of an H film, Si x N y
Then, a fine pattern was formed on the semiconductor device in the same manner as in Example 1 except that a film was formed by the following method.

【0075】すなわち、本実施例では、無機膜兼反射防
止膜を、平行平板型プラズマCVD法、ECRプラズマ
CVD法、もしくはバイアスECRプラズマCVD法を
利用し、SiH4 +O2 混合ガス、もしくはSiH2
l2+NH3 混合ガスを用いて成膜した。
That is, in the present embodiment, the inorganic film and the antireflection film are formed by using a parallel plate plasma CVD method, an ECR plasma CVD method, or a bias ECR plasma CVD method to form a mixed gas of SiH 4 + O 2 or SiH 2. C
It was deposited with l2 + NH 3 mixed gas.

【0076】実施例9 本実施例では、実施例1で示した、無機膜兼反射防止膜
としてのSix y z :H膜の代わりに、Six y
を用い、それを、以下の手法により成膜した以外は、実
施例1と同様にして、半導体装置に微細パターンを形成
した。
[0076] In Example 9 This example, shown in Example 1, Si x O y N z as an inorganic film and an antireflection film: instead of an H film, Si x N y
Then, a fine pattern was formed on the semiconductor device in the same manner as in Example 1 except that a film was formed by the following method.

【0077】すなわち、本実施例では、無機膜兼反射防
止膜を、平行平板型プラズマCVD法、ECRプラズマ
CVD法、もしくはバイアスECRプラズマCVD法を
利用し、SiH4 +O2 混合ガス、もしくはSiH2
l2+NH3 混合ガスを用い、バッファガスとしてAr
を用いて成膜した。
That is, in this embodiment, the inorganic film and the anti-reflection film are formed by using a parallel plate plasma CVD method, an ECR plasma CVD method, or a bias ECR plasma CVD method to form a mixed gas of SiH 4 + O 2 or SiH 2. C
Using a mixed gas of l 2 + NH 3 and Ar gas as a buffer gas
Was used to form a film.

【0078】[0078]

【発明の効果】以上説明してきたように、本発明に係る
微細パターンの製造方法によれば、エッチングの際の寸
法変換差が問題となる金属層エッチングにおいても、工
程数を増加させることなく無機マスク法を用いて、マス
クパターンが微細なものであっても、良好に安定したマ
スクパターンを形成できる。本発明に係る微細パターン
の製造方法は、微細パターンが形成される半導体装置の
製造方法に対して好適に用いることができる。
As described above, according to the method for manufacturing a fine pattern according to the present invention, even in the case of etching a metal layer in which a dimensional conversion difference at the time of etching is a problem, the inorganic layer can be formed without increasing the number of steps. By using the mask method, a good and stable mask pattern can be formed even if the mask pattern is fine. The method for manufacturing a fine pattern according to the present invention can be suitably used for a method for manufacturing a semiconductor device on which a fine pattern is formed.

【0079】本発明によれば、i線、またはそれよりも
短波長の光、例えばi線、KrF,ArFエキシマレー
ザーを用いて、段差構造を有する金属配線上の半導体マ
スクパターンを形成において、反射防止効果と無機マス
ク機能とを兼ね備える無機膜兼反射防止膜を、下地基板
上に形成することにより、工程数を増加させることなく
良好に安定したマスクパターンが形成することができ
る。
According to the present invention, when a semiconductor mask pattern on a metal wiring having a step structure is formed by using i-line light or light having a shorter wavelength, for example, i-line, KrF, or ArF excimer laser, reflection occurs. By forming an inorganic film and an anti-reflection film having both an anti-reflection effect and an inorganic mask function on a base substrate, a good and stable mask pattern can be formed without increasing the number of steps.

【図面の簡単な説明】[Brief description of the drawings]

【図1】図1はレジスト膜内での光の干渉を示す概略図
である。
FIG. 1 is a schematic diagram showing light interference in a resist film.

【図2】図2はシリコン基板上の定在波効果を示す図で
ある。
FIG. 2 is a diagram showing a standing wave effect on a silicon substrate.

【図3】図3はアルミニウムシリサイド上の定在波効果
を示す図である。
FIG. 3 is a diagram showing a standing wave effect on aluminum silicide.

【図4】図4はタングステンシリサイド上の定在波効果
を示す図である。
FIG. 4 is a diagram illustrating a standing wave effect on tungsten silicide.

【図5】図5は段差による定在波効果への影響を推定す
る図である。
FIG. 5 is a diagram for estimating the effect of a step on the standing wave effect.

【図6】図6は吸収光量の変動とパターン寸法変動との
関係を示すグラフである。
FIG. 6 is a graph showing a relationship between a variation in the amount of absorbed light and a variation in pattern dimension.

【図7】図7はシリコン基板上の定在波効果を示す図で
ある。
FIG. 7 is a diagram showing a standing wave effect on a silicon substrate.

【図8】図8は反射防止膜の膜厚を固定して、光学定数
n,kを変化させた場合の吸収光量の等高線を示す図で
ある。
FIG. 8 is a diagram showing contour lines of the amount of absorbed light when the optical constants n and k are changed while the thickness of the antireflection film is fixed.

【図9】図9は他の異なったレジスト膜厚について、図
8と同様な吸収光量の等高線を示す図である。
FIG. 9 is a diagram showing contour lines of the amount of absorbed light similar to FIG. 8 for other different resist film thicknesses.

【図10】図10は他の異なったレジスト膜厚につい
て、図8と同様な吸収光量の等高線を示す図である。
FIG. 10 is a diagram showing contour lines of the amount of absorbed light similar to FIG. 8 for other different resist film thicknesses.

【図11】図11は他の異なったレジスト膜厚につい
て、図8と同様な吸収光量の等高線を示す図である。
FIG. 11 is a diagram showing contour lines of the amount of absorbed light similar to FIG. 8 for other different resist film thicknesses.

【図12】図12(A),(B)は製造条件を変化させ
た場合のSix y z の光学定数の変化を示すグラフ
である。
[12] FIG. 12 (A), (B) is a graph showing changes in optical constants of the Si x O y N z in the case of changing the manufacturing conditions.

【図13】図13はタングステンシリサイド下地基板上
に、Six y z :H膜を成膜た場合の反射防止効果
を示す図である。
Figure 13 is a tungsten silicide base substrate, Si x O y N z: is a diagram showing an anti-reflection effect of the H film was deposited.

【図14】図14はアルミシリコンシリサイド基板上に
Six y z :H膜を成膜した場合の反射防止効果を
示す図である。
Figure 14 is Si x O y N z on an aluminum silicon silicide substrate: is a diagram showing the reflection preventing effect in the case of H film.

【図15】図15はシリコン基板上にSix y z
H膜を成膜した場合の反射防止効果を示す図である。
[15] Figure 15 is a silicon substrate Si x O y N z:
It is a figure which shows the antireflection effect at the time of forming a H film.

【図16】図16(A)〜(D)は本発明の一実施例に
係る半導体装置の製造過程を示す要部断面図である。
FIGS. 16A to 16D are main-portion cross-sectional views showing a process of manufacturing a semiconductor device according to one embodiment of the present invention.

【図17】図17(E),(F)は図16(D)の続き
の製造過程を示す要部断面図である。
17 (E) and 17 (F) are cross-sectional views of essential parts showing a manufacturing process continued from FIG. 16 (D).

【図18】図18はアルミシリコンシリサイド下地基板
上にSix y z :H膜を成膜したことによる反射防
止効果を示す図である。
Figure 18 is Si x O y N z on an aluminum silicon silicide underlying substrate: is a diagram showing an anti-reflection effect due to the H film.

【図19】図19はアルミシリコンシリサイド下地基板
上にSix y z :H膜を成膜したことによる反射防
止効果を示し、図18に比較し、Six y z :H膜
の光学条件および膜厚を変化させている図である。
Figure 19 is an aluminum silicon silicide base on a Si substrate x O y N z: H film showed an anti-reflection effect due to the film formation, as compared to FIG. 18, Si x O y N z : H film FIG. 4 is a diagram in which the optical conditions and the film thickness are changed.

【符号の説明】[Explanation of symbols]

2… 半導体基板 4… ポリシリコン膜 6… タングステンシリサイド膜 8… ゲート電極 10… 層間絶縁膜 18… 金属配線層 20… 無機膜兼反射防止膜 24… レジスト膜 26,28,30… 微細パターン Reference Signs List 2 semiconductor substrate 4 polysilicon film 6 tungsten silicide film 8 gate electrode 10 interlayer insulating film 18 metal wiring layer 20 inorganic film / anti-reflection film 24 resist film 26, 28, 30 fine pattern

Claims (3)

(57)【特許請求の範囲】(57) [Claims] 【請求項1】 下地基板上に、屈折率(n)が1.7以
上2.4以下であり、その消衰係数(k)が0.85以
下であり、その膜厚が100〜500nmであるSiX
yz :H膜で構成された反射防止膜を形成する工程
と、 この反射防止膜上に、レジスト膜を形成する工程と、 このレジスト膜に対し、i線またはi線よりも短波長の
光を用いて、露光を行い、レジスト膜にマスクパターン
を転写する工程と、 このマスクパターンが転写されたレジスト膜をマスクと
して、上記反射防止膜をエッチング加工し、反射防止膜
にマスクパターンを転写する工程と、 このマスクパターンが転写された反射防止膜をマスクと
して、下地基板をエッチング加工し、下地基板にマスク
パターンを転写する工程とを有する微細パターンの製造
方法。
1. An undersubstrate having a refractive index (n) of 1.7 or less.
2.4 or less, and the extinction coefficient (k) is 0.85 or less
Si x whose thickness is below 100-500 nm
O y N z: forming an H film antireflection film composed of, on the antireflection film, forming a resist film, the resist film, a wavelength shorter than the i-line or i-line A step of exposing using a light beam to transfer a mask pattern to a resist film, and etching the antireflection film using the resist film to which the mask pattern has been transferred as a mask, and forming a mask pattern on the antireflection film. A method for producing a fine pattern, comprising: a step of transferring; and a step of etching the base substrate using the antireflection film to which the mask pattern has been transferred as a mask, and transferring the mask pattern to the base substrate.
【請求項2】 上記下地基板の表面が、導電層である請
求項に記載の微細パターンの製造方法。
Wherein the surface of the base substrate, the manufacturing method of a fine pattern according to claim 1, wherein the conductive layer.
【請求項3】 上記請求項1または2に記載の微細パタ
ーンの製造方法が、半導体装置の製造過程に用いられる
半導体装置の製造方法。
3. A method of manufacturing a semiconductor device, wherein the method of manufacturing a fine pattern according to claim 1 is used in a process of manufacturing a semiconductor device.
JP35038493A 1993-12-28 1993-12-28 Method for manufacturing fine pattern and method for manufacturing semiconductor device Expired - Fee Related JP3339156B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP35038493A JP3339156B2 (en) 1993-12-28 1993-12-28 Method for manufacturing fine pattern and method for manufacturing semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP35038493A JP3339156B2 (en) 1993-12-28 1993-12-28 Method for manufacturing fine pattern and method for manufacturing semiconductor device

Publications (2)

Publication Number Publication Date
JPH07201825A JPH07201825A (en) 1995-08-04
JP3339156B2 true JP3339156B2 (en) 2002-10-28

Family

ID=18410125

Family Applications (1)

Application Number Title Priority Date Filing Date
JP35038493A Expired - Fee Related JP3339156B2 (en) 1993-12-28 1993-12-28 Method for manufacturing fine pattern and method for manufacturing semiconductor device

Country Status (1)

Country Link
JP (1) JP3339156B2 (en)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6127262A (en) * 1996-06-28 2000-10-03 Applied Materials, Inc. Method and apparatus for depositing an etch stop layer
US6083852A (en) 1997-05-07 2000-07-04 Applied Materials, Inc. Method for applying films using reduced deposition rates
TW399234B (en) 1997-07-02 2000-07-21 Yamaha Corp Wiring forming method
JP3320685B2 (en) 1999-06-02 2002-09-03 株式会社半導体先端テクノロジーズ Fine pattern forming method
US6599682B2 (en) 2000-04-26 2003-07-29 Tokyo Ohka Kogyo Co., Ltd. Method for forming a finely patterned photoresist layer

Also Published As

Publication number Publication date
JPH07201825A (en) 1995-08-04

Similar Documents

Publication Publication Date Title
KR100300258B1 (en) Method and structure for forming integrated circuit pattern on semiconductor substrate
US5677111A (en) Process for production of micropattern utilizing antireflection film
US6214637B1 (en) Method of forming a photoresist pattern on a semiconductor substrate using an anti-reflective coating deposited using only a hydrocarbon based gas
US5472827A (en) Method of forming a resist pattern using an anti-reflective layer
JP3315345B2 (en) Method for manufacturing semiconductor device
US5472829A (en) Method of forming a resist pattern by using an anti-reflective layer
JP3320685B2 (en) Fine pattern forming method
US20030040179A1 (en) Polysilicon processing using an anti-reflective dual layer hardmask for 193 nm lithography
EP0588087B1 (en) Method of forming a resist pattern using an optimized anti-reflective layer
JPH0955351A (en) Manufacture of semiconductor device
US6133613A (en) Anti-reflection oxynitride film for tungsten-silicide substrates
US6787457B2 (en) Method of etching and anti-reflection film using substituted hydrocarbon with halogen gas
JP3339156B2 (en) Method for manufacturing fine pattern and method for manufacturing semiconductor device
US6479401B1 (en) Method of forming a dual-layer anti-reflective coating
JP2897569B2 (en) Method for determining conditions of antireflection film used in forming resist pattern, and method for forming resist pattern
JP2953349B2 (en) Resist pattern forming method, antireflection film forming method, antireflection film, and semiconductor device
JP2897692B2 (en) Resist pattern forming method, antireflection film forming method, antireflection film, and semiconductor device
JPH06342744A (en) Prevention of reflection by a-c
KR20000006152A (en) Method for fabricating semiconductor devices
JP3339153B2 (en) Method for manufacturing semiconductor device
JPH0855791A (en) Resist pattern formation method and reflection preventive film formation method
JPH07201990A (en) Pattern forming method
JPH0817711A (en) Designing method of antireflection film
JPH1131650A (en) Antireflection coating, substrate to be treated, manufacture of the substrate to be treated, manufacture of fine pattern and manufacture of semiconductor device
JP3257245B2 (en) Method of forming fine pattern

Legal Events

Date Code Title Description
FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20080816

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090816

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100816

Year of fee payment: 8

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110816

Year of fee payment: 9

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110816

Year of fee payment: 9

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120816

Year of fee payment: 10

LAPS Cancellation because of no payment of annual fees