TWI723049B - 原子層次解析度與電漿處理控制的方法 - Google Patents

原子層次解析度與電漿處理控制的方法 Download PDF

Info

Publication number
TWI723049B
TWI723049B TW105131477A TW105131477A TWI723049B TW I723049 B TWI723049 B TW I723049B TW 105131477 A TW105131477 A TW 105131477A TW 105131477 A TW105131477 A TW 105131477A TW I723049 B TWI723049 B TW I723049B
Authority
TW
Taiwan
Prior art keywords
electrode
plasma
ion energy
substrate
gas
Prior art date
Application number
TW105131477A
Other languages
English (en)
Other versions
TW201720952A (zh
Inventor
恩卡 安格維
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW201720952A publication Critical patent/TW201720952A/zh
Application granted granted Critical
Publication of TWI723049B publication Critical patent/TWI723049B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • H01J37/32165Plural frequencies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • H01L21/30655Plasma etching; Reactive-ion etching comprising alternated and repeated etching and passivation steps, e.g. Bosch process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • H01L21/0212Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC the material being fluoro carbon compounds, e.g.(CFx) n, (CHxFy) n or polytetrafluoroethylene
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D1/00Processes for applying liquids or other fluent materials
    • B05D1/62Plasma-deposition of organic layers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D5/00Processes for applying liquids or other fluent materials to surfaces to obtain special surface effects, finishes or structures
    • B05D5/08Processes for applying liquids or other fluent materials to surfaces to obtain special surface effects, finishes or structures to obtain an anti-friction or anti-adhesive surface
    • B05D5/083Processes for applying liquids or other fluent materials to surfaces to obtain special surface effects, finishes or structures to obtain an anti-friction or anti-adhesive surface involving the use of fluoropolymers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Analytical Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)

Abstract

茲提供處理基板的方法和設備。在一些實施例中,處理基板的方法包括:(a)在處理容積內的第一電極與第二電極間提供處理氣體,處理氣體包含聚合物形成氣體和蝕刻氣體,其中第一電極在第二電極對面;(b)從第一RF電源施加第一電壓波形至第二電極,以由處理氣體形成電漿,其中電漿具有第一離子能量而直接在基板的介電層頂上沉積聚合物層;及(c)將第一電壓波形調整成第二電壓波形,使電漿的離子能量從第一離子能量提高至第二離子能量,其中電漿在第二離子能量下將停止沉積聚合物層,並開始蝕刻聚合物層和介電層。

Description

原子層次解析度與電漿處理控制的方法
本發明的實施例大體係關於處理基板的方法。
在電漿處理基板期間,用於連續按比例縮小特徵結構的製程為原子層蝕刻。傳統上,原子層蝕刻係利用處理方案進行,處理方案依靠切換氣體混合物來達成適用鈍化(官能化、吸附、沉積)的電漿化學組成和適用後續蝕刻製程的電漿化學組成。
通常,基板先曝露於最低限度蝕刻電漿,以鈍化上層。鈍化製程期間,電漿的離子能量應小於用於蝕刻鈍化頂層的閥值。接著,使鈍化層曝露於蝕刻電漿,以移除鈍化頂層。蝕刻製程期間,電漿的離子能量應小於用於濺射未鈍化基板的閥值。然因在鈍化及蝕刻製程間需清除處理腔室的先前氣體混合物,是以此方案苦於處理速度緩慢。故本發明人提供處理基板的改善方法,以顯著更高速度達成原子層蝕刻。
因此,本發明人已開發處理基板的改善方法。
本文提供處理基板的方法和設備。在一些實施例中,在基板處理腔室的處理容積內處理基板的方法包括:(a)在處理容積內的第一電極與第二電極間提供處理氣體,處理氣體包含聚合物形成氣體和蝕刻氣體,其中第一電極在第二電極對面;(b)從第一RF電源施加第一電壓波形至第二電極,以由處理氣體形成電漿,其中電漿具有第一離子能量而直接在基板的介電層頂上沉積聚合物層;及(c)將第一電壓波形調整成第二電壓波形,使電漿的離子能量從第一離子能量提高至第二離子能量,其中電漿在第二離子能量下將停止沉積聚合物層,並開始蝕刻聚合物層和介電層。
在一些實施例中,基板處理設備包括:基板處理腔室,具有基板處理容積;基板支撐基座,設在基板處理容積內;第一電極,設在基板支撐基座內;第二電極,設在基板處理容積中且在第一電極對面;第一波形調整器,耦接至第一電極;第一RF電源,耦接至第一波形調整器;第二波形調整器,耦接至第二電極;及第二RF電源,耦接至第二波形調整器。
在一些實施例中,處理基板的方法包括:(a)提供基板至基板處理腔室,基板處理腔室包含第一電極和設在第一電極對面的第二電極;(b)提供處理氣體至基板處理腔室,其中處理氣體包含聚合物形成氣體和蝕刻氣體;(c)從第一RF電源施加第一電壓波形至第二電極,以由處理氣體形成電漿,其中第一RF電源經由第一波形調整器耦接至第二電極,其中電漿具有第一離子能量而直接在基板的介電層頂上沉積聚合物層;及(d)將第一電壓波形調整成第二電壓波形,使電漿的離子能量從第一離子能量提高至第二離子能量,其中電漿在第二離子能量下將停止沉積聚合物層,並開始蝕刻聚合物層和介電層。
本發明的其他和進一步實施例將描述於後。
本文提供處理基板的方法。本發明方法有利於以顯著更高速度促進原子層蝕刻介電材料。本發明的方法實施例有利於提供鈍化及原子層蝕刻,同時利用出自單一氣體混合物的預定電漿化學組成。因此,本文所述方法不需引入多個氣體混合物即可進行原子層蝕刻,且不需清除處理腔室的氣體便能進行原子層蝕刻。本文提供方法可透過控制電漿的離子能量分佈(IED)來選擇鈍化狀態(regime)或蝕刻狀態。
第1圖圖示根據本發明一些實施例,處理基板的方法100的流程圖。方法100將參照第2A圖至第2B圖所示結構描述。本發明的方法100可在能兼行蝕刻及沉積的單一處理腔室中進行。此類適合處理腔室可為獨立的處理腔室或叢集工具的零件。或者,本文所述方法可在不同腔室中進行,腔室亦可為獨立或叢集工具的零件。
下述第3圖圖示根據本發明一些實施例,適於處理基板的設備300。設備300可包含控制器350和處理腔室302,處理腔室具有排氣系統320,用以自處理腔室302的內部移除過量處理氣體、處理副產物等。示例性處理腔室可包括DPS® 、ENABLER® 、ADVANTEDGETM 、AVATARTM 或其他處理腔室,以上可取自位於美國加州聖克拉拉的應用材料公司。其他適合處理腔室亦可使用。
處理腔室302具有內部容積305,內部容積可包括處理容積304。處理容積304例如可界定在基板支撐基座308與一或更多氣體入口(例如噴淋頭314及/或設在預定位置的噴嘴)之間,基板支撐基座設在處理腔室302內,以於處理期間支撐基板310。在一些實施例中,基板支撐基座308包括可將基板310保持或支撐在基板支撐基座308的表面上的機構,例如靜電夾盤、真空夾盤、基板固定夾等(未圖示)。在一些實施例中,基板支撐基座308可包括用於控制基板溫度(例如加熱及/或冷卻裝置,未圖示)及/或用於控制物種通量及/或鄰近基板表面的離子能量的機構。
例如,在一些實施例中,基板支撐基座308可包括RF偏壓電極340。RF偏壓電極340可經由一或更多各自的波形調整器(所示第一偏壓波形調整器336)耦接至一或更多RF偏壓電源(第3圖所示RF電源338),波形調整器能調整供應到電氣設備的各種電壓波形。特定言之,各波形調整器可將RF波形修改或調整成非正弦波形(例如如第5A圖至第5C圖所示)。在一些實施例中,如第4圖所示,RF偏壓電極340可經由第二偏壓波形調整器404(及/或偏壓脈衝調整器)耦接至第二RF偏壓電源(例如RF電源402)。一或更多偏壓電源能以約2兆赫至約60兆赫的頻率產生至多1200瓦(W),例如約2兆赫或約13.56兆赫或約60兆赫。一或更多偏壓電源可提供連續或脈衝功率。一或更多偏壓電源可在連續波(CW)或脈衝模式下操作。一或更多偏壓電源可以在約10%及約90%之間的工作循環(例如在特定循環中,供電時間與斷電時間總計的供電時間百分比)操作。
回溯第3圖,在一些實施例中,設備300可採用電容耦合RF功率來進行電漿處理,但設備亦可或替代地可使用感應耦合RF功率來進行電漿處理。例如,處理腔室302可具有由介電材料製成的頂棚342和噴淋頭314,噴淋頭為至少部分導電以提供RF電極,或者可提供個別的RF電極。噴淋頭314(或其他RF電極)可經由一或更多各自的波形調整器(所示第一源波形調整器346)耦接至一或更多RF電源(所示RF電源348)。在一些實施例中,如第4圖所示,噴淋頭314(或其他RF電極)可經由第二源波形調整器408(及/或源脈衝調整器)耦接至第二RF電源(例如RF電源406)。一或更多電漿源能以約2兆赫及/或約13.56兆赫的頻率或如27兆赫及/或60兆赫的高頻產生至多約3000瓦,或在一些實施例中為至多約5000瓦。在一些實施例中,各波形調整器亦可併入RF阻抗匹配網路電路,以可調減少各RF電源與處理腔室內電漿間的阻抗失配造成的反射RF功率。或者,可提供個別的RF阻抗匹配網路電路。
方法100一般始於步驟102:提供包含聚合物形成氣體和蝕刻氣體的處理氣體至基板處理腔室(例如第3圖所示處理腔室302)的處理容積(例如第3圖所示內部容積305)。處理氣體可由噴淋頭314引入處理容積。處理容積設在第一電極(例如第3圖的RF偏壓電極340)與第二電極(例如上述噴淋頭314或個別RF電極)之間。在一些實施例中,處理容積內的壓力為約20毫托耳至約200毫托耳。處理氣體組成可視特定待蝕刻材料與所用聚合物形成氣體而異。
在一些實施例中,聚合物形成氣體包含氟碳氣體。在一些實施例中,氟碳氣體可為四氟化碳(CF4 )、三氟化甲烷(CHF3 )、八氟環丁烷(C4 F8 )、六氟丁二烯(C4 F6 )、三氟化氮(NF3 )、六氟化硫(SF6 )等。
在一些實施例中,蝕刻氣體包含稀有氣體,例如氬、氦等。在一些實施例中,處理氣體可進一步包含含氧氣體,例如氧(O2 ),以達成預定輪廓和對底層的選擇性。
接著,在步驟104中,從第一RF電源(例如RF電源348)施加具第一電壓波形(第一波形)的RF能量至第二電極,以由處理氣體形成電漿。電漿係在處理腔室的處理容積內形成。如第2A圖所示,電漿具有第一離子能量206,用以直接在基板200的介電層202頂上沉積聚合物層204。在一些實施例中,電漿的第一離子能量206為約0.1電子伏特(eV)至約100 eV。基板200可為任何適用半導體製造製程的基板。例如,基板200可包含一或更多介電材料、矽(Si)、金屬等。此外,基板200可包括額外材料層,或可具有一或更多完整或部分完整結構形成於基板200內或上。基板200包含介電層202,例如氧化矽、低介電常數材料(例如介電常數小於氧化矽或小於約3.9的材料)等。
在一些實施例中,從第二RF電源(例如RF電源338)施加RF能量至第一電極,以形成電漿。在一些實施例中,第二RF電源提供約50瓦至約2000瓦的功率至第一電極。在一些實施例中,出自第二RF電源的RF能量具有第一波形。
接著,在步驟106中,將第一波形調整成第二電壓波形(第二波形),使電漿的離子能量從第一離子能量206提高至第二離子能量208。如第2B圖所示,電漿在第二離子能量208下將停止沉積聚合物層204,並開始蝕刻聚合物層204和介電層202。在一些實施例中,電漿的第二離子能量為約50 eV至約3000 eV。
在一些實施例中,將第一波形調整成第二波形包含除了改變修改波形形狀,還改變波形相位。第5A圖至第5C圖圖示具不同相位的示例性修改波形。在一些實施例中,如第5A圖所示,具相位A的第一波形501用於直接在介電層202頂上沉積聚合物層204。第一波形與第二波形間的相位變化以致從聚合物沉積狀態轉變成蝕刻狀態取決於處理氣體組成。在一些實施例中,視處理氣體組成而定,將第一波形501調整成如第5B圖所示具相位B的第二波形502或如第5C圖所示具相位C的第三電壓波形503將提高電漿的離子能量而蝕刻聚合物層204和介電層202。例如,以13兆赫施加150瓦功率形成及具270度相位的第一波形提供聚合物沉積所需的離子能量。將相位調整成180度、同時維持實質相同功率和處理氣體組成可提高離子能量而充分蝕刻聚合物層和底下介電材料。在一些實施例中,重複步驟104-106,直到蝕刻介電層202達預定厚度為止。
回溯第3圖,基板310可經由處理腔室302的壁面的開口312進入處理腔室302。可利用流量閥318或其他機構來選擇性提供經由開口312進出腔室內部的出入口,以選擇性密封開口312。基板支撐基座308可耦接至升降機構334,例如馬達、致動器等,以控制基板支撐基座308在適於經由開口312傳送基板進出腔室的較低位置(如圖示)與適於處理的可選較高位置間的位置。處理位置可就特定製程選擇以最大化製程均勻度。處於至少一較高處理位置時,基板支撐基座308可設在開口312上方,以提供對稱處理區域。
一或更多氣體入口(例如噴淋頭314)可耦接至氣體供應器316,以由質量流量控制器317提供一或更多處理氣體至處理腔室302的處理容積304。此外,一或更多閥319可提供以控制一或更多處理氣體流量。質量流量控制器317和一或更多閥319可個別或結合使用,以依預定流率、恆定流率或脈衝提供處理氣體(如上所述)。
儘管第3圖繪示噴淋頭314,但亦可提供額外或替代氣體入口,例如噴嘴或入口,噴嘴或入口設在處理腔室302的頂棚中或側壁上或其他適於提供氣體至處理腔室302的位置,例如處理腔室基底、基板支撐基座周圍等。
排氣系統320一般包括泵送氣室324和一或更多導管,導管耦接泵送氣室324與處理腔室302的內部容積305(通常為處理容積304)。
真空泵328可經由泵送口326耦接至泵送氣室324,以由一或更多排氣口(圖示兩個排氣口322)將排氣抽出處理腔室。真空泵328可流體耦接至排氣出口332,使排氣循徑流到適當排氣處置裝備。閥330(例如閘閥等)可設在泵送氣室324中,以助於控制排氣流率及真空泵328的操作。儘管圖示z方向運動閘閥,但任何適合的製程相容閥皆可用於控制排氣流量。
為協助控制上述處理腔室302,控制器350可為任一通用電腦處理器類型,電腦處 理器可用於工業設定來控制各種腔室和子處理器。CPU 352的記憶體或電腦可讀取媒體356可為一或更多容易取得的記憶體,例如隨機存取記憶體(RAM)、唯讀記憶體(ROM)、軟碟、硬碟或任何其他類型的本端或遠端數位儲存器。支援電路354耦接至CPU 352,以藉由習知方式支援處理器。該等電路包括快取記憶體儲存器、電源、時脈電路、輸入/輸出電路和次系統等。
本發明所述方法可通常儲存於記憶體356中當作軟體常式358,由CPU 352執行時,軟體常式將促使處理腔室302進行本發明製程。軟體常式358亦可由第二CPU(未圖示)儲存及/或執行,第二CPU遠離受CPU 352控制的硬體。本發明的部分或所有方法亦可於硬體進行。因此,本發明可以軟體施行及利用電腦系統於硬體執行,做為如特定應用積體電路或其他硬體施行類型,或做為軟體與硬體組合。軟體常式358可在基板310置於基板支撐基座308上後執行。由CPU 352執行時,軟體常式358將通用電腦轉換成專用電腦(控制器)350,以控制腔室操作而進行所述方法。
儘管以上係針對本發明實施例說明,但在不脫離本發明基本範圍的情況下,當可策劃本發明的其他和進一步實施例。
100‧‧‧方法 102、104、106‧‧‧步驟 200‧‧‧基板 202‧‧‧介電層 204‧‧‧聚合物層 206、208‧‧‧離子能量 300‧‧‧設備 302‧‧‧處理腔室 304‧‧‧處理容積 305‧‧‧內部容積 308‧‧‧基板支撐基座 310‧‧‧基板 312‧‧‧開口 314‧‧‧噴淋頭 316‧‧‧氣體供應器 317‧‧‧質量流量控制器 318‧‧‧流量閥 319、330‧‧‧閥 320‧‧‧排氣系統 322‧‧‧排氣口 324‧‧‧泵送氣室 326‧‧‧泵送口 328‧‧‧真空泵 332‧‧‧排氣出口 334‧‧‧升降機構 336、346‧‧‧波形調整器 338、348‧‧‧RF電源 340‧‧‧RF偏壓電極 342‧‧‧頂棚 350‧‧‧控制器 352‧‧‧CPU 354‧‧‧支援電路 356‧‧‧記憶體 358‧‧‧軟體常式 402、406‧‧‧RF電源 404、408‧‧‧波形調整器 501、502、503‧‧‧波形 A、B、C‧‧‧相位
為讓本發明的上述概要特徵更明顯易懂,可配合參考實施例說明,部分實施例乃圖示在附圖。然應注意所附圖式僅說明本發明典型實施例,故不宜視為限定本發明範疇,因為本發明可接納其他等效實施例。
第1圖圖示根據本發明一些實施例,處理基板的方法流程圖。
第2A圖至第2B圖圖示根據本發明一些實施例,處理基板的階段。
第3圖圖示根據本發明一些實施例,基板處理系統的示意圖。
第4圖圖示可用於第3圖所示處理系統的射頻(RF)偏壓部件實施例。
第5A圖至第5C圖圖示可用於第1圖所示方法、具不同相位差的示例性波形。
為助於理解,儘可能以相同的元件符號代表各圖中共同的相似元件。圖式未按比例繪製,且可簡化以清楚呈現。某一實施例的元件和特徵結構當可有益地併入其他實施例,在此不另外詳述。
國內寄存資訊 (請依寄存機構、日期、號碼順序註記) 無
國外寄存資訊 (請依寄存國家、機構、日期、號碼順序註記) 無
(請換頁單獨記載) 無
100‧‧‧方法
102、104、106‧‧‧步驟

Claims (20)

  1. 一種在一基板處理腔室的一處理容積內處理一基板的方法,該方法包含下列步驟:(a)在該處理容積內的一第一電極與一第二電極間提供一處理氣體,該處理氣體包含一聚合物形成氣體和一蝕刻氣體,其中該第一電極在該第二電極對面;(b)從一第一RF電源施加一第一電壓波形至該第二電極,以由該處理氣體形成一電漿,其中該電漿具有一第一離子能量而直接在該基板的一介電層頂上沉積一聚合物層;及(c)將該第一電壓波形調整成一第二電壓波形,使該電漿的一離子能量從該第一離子能量提高至一第二離子能量,其中該電漿在該第二離子能量下將停止沉積該聚合物層,並開始蝕刻該聚合物層和該介電層。
  2. 如請求項1所述之方法,其中該聚合物形成氣體包含一氟碳氣體。
  3. 如請求項2所述之方法,其中該蝕刻氣體包含一稀有氣體。
  4. 如請求項1所述之方法,其中該處理氣體進一步包含一含氧氣體。
  5. 如請求項1至4中任一項所述之方法,其中該電漿的該第一離子能量為約0.1eV至約100eV。
  6. 如請求項1至4中任一項所述之方法,其中該電漿的該第二離子能量為約50eV至約3000eV。
  7. 如請求項1至4中任一項所述之方法,其中該第二電極設在一基板支撐基座內。
  8. 如請求項1至4中任一項所述之方法,進一步包含從一第二RF電源施加一能量至該第一電極。
  9. 如請求項8所述之方法,其中該第二RF電源提供約50瓦至約2000瓦的功率至該第一電極。
  10. 如請求項1至4中任一項所述之方法,進一步包含以下步驟:重複該等步驟(b)-(c),以蝕刻該介電層達一預定厚度。
  11. 如請求項1至4中任一項所述之方法,其中將該第一電壓波形調整成該第二電壓波形之步驟包含以下步驟:利用一波形調整器,改變該第一電壓波形與該第二電壓波形間的一波形形狀和相位,該波形調整器耦接於該第一RF電源與該第二電極之間。
  12. 如請求項1至4中任一項所述之方法,其中該處理容積內的一壓力為約20毫托耳至約200毫托耳。
  13. 一種基板處理設備,包含:一基板處理腔室,具有一基板處理容積;一基板支撐基座,設在該基板處理容積內; 一第一電極,設在該基板支撐基座內;一第二電極,設在該基板處理容積中且在該第一電極對面;一第一波形調整器,耦接至該第一電極;一第一RF電源,耦接至該第一波形調整器;一第二波形調整器,耦接至該第二電極;及一第二RF電源,耦接至該第二波形調整器;其中:在該基板處理容積內的該第一電極與該第二電極間提供有一處理氣體,該處理氣體包含一聚合物形成氣體和一蝕刻氣體;從該第一RF電源施加一第一電壓波形至該第一電極,以由該處理氣體形成一電漿,其中該電漿具有一第一離子能量而直接在該基板處理容積內的一基板的一介電層頂上沉積一聚合物層;及該第一電壓波形被調整成一第二電壓波形,使該電漿的一離子能量從該第一離子能量提高至一第二離子能量,其中該電漿在該第二離子能量下將停止沉積該聚合物層,並開始蝕刻該聚合物層和該介電層。
  14. 如請求項13所述之基板處理設備,其中該基板支撐基座可在一較低位置與一可選較高位置間移動。
  15. 如請求項13至14中任一項所述之基板處理設備,進一步包含:一第一脈衝調整器,耦接至該第一電極;及一第三RF電源,耦接至該第一脈衝調整器。
  16. 如請求項13至14中任一項所述之基板處理設備,進一步包含:一第二脈衝調整器,耦接至該第二電極;及一第四RF電源,耦接至該第二脈衝調整器。
  17. 一種處理基板的方法,包含下列步驟:(a)提供一基板至一基板處理腔室,該基板處理腔室包含一第一電極和一第二電極,該第二電極設在該第一電極對面;(b)提供一處理氣體至該基板處理腔室,其中該處理氣體包含一聚合物形成氣體和一蝕刻氣體;(c)從一第一RF電源施加一第一電壓波形至該第二電極,以由該處理氣體形成一電漿,其中該第一RF電源經由一第一波形調整器耦接至該第二電極,其中該電漿具有一第一離子能量而直接在該基板的一介電層頂上沉積一聚合物層;及(d)將該第一電壓波形調整成一第二電壓波形,使該電漿的一離子能量從該第一離子能量提高至一第二離子能量,其中該電漿在該第二離子能量下將停止沉積 該聚合物層,並開始蝕刻該聚合物層和該介電層。
  18. 如請求項17所述之方法,其中該電漿的該第一離子能量為約0.1eV至約100eV,並且其中該電漿的該第二離子能量為約50eV至約3000eV。
  19. 如請求項17至18中任一項所述之方法,進一步包含以下步驟:重複該等步驟(c)-(d),以蝕刻該介電層達一預定厚度。
  20. 如請求項17至18中任一項所述之方法,其中將該第一電壓波形調整成該第二波形之步驟包含以下步驟:改變該第一電壓波形與該第二波形間的一波形形狀和相位。
TW105131477A 2015-10-02 2016-09-30 原子層次解析度與電漿處理控制的方法 TWI723049B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201562236643P 2015-10-02 2015-10-02
US62/236,643 2015-10-02
US15/279,058 2016-09-28
US15/279,058 US9978606B2 (en) 2015-10-02 2016-09-28 Methods for atomic level resolution and plasma processing control

Publications (2)

Publication Number Publication Date
TW201720952A TW201720952A (zh) 2017-06-16
TWI723049B true TWI723049B (zh) 2021-04-01

Family

ID=58427953

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105131477A TWI723049B (zh) 2015-10-02 2016-09-30 原子層次解析度與電漿處理控制的方法

Country Status (6)

Country Link
US (1) US9978606B2 (zh)
JP (1) JP2018536981A (zh)
KR (1) KR20180051663A (zh)
CN (1) CN108140573B (zh)
TW (1) TWI723049B (zh)
WO (1) WO2017059017A1 (zh)

Families Citing this family (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10904996B2 (en) 2017-09-20 2021-01-26 Applied Materials, Inc. Substrate support with electrically floating power supply
US10510575B2 (en) 2017-09-20 2019-12-17 Applied Materials, Inc. Substrate support with multiple embedded electrodes
KR20200133274A (ko) * 2018-04-13 2020-11-26 도쿄엘렉트론가부시키가이샤 공정 플라즈마의 이온 에너지 분포를 제어하기 위한 장치 및 방법
US10998170B2 (en) * 2018-04-13 2021-05-04 Tokyo Electron Limited Method for ion mass separation and ion energy control in process plasmas
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
JP7451540B2 (ja) 2019-01-22 2024-03-18 アプライド マテリアルズ インコーポレイテッド パルス状電圧波形を制御するためのフィードバックループ
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
US11848176B2 (en) 2020-07-31 2023-12-19 Applied Materials, Inc. Plasma processing using pulsed-voltage and radio-frequency power
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US20220399185A1 (en) 2021-06-09 2022-12-15 Applied Materials, Inc. Plasma chamber and chamber component cleaning methods
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
US11972924B2 (en) 2022-06-08 2024-04-30 Applied Materials, Inc. Pulsed voltage source for plasma processing applications

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8974684B2 (en) * 2011-10-28 2015-03-10 Applied Materials, Inc. Synchronous embedded radio frequency pulsing for plasma etching
TW201517167A (zh) * 2013-09-06 2015-05-01 Applied Materials Inc 使用同步射頻脈衝以蝕刻材料之方法

Family Cites Families (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2728011B2 (ja) * 1995-03-15 1998-03-18 株式会社日立製作所 プラズマ処理方法
US6218196B1 (en) 1998-05-06 2001-04-17 Mitsubishi Denki Kabushiki Kaisha Etching apparatus, etching method, manufacturing method of a semiconductor device, and semiconductor device
US6383938B2 (en) * 1999-04-21 2002-05-07 Alcatel Method of anisotropic etching of substrates
US6566272B2 (en) 1999-07-23 2003-05-20 Applied Materials Inc. Method for providing pulsed plasma during a portion of a semiconductor wafer process
US6472822B1 (en) 2000-04-28 2002-10-29 Applied Materials, Inc. Pulsed RF power delivery for plasma processing
JP3563054B2 (ja) * 2000-09-29 2004-09-08 株式会社日立製作所 プラズマ処理装置および方法
US7871676B2 (en) 2000-12-06 2011-01-18 Novellus Systems, Inc. System for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6818562B2 (en) 2002-04-19 2004-11-16 Applied Materials Inc Method and apparatus for tuning an RF matching network in a plasma enhanced semiconductor wafer processing system
JP4319514B2 (ja) * 2002-11-29 2009-08-26 株式会社日立ハイテクノロジーズ サグ補償機能付き高周波電源を有するプラズマ処理装置
US6942813B2 (en) 2003-03-05 2005-09-13 Applied Materials, Inc. Method of etching magnetic and ferroelectric materials using a pulsed bias source
US6916746B1 (en) * 2003-04-09 2005-07-12 Lam Research Corporation Method for plasma etching using periodic modulation of gas chemistry
CN100492598C (zh) * 2003-10-21 2009-05-27 优利讯美国有限公司 使用交替淀积/蚀刻工序蚀刻衬底中特征的方法和设备
US20050221021A1 (en) 2004-03-31 2005-10-06 Tokyo Electron Limited Method and system for performing atomic layer deposition
US7214628B2 (en) 2005-02-02 2007-05-08 Applied Materials, Inc. Plasma gate oxidation process using pulsed RF source power
US7141514B2 (en) 2005-02-02 2006-11-28 Applied Materials, Inc. Selective plasma re-oxidation process using pulsed RF source power
JP5192209B2 (ja) * 2006-10-06 2013-05-08 東京エレクトロン株式会社 プラズマエッチング装置、プラズマエッチング方法およびコンピュータ読取可能な記憶媒体
DE102006051550B4 (de) * 2006-10-30 2012-02-02 Fhr Anlagenbau Gmbh Verfahren und Vorrichtung zum Strukturieren von Bauteilen unter Verwendung eines Werkstoffs auf der Basis von Siliziumoxid
US7737042B2 (en) 2007-02-22 2010-06-15 Applied Materials, Inc. Pulsed-plasma system for etching semiconductor structures
US7771606B2 (en) 2007-02-22 2010-08-10 Applied Materials, Inc. Pulsed-plasma system with pulsed reaction gas replenish for etching semiconductors structures
US7718538B2 (en) 2007-02-21 2010-05-18 Applied Materials, Inc. Pulsed-plasma system with pulsed sample bias for etching semiconductor substrates
KR101528528B1 (ko) 2008-05-14 2015-06-12 어플라이드 머티어리얼스, 인코포레이티드 Rf 전력 전달을 위한 시간 분해된 조정 방식을 이용하는 펄스화된 플라즈마 처리를 위한 방법 및 장치
US8337661B2 (en) 2008-05-29 2012-12-25 Applied Materials, Inc. Plasma reactor with plasma load impedance tuning for engineered transients by synchronized modulation of an unmatched low power RF generator
US8357264B2 (en) 2008-05-29 2013-01-22 Applied Materials, Inc. Plasma reactor with plasma load impedance tuning for engineered transients by synchronized modulation of a source power or bias power RF generator
US8018164B2 (en) 2008-05-29 2011-09-13 Applied Materials, Inc. Plasma reactor with high speed plasma load impedance tuning by modulation of different unmatched frequency sources
US8324525B2 (en) 2008-05-29 2012-12-04 Applied Materials, Inc. Method of plasma load impedance tuning for engineered transients by synchronized modulation of a source power or bias power RF generator
US8002945B2 (en) 2008-05-29 2011-08-23 Applied Materials, Inc. Method of plasma load impedance tuning for engineered transients by synchronized modulation of an unmatched low power RF generator
US7967944B2 (en) 2008-05-29 2011-06-28 Applied Materials, Inc. Method of plasma load impedance tuning by modulation of an unmatched low power RF generator
US9287086B2 (en) 2010-04-26 2016-03-15 Advanced Energy Industries, Inc. System, method and apparatus for controlling ion energy distribution
US8404598B2 (en) 2009-08-07 2013-03-26 Applied Materials, Inc. Synchronized radio frequency pulsing for plasma etching
US8658541B2 (en) 2010-01-15 2014-02-25 Applied Materials, Inc. Method of controlling trench microloading using plasma pulsing
US20130059448A1 (en) * 2011-09-07 2013-03-07 Lam Research Corporation Pulsed Plasma Chamber in Dual Chamber Configuration
US8828883B2 (en) 2010-08-24 2014-09-09 Micron Technology, Inc. Methods and apparatuses for energetic neutral flux generation for processing a substrate
US10157729B2 (en) 2012-02-22 2018-12-18 Lam Research Corporation Soft pulsing
GB2499816A (en) * 2012-02-29 2013-09-04 Oxford Instr Nanotechnology Tools Ltd Controlling deposition and etching in a chamber with fine time control of parameters and gas flow
US9460894B2 (en) 2013-06-28 2016-10-04 Lam Research Corporation Controlling ion energy within a plasma chamber
US10297459B2 (en) * 2013-09-20 2019-05-21 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9318304B2 (en) 2013-11-11 2016-04-19 Applied Materials, Inc. Frequency tuning for dual level radio frequency (RF) pulsing
US9520294B2 (en) * 2014-08-29 2016-12-13 Applied Materials, Inc. Atomic layer etch process using an electron beam
US9536749B2 (en) 2014-12-15 2017-01-03 Lam Research Corporation Ion energy control by RF pulse shape
GB201515622D0 (en) * 2015-09-03 2015-10-21 Oxford Instr Nanotechnology Tools Ltd Cyclical plasma etching

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8974684B2 (en) * 2011-10-28 2015-03-10 Applied Materials, Inc. Synchronous embedded radio frequency pulsing for plasma etching
TW201517167A (zh) * 2013-09-06 2015-05-01 Applied Materials Inc 使用同步射頻脈衝以蝕刻材料之方法

Also Published As

Publication number Publication date
JP2018536981A (ja) 2018-12-13
WO2017059017A1 (en) 2017-04-06
TW201720952A (zh) 2017-06-16
CN108140573A (zh) 2018-06-08
CN108140573B (zh) 2023-06-20
US20170098549A1 (en) 2017-04-06
KR20180051663A (ko) 2018-05-16
US9978606B2 (en) 2018-05-22

Similar Documents

Publication Publication Date Title
TWI723049B (zh) 原子層次解析度與電漿處理控制的方法
US9972503B2 (en) Etching method
US8748322B1 (en) Silicon oxide recess etch
US20200381263A1 (en) Method of processing target object
TWI618145B (zh) 電漿蝕刻方法及電漿蝕刻裝置
KR20180000692A (ko) 에칭 처리 방법
JP6438831B2 (ja) 有機膜をエッチングする方法
US11462412B2 (en) Etching method
WO2014057799A1 (ja) プラズマエッチング方法
US20140134848A1 (en) Plasma etching method and plasma etching apparatus
KR20190051817A (ko) 플라즈마 에칭 방법
JP6504827B2 (ja) エッチング方法
US10233535B2 (en) Plasma processing apparatus and plasma processing method
JP2023053351A (ja) プラズマ処理装置
US11107692B2 (en) Etching method
JP7195113B2 (ja) 処理方法及び基板処理装置
JP2015106587A (ja) 静電チャックのコーティング方法及びプラズマ処理装置