TW201517167A - 使用同步射頻脈衝以蝕刻材料之方法 - Google Patents

使用同步射頻脈衝以蝕刻材料之方法 Download PDF

Info

Publication number
TW201517167A
TW201517167A TW103128653A TW103128653A TW201517167A TW 201517167 A TW201517167 A TW 201517167A TW 103128653 A TW103128653 A TW 103128653A TW 103128653 A TW103128653 A TW 103128653A TW 201517167 A TW201517167 A TW 201517167A
Authority
TW
Taiwan
Prior art keywords
processing chamber
applying
time point
substrate
gas mixture
Prior art date
Application number
TW103128653A
Other languages
English (en)
Inventor
Jong-Mun Kim
Daisuke Shimizu
Katsumasa Kawasaki
Sergio Fukuda Shoji
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW201517167A publication Critical patent/TW201517167A/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Abstract

本發明之實施例提供用於使用同步射頻脈衝蝕刻一材料層之方法。在一個實施例中,方法包括提供氣體混合物至處理腔室中,在第一時間點施加第一射頻電源至處理腔室以在氣體混合物中形成電漿,在第二時間點施加第一射頻偏壓功率至處理腔室以對基板執行蝕刻製程,在第三時間點關閉第一射頻偏壓功率同時自第一時間點至第二及第三時間點連續地保持第一射頻電源開啟,且在第四時間點關閉第一射頻電源同時連續地自第一時間點至第二、第三及第四時間點提供氣體混合物至處理腔室。

Description

使用同步射頻脈衝以蝕刻材料之方法
本發明之實施例通常係關於使用同步射頻脈衝蝕刻材料層之方法,且更特定言之係關於在半導體應用中使用同步射頻脈衝蝕刻材料層之方法。
可靠地製造次至半微米及較小特徵為對於下一代超大型積體電路(very large scale integration;VLSI)及極大型積體電路(ultra large-scale integration;ULSI)之半導體裝置的關鍵技術挑戰。然而,隨著超越電路技術之限制,VLSI及ULSI的互連技術之縮小尺寸已對處理能力施加了額外要求。閘極結構在基板上之可靠形成對於VLSI及ULSI之成功及對於增加電路密度及個別基板及晶片之品質之持續努力是重要的。
諸如光阻劑層之圖案化遮罩通常用於藉由蝕刻過程在基板上形成結構,該等結構諸如閘極結構、淺溝槽隔離(shallow trench isolation;STI)、蝕刻線(bite line)等等。圖案化遮罩習知地係藉由使用微影術製程以光學地傳遞一圖案至光阻劑層而製造,該圖案具有所要臨界尺寸。隨後,形成光 阻劑層以移除非所要部分之光阻劑,從而在剩餘光阻劑中創建開口。
為了實現能夠製造下一代裝置及結構,常常利用半導體晶片之三維(three dimensional;3D)堆疊以改良電晶體之效能。藉由以三維而非習知二維佈置電晶體,多個電晶體可彼此非常接近地置放於積體電路(integrated circuit;IC)中。半導體晶片之三維(Three dimensional;3D)堆疊降低線長度且將接線延遲保持較低。然而,半導體晶片之三維(three dimensional;3D)堆疊之設計常常相對於技術限制進一步推動結構之幾何形狀限制,所以對於製造具有高深寬比之較小臨界尺寸結構之精確製程控制的需求變得日益重要。蝕刻製程期間的不良製程控制將產生不規則之結構輪廓及線邊緣粗糙度,從而產生所形成結構之不良接線完整性。另外,在蝕刻期間形成之蝕刻副產物之不不規則輪廓及生長可逐漸阻塞用以製造較小臨界尺寸結構之小的開口,從而產生蝕刻結構之弓形、扭曲、傾倒或扭轉的輪廓。
因此,存在可蝕刻具有高深寬比之材料層,特定言之用於具有精確製程及輪廓控制之半導體晶片或其他半導體裝置之三維(3D)堆疊的改良方法及設備之需要。
本發明之實施例提供用於製造半導體裝置,特定言之用於製造半導體晶片之三維(3D)堆疊之使用同步射頻脈衝蝕刻材料層的設備及方法。在一個實施例中,使用同步射頻脈衝蝕刻安置於基板上之材料層之方法包括:提供氣體混合 物至具有安置於處理腔室中之基板的處理腔室中,在第一時間點施加第一射頻電源至處理腔室以在氣體混合物中形成電漿,在第二時間點施加第一射頻偏壓功率至處理腔室以對基板執行蝕刻製程,在第三時間點關閉施加於處理腔室之第一射頻偏壓功率同時自第一時間點至第二及第三時間點保持第一射頻電源開啟,且在第四時間點關閉施加於處理腔室之第一射頻電源同時連續地自第一時間點至第二、第三及第四時間點提供氣體混合物至處理腔室。
在另一個實施例中,用於蝕刻安置於基板上之材料層的方法包括:藉由供應氣體混合物至處理腔室中而對基板執行預塗覆製程且藉由在氣體混合物中施加第一射頻電源而自氣體混合物形成電漿,藉由供應第一射頻偏壓功率至處理腔室中同時在處理腔室中施加第一射頻電源而對基板執行蝕刻製程,及藉由關閉施加至處理腔室中之第一射頻偏壓功率同時在處理腔室中連續地施加第一射頻電源而對基板執行預塗覆製程。
100‧‧‧處理腔室
101‧‧‧基板
102‧‧‧腔室主體
104‧‧‧蓋
106‧‧‧內部體積
108‧‧‧側壁
110‧‧‧底部
114‧‧‧內表面
118‧‧‧內部襯墊
126‧‧‧排放口
128‧‧‧泵系統
130‧‧‧噴淋頭組件
132'‧‧‧進氣口
132"‧‧‧進氣口
134‧‧‧內部區域
136‧‧‧外部區域
138‧‧‧通道
140‧‧‧光學監控系統
141‧‧‧匹配網路
142‧‧‧窗口
143‧‧‧射頻電源
148‧‧‧基板支撐基座組件
150‧‧‧控制器
152‧‧‧中央處理單元
154‧‧‧記憶體
156‧‧‧支援電路
158‧‧‧氣體分配盤
162‧‧‧安裝板
164‧‧‧基座
166‧‧‧靜電夾盤
168‧‧‧導管
170‧‧‧導管
172‧‧‧流體源
174‧‧‧埋入式隔離器
177‧‧‧遠端電漿源
178‧‧‧電源
180‧‧‧電極
182‧‧‧夾持電源
184‧‧‧射頻功率偏壓源
186‧‧‧射頻功率偏壓源
188‧‧‧匹配電路
189‧‧‧偏壓電源
190‧‧‧溫度感測器
192‧‧‧溫度感測器
200‧‧‧蝕刻製程
202‧‧‧方塊
204‧‧‧方塊
206‧‧‧方塊
208‧‧‧方塊
210‧‧‧方塊
212‧‧‧環線
302‧‧‧材料層
304‧‧‧圖案化遮罩層
306‧‧‧敞開特徵
308‧‧‧部分
310‧‧‧預定深度
312‧‧‧底表面
313‧‧‧側壁
314‧‧‧預塗覆層
316‧‧‧預定深度
318‧‧‧環線
320‧‧‧所要深度
322‧‧‧後塗覆層
324‧‧‧蝕刻殘餘物
360‧‧‧敞開特徵
402‧‧‧跡線/第一射頻電源
404‧‧‧第一時間段
406‧‧‧第二時間段
406-1‧‧‧初始時間段
406-2‧‧‧最終時間段
408‧‧‧第三時間段
410‧‧‧第四時間段
412‧‧‧跡線/第一射頻偏壓功率
414‧‧‧第一射頻偏壓功率
418‧‧‧第二射頻偏壓功率
為了其中可詳細瞭解本發明之上述特徵之方式,上文簡要概述的本發明之更特定描述可參照實施例獲得,該等實施例中之一些實施例圖示於附圖中。然而,應當注意,附圖僅圖示本發明之典型實施例,且因此不將附圖視為限制本發明之範疇,因為本發明可承認其他同等有效的實施例。
第1圖圖示用於使用循環及同步射頻脈衝執行蝕刻製程之設備; 第2圖圖示利用第1圖之設備使用循環及同步射頻脈衝執行蝕刻製程的方法之流程圖;及第3A圖至第3F圖圖示在蝕刻製程期間用於使用循環及同步射頻脈衝蝕刻形成在基板上之材料層之順序的一個實施例;及第4A圖至第4C圖圖示在蝕刻製程期間之多個射頻功率設定之不同實施例。
為了促進理解,在可能的情況下,已使用相同元件符號指定諸圖共用之相同元件。預期一實施例之元件及特徵可有利地併入其他實施例而無需進一步敘述。
然而,應注意,附圖僅圖示本發明之示例性實施例,且因此不將附圖視為限制本發明之範疇,因為本發明可承認其他同等有效的實施例。
本發明提供用於蝕刻形成於半導體裝置之基板上之高深寬比特徵的材料層,特定言之用於半導體晶片之三維(3D)堆疊之方法。在一個實施例中,蝕刻製程可在蝕刻製程期間使用循環及同步射頻脈衝以提供用於蝕刻材料層之多個蝕刻/沉積階段。可在蝕刻製程期間使用諸如多個射頻源或偏壓功率之多個射頻功率以控制蝕刻輪廓及特徵傳遞。
第1圖為處理腔室100之一實施例之剖面圖,該處理腔室適用於使用循環及同步射頻脈衝來執行蝕刻製程以蝕刻基板上之材料層。可經調適用於本文揭示之教示之適當處理腔室包括例如可自加利福尼亞聖克拉拉(Santa Clara, California)之應用材料公司(Applied Materials,Inc.)獲得之改良ENABLER®處理腔室。儘管處理腔室100經圖示為包括能夠實現優越蝕刻及修整效能之複數個特徵,但是可以預期,其他處理腔室可經調適以受益於本文揭示之一或更多個發明特徵。
處理腔室100包括腔室主體102及蓋104,蓋104封閉內部體積106。腔室主體102通常由鋁、不銹鋼或其他適當材料製成。腔室主體102通常包括側壁108及底部110。基板支撐基座進入孔(未圖示)通常經界定於側壁108中且藉由流量閥有選擇地密封以促進基板101自處理腔室100之進出。排放口126經界定於腔室主體102中且將內部體積106耦接至泵系統128。泵系統128通常包括一或更多個泵及節流閥,該一或更多個泵及節流閥用於抽空且調節處理腔室100之內部體積106之壓力。在一個實施例中,泵系統128將內部體積106內部之壓力保持於工作壓力,該工作壓力通常在約10mTorr至約500Torr之間。
蓋104經密封地支撐於腔室主體102之側壁108上。蓋104可打開以允許進出處理腔室100之內部體積106。蓋104包括窗口142,窗口142促進光學製程監控。在一個實施例中,窗口142由石英或對信號透射之其他適當材料組成,該信號藉由安裝於處理腔室100外部之光學監控系統140使用。
光學監控系統140經定位以經由窗口142查看腔室主體102之內部體積106及/或位於基板支撐基座組件148上 之基板101中的至少一者。在一個實施例中,光學監控系統140經耦接至蓋104且促進整合沉積製程,該沉積製程使用光學測量以提供資訊,該資訊使製程調整能夠補償輸入基板圖案特徵之不一致性(諸如厚度不一致性,等等),根據需要提供製程狀態監控(諸如電漿監控、溫度監控等等)。可經調適以受益於本發明之一光學監控系統為EyeD®全光譜干涉測量模組,該測量模組可自Santa Clara,California之應用材料公司(Applied Materials,Inc.)獲得。
氣體分配盤158經耦接至處理腔室100以提供製程氣體及/或清洗氣體至內部體積106。在第1圖中所描繪之實施例中,在蓋104中提供進氣口132'、132"以允許氣體得以自氣體分配盤158傳遞至處理腔室100之內部體積106。在一個實施例中,氣體分配盤158經調適以經由進氣口132'、132"提供氟化製程氣體且提供至處理腔室100之內部體積106中。在一個實施例中,自氣體分配盤158提供之製程氣體包括至少一氟化氣體、氯氣,及含碳氣體、氧氣、含氮氣體及含氯氣體。氟化氣體及含碳氣體之實例包括CHF3、CH2F2及CF4。其他氟化氣體可包括C2F、C4F6、C3F8及C5F8中之一或更多者。含氧氣體之實例包括O2、CO2、CO、N2O、NO2、O3、H2O等等。含氮氣體之實例包括N2、NH3、N2O、NO2等等。含氯氣體之實例包括HCl、Cl2、CCl4、CHCl3、CH2Cl2、CH3Cl等等。含碳氣體之適當實例包括甲烷(CH4)、乙烷(C2H6)、乙烯(C2H4),等等。
噴淋頭組件130經耦接至蓋104之內表面114。噴 淋頭組件130包括複數個孔,該複數個孔允許氣體以橫跨基板101之表面的預定分佈自進氣口132'、132"流經噴淋頭組件130至處理腔室100之內部體積106中,該基板101正在處理腔室100中被處理。
遠端電漿源177可經視情況地耦接至氣體分配盤158,以促進在來自遠端電漿之氣體混合物進入內部體積106以便處理之前將該氣體混合物解離。射頻電源143經由匹配網路141耦接至噴淋頭組件130。射頻電源143通常能夠在一可調諧頻率下產生達到約3000W之頻率,該可調諧頻率在自約50kHz至約200MHz之範圍內。
噴淋頭組件130另外包括對光學測量訊號透射之區域。光學透射之區域或通道138適合於允許光學監控系統140查看內部體積106及/或位於基板支撐基座組件148上之基板101。通道138可為形成或安置於噴淋頭組件130中之材料、孔或複數個孔,該材料、孔或複數個孔對藉由光學監控系統140產生,及反射回至光學監控系統140之能量之波長大體上透射。在一個實施例中,通道138包括窗口142,以防止通過通道138之氣體洩漏。窗口142可為藍寶石板、石英板或其他適當材料。窗口142可替代地安置於蓋104中。
在一個實施例中,噴淋頭組件130經設置具有複數個區域,該複數個區域允許單獨控制流入處理腔室100之內部體積106中的氣體。在第1圖中之實施例中,噴淋頭組件130具有內部區域134及外部區域136,該內部區域134及外部區域136經分別經由單獨的進氣口132'、132"耦接至氣體 分配盤158。
基板支撐基座組件148在氣體分配(噴淋頭)組件130之下安置於處理腔室100之內部體積106中。基板支撐基座組件148在處理期間保持基板101。基板支撐基座組件148通常包括經由該基板支撐基座組件安置之複數個升舉銷(未圖示),該等升舉銷經設置以自基板支撐基座組件148升舉基板101且以習知方式促進基板101與機器人(未圖示)之交換。內部襯墊118可緊密地限制基板支撐基座組件148之周邊。
在一個實施例中,基板支撐基座組件148包括安裝板162、基座164及靜電夾盤166。安裝板162經耦接至腔室主體102之底部110,安裝板162包括用於排定實用物至基座164及靜電夾盤166之路線的通道,該等實用物諸如流體、電力線及感測器引線等等。靜電夾盤166包含至少一個夾緊電極180,用於將基板101保持於噴淋頭組件130之下。如習知地所知,靜電夾盤166係藉由產生靜電力之夾持電源182驅動,該靜電力將基板101保持於夾盤表面。或者,基板101可藉由夾緊、真空或重力保持於基板支撐基座組件148。
基座164或靜電夾盤166中之至少一者可包括至少一個可選埋入式加熱器176、至少一個可選埋入式隔離器174及複數個導管168、170以控制基板支撐基座組件148之橫向溫度輪廓。導管168、170經流體地耦接至流體源172,流體源172經由該流體源循環溫度調節流體。加熱器176係藉由電源178調節。導管168、170及加熱器176係用於控制基座 164之溫度,從而加熱及/或冷卻靜電夾盤166且最終地,加熱及/或冷卻安置於該靜電夾盤上之基板101的溫度輪廓。靜電夾盤166及基座164之溫度可使用複數個溫度感測器190、192監控。靜電夾盤166可進一步包含諸如凹槽之複數個氣體通道(未圖示),該複數個氣體通道形成於夾盤166之基板支撐基座的支撐表面中且流體地耦接至熱傳遞(或背側)氣體(諸如He)之來源。在操作中,背側氣體係在可控之壓力下提供至氣體通道中,以增強靜電夾盤166與基板101之間的熱傳遞。
在一個實施例中,基板支撐基座組件148經設置為陰極,且基板支撐基座組件148包括耦接至複數個射頻功率偏壓源184、186之電極180。射頻偏壓電源184、186經耦接在安置於基板支撐基座組件148中之電極180與另一電極之間,該另一電極諸如噴淋頭組件130或腔室主體102之頂板(蓋104)。射頻偏壓功率激勵且維持由置於腔室主體102之處理區域中之氣體形成的電漿放電。
在第1圖中所描繪之實施例中,雙射頻偏壓電源184、186經由匹配電路188耦接至安置於基板支撐基座組件148中之電極180。藉由射頻偏壓功率184、186產生之訊號經由匹配電路188通過單級饋電傳遞至基板支撐基座組件148,以電離提供於電漿處理腔室100中之氣體混合物,從而提供用於執行沉積或其他電漿增強製程所必需之離子能量。射頻偏壓電源184、186通常能夠產生一射頻訊號,該射頻訊號具有自約50kHz至約200MHz之頻率及在約0瓦特與約 5000瓦特之間的功率。額外之偏壓電源189可經耦接至電極180以控制電漿之特性。
在一個操作模式中,基板101經安置於電漿處理腔室100中之基板支撐基座組件148上。製程氣體及/或氣體混合物經由噴淋頭組件130自氣體分配盤158引入腔室主體102中。真空泵系統128保持腔室主體102內部之壓力,同時移除沉積副產物。
控制器150經耦接至處理腔室100以控制處理腔室100之操作。控制器150包括中央處理單元(central processing unit;CPU)152、記憶體154,及支援電路156,用於控制製程順序及調節自氣體分配盤158流動之氣體。CPU 152可為可用於工業設定中之任何形式之通用電腦處理器。軟體常式可儲存於記憶體154中,該記憶體諸如隨機存取記憶體、唯讀記憶體、軟碟,或硬碟驅動器,或其他形式之數位儲存器。支援電路156習知地經耦接至CPU 152,且支援電路156可包括快取記憶體、時鐘電路、輸入/輸出系統、電源等等。控制器150與處理系統100之各種組件之間的雙向通訊係經由許多訊號電纜處理。
第2圖為蝕刻製程200之一個實施例之流程圖,該蝕刻製程可在腔室100或其他適當處理腔室中實踐。第3A圖至第3F圖為對應於製程200之各種階段之複合基板的一部分之示意剖視圖。製程200可用於為結構,為半導體裝置,特定言之為半導體記憶體之三維(3D)堆疊形成例如大於20:1之高深寬比特徵。或者,製程200可有利地用於蝕刻其他類型 之結構。
製程200藉由輸送(亦即,提供)諸如基板101之基板至蝕刻製程腔室而在方塊202處開始,該蝕刻製程腔室諸如描繪於第1圖中之蝕刻腔室100。基板101可具有大體上平面之表面、不均勻表面,或具有形成於平面表面上之結構的大體上平面表面。在第3A圖中所示之實施例中,基板101具有安置於材料層302上之圖案化遮罩層304。在一個實施例中,材料層302可用於在前端製程或後端製程中形成閘極結構、接觸結構或互連結構。在一個實施例中,可對材料層302執行方法200以在材料層302中形成接觸結構。基板101可為一材料,該材料諸如晶態矽(例如,Si<100>或Si<111>)、氧化矽、應變矽、矽鍺、摻雜或無摻雜多晶矽、摻雜或無摻雜矽晶圓及圖案化或非圖案化晶圓、絕緣體上矽(silicon on insulator;SOI)、碳摻雜氧化矽、氮化矽、摻雜矽、鍺、砷化鎵、玻璃、藍寶石。基板可具有各種尺寸,該等尺寸諸如200mm、300mm、450mm或其他直徑之晶圓,以及矩形或正方形面板。除非另作說明,否則本文所述之實施例及實例係對具有200mm之直徑、300mm之直徑,或450mm之直徑的基板進行。在其中SOI結構用於基板101之實施例中,基板101可包括安置於矽晶態基板上之內埋式介電層。在本文所描繪之實施例中,基板101可為晶態矽基板。
在一個實施例中,材料層302為介電層。圖案化遮罩層304具有敞開特徵306,敞開特徵306暴露材料層302之部分308以便蝕刻。在一個實施例中,遮罩層304可為硬 遮罩、光阻蝕刻遮罩或上述各者之組合。遮罩層304中之敞開特徵306經用作蝕刻遮罩,以使用所要深寬比於材料層302中形成敞開特徵360。本文所述之敞開特徵360可包括溝槽、通孔、開口等等。在一個實施例中,遮罩層304可為一材料,該材料選自由以下各者組成之群組:矽、氧化矽、氮化矽、氮氧化矽、碳化矽、無定形碳,及上述各者之組合。在本文所描繪之示例性實施例中,遮罩層304為無定形碳層。無定形碳層之一個實例可為可自應用材料公司(Applied Materials,Inc)獲得之Advanced Patterning FilmTM(APF)。在一些實施例中,遮罩層304可為圖案化光阻劑層,諸如微影術圖案化遮罩。光阻劑層可為正調光阻劑(positive tone photoresist)、負調光阻劑(negative tone photoresist)、紫外線微影術光阻劑、i線(i-line)光阻劑、電子束抗蝕劑(例如,化學放大抗蝕劑(chemically amplified resist;CAR))或其他適當光阻劑。在再另一個實施例中,遮罩層304可為安置於硬遮罩層上之光阻劑層之組合。
材料層302可為介電層,諸如介電氧化層。材料層302可包括多個層、複合層,或單個層。介電層之其他適當材料包括無摻雜矽玻璃(undoped silicon glass;USG)(諸如氧化矽或四乙氧基矽烷)、硼矽玻璃(boron-silicate glass;BSG)、磷矽玻璃(phosphorus-silicate glass;PSG)、硼磷矽玻璃(boron-phosphorus-silicate glass;BPSG)、氮化矽、阿爾法莫斯(alphamos)矽,及上述各者之組合。材料層302亦可為複合層,該複合層包括氧化矽及多晶矽或氧化矽及氮化矽。在本 文所描繪之示例性實施例中,材料層302為無摻雜矽玻璃(USG)層。在一個實施例中,介電層302具有在約3000Å至約30000Å之間,諸如在約4000Å至約40000Å之間,例如約20000Å之厚度。
在一個實施例中,材料層302可經蝕刻至預定深度310以暴露材料層302之部分312,如第3B圖中所示。材料層302可以任何適當之蝕刻製程蝕刻。材料層302可藉由供應氣體混合物以及施加於處理腔室之初始射頻功率至處理腔室中而蝕刻。氣體混合物包括至少一種氟基及碳基氣體以各向異性地蝕刻安置於基板101上之材料層302。初始射頻模式可經施加於氣體混合物中以形成電漿而逐漸地蝕刻材料層302,以便適合形成於材料層302中之特徵360之垂直蝕刻輪廓。在一個實施例中,初始射頻功率模式可包括在約162MHz之頻率的射頻電源,及視情況地在約60MHz之頻率的射頻偏壓功率。
在處理期間,氟基及碳基氣體藉由自氣體混合物形成之電漿解離為反應蝕刻劑。自氣體混合物中之氟基及碳基氣體解離之氟離子經由開口特徵306與材料層302反應且侵蝕該材料層,該等開口特徵藉由遮罩層314界定。在一實施例中,為了保持在材料層302中形成之特徵360之輪廓及適當臨界尺寸,且同時防止遮罩層304在蝕刻期間過早地消耗,經選擇以添加至氣體混合物中之碳基氣體為富聚合物含碳氣體。富聚合物含碳氣體當藉由氣體混合物中之電漿解離時產生聚合物,在遮罩層304及材料層302之側壁及暴露表面上 形成聚合物鈍化,以保護遮罩層304及在材料層302中形成之特徵360之側壁在蝕刻製程之初期階段期間免於被過度地蝕刻且侵蝕。
在一個實施例中,富聚合物含碳氣體包括C4F6、C4F8,及C2F2等,該等氣體可在蝕刻期間提供聚合物,例如碳原子。在氣體混合物中供應之氟基氣體之實例包括CF4、CHF3、C2F6、C4F6、C5F8、CH2F2、SF6、NF3等等。在示例性實施例中,在氣體混合物中使用之聚合物類型之碳基氣體為CH4且氟基及碳基氣體為C4F6
惰性氣體可視情況地與氣體混合物一起供應以幫助承載氣體混合物至蝕刻腔室中。惰性氣體之適當實例包括N2、Ar、He、Xe及Kr氣體。另外,載氣或一些其他氣體亦可添加至氣體混合物中以幫助承載氣體至處理腔室中,以便處理及促進反應完成。載氣之適當實例包括N2、O2、N2O、NO2、NH3、H2O、O3等等。
調節若干製程參數,同時將蝕刻氣體混合物供應至施加初始射頻功率之蝕刻腔室中。在一個實施例中,腔室壓力經調節在約5mTorr至約400mTorr之間,例如,調節在約40mTorr之壓力下。初始射頻功率模式可包括至少一射頻電源及一射頻偏壓功率。可施加射頻電源以維持由氣體混合物形成之電漿。例如,約200瓦特至約3000瓦特之射頻電源可施加至電容耦合的天線源以維持蝕刻腔室內部之電漿。約200瓦特至約10000瓦特之射頻偏壓功率可施加於處理腔室。氟基氣體可以在約30sccm至約300sccm之間的速率流入腔 室。富聚合物含碳氣體可以在約0sccm至約500sccm之間的速率流入腔室。基板溫度經保持在約-10攝氏度至約60攝氏度之間。
另外,在蝕刻氣體混合物中供應之氟化及碳化氣體與富聚合物含碳氣體之比率可得以控制。在一個實施例中,氟基氣體與富聚合物含碳氣體之氣體比率經控制在約1:0至約1:30之間,例如,控制為約1:5。
在此初始步驟中,形成於介電材料302中之特徵360可具有在約0nm與約200nm之間的深度310。或者,蝕刻深度310可控制為經蝕刻以便在介電層302中形成特徵360之期望總深度的約0%至約10%。
在方塊204處,在材料層302經蝕刻至預定深度310之後,將第一射頻功率模式與氣體混合物一起供應至處理腔室中以執行預塗覆製程,以在基板101上沉積預塗覆層314,如第3C圖中所示。氣體混合物中供應之氣體物種可保持不變或根據需要改變。如上文所論述,在蝕刻製程期間,特徵306之側壁313亦可藉由侵蝕性蝕刻劑侵蝕,該等蝕刻劑可能不利地產生對材料層302之橫向蝕刻,導致非所要的輪廓變形。藉由在特徵306之側壁313及底表面312以及在遮罩層304之頂表面上沉積預塗覆層314,保護側壁313及遮罩層304之頂表面在後續蝕刻製程期間免受蝕刻,從而防止在蝕刻製程期間習知發生之特徵側壁侵蝕。
在一個實施例中,第一射頻功率模式包括提供射頻電源,該射頻電源具有約162MHz之射頻頻率。當執行第一 射頻功率模式時,可消除(亦即,關閉)偏壓功率,僅使用射頻電源以沉積預塗覆層314,而無需特定方向性。執行預塗覆製程,直至達到所要厚度之預塗覆層314為止。在一個實施例中,預塗覆層314具有在約1x10-5nm與約3x10-5nm之間的厚度。
在一實施例中,製程參數可類似於當應用如上所述之初始射頻功率模式時調節的製程參數。
在方塊206處,利用第二射頻功率模式蝕刻材料層302至第二預定深度316,如第3D圖中所示。在蝕刻製程期間,預塗覆層314可經消耗且蝕刻掉,從而在材料層302中暴露敞開特徵360之下層側壁313,無需後續製程期間之保護。因此,可使用第二射頻功率模式以蝕刻材料層302,直至達到預定深度316及/或預塗覆層314經消耗且自基板101蝕刻掉為止。
在一個實施例中,第二射頻功率模式包括使用至少一射頻電源及至少一射頻偏壓功率。在一些實施例中,雙射頻偏壓功率可用於幫助向在蝕刻製程期間產生之電漿提供方向性。在一個實施例中,射頻電源係在大約162MHz提供。射頻偏壓功率可根據需要在60MHz及/或2MHz提供。在第二射頻功率模式之一個特定實施例中,射頻電源係在大約162MHz提供,且射頻偏壓功率係在60MHz提供。在利用雙射頻偏壓功率之第二射頻功率模式之另一個實施例中,其中射頻電源係在約162MHz提供,及第一射頻偏壓功率係在60MHz提供且第二射頻偏壓功率係在2MHz提供。
應注意,如第3圖中之環線318所示,可重複地(亦即,循環地)執行方塊204及206,直至在材料層302中形成特徵360之所要目標深度320為止,如第3E圖中所示,此舉將在下文中進一步論述。在一個實施例中,所要目標深度320可在約1x10-4nm與約5x10-4nm之間。該等重複之製程可在不過度侵蝕性地侵蝕材料層302之情況下循環且增量地蝕刻材料層302。使用重複沉積之增量蝕刻及預塗覆層304及材料層302之移除改良了特徵垂直性,且增強了在遮罩304與材料層302之間傳遞的特徵CD的遮罩精確度。在一個實施例中,特徵360可具有小於80nm之臨界尺寸。
在其中預塗覆層314具有用於在蝕刻製程期間維持及最小化遮罩層損失之足夠厚度,直至達到所要目標深度320為止之情況下,方塊204及206處之製程可僅執行一次以完成材料層302中之特徵360的形成。
在方塊208處,在具有所要目標深度320之特徵360形成於材料層302中之後,可在氣體混合物中應用第三射頻功率模式以執行後塗覆製層,形成襯於特徵306之側壁313及底表面330上之後塗覆層322,如第3E圖中所示。後塗覆層322可在蝕刻製程期間幫助修復材料層302之側壁313上的懸空鍵且填充所產生之晶格間隙位置/空位,且幫助獲得具有垂直側壁輪廓及強健介面/蝕刻表面之特徵306。
在一個實施例中,第三射頻功率模式包括射頻電源,該射頻電源具有用於形成後塗覆層322之約162MHz的射頻頻率。當執行第三射頻功率模式時,可消除(關閉)偏 壓功率,或射頻電源係在大約162MHz提供且偏壓功率係在大約60MHz提供,以便僅使用高頻射頻功率通常用來在無需特定方向性之情況下於蝕刻基板101上沉積後塗覆層322。執行後塗覆製程,直至達到所要厚度之後塗覆層322為止。在一個實施例中,後塗覆層322具有在約1x10-5nm與約3x10-5nm之間的厚度。
在方塊210處,在後塗覆層322以所要尺寸(例如,所要深度320)形成於特徵306上之後,關閉包括施加於處理腔室100之射頻電源或射頻源及偏壓功率之第三射頻功率模式,同時保持供應氣體混合物至處理腔室以執行泵浦/淨化製程。留在處理腔室中之氣體混合物可自基板表面移除及/或抽走處理殘餘物324,如第3F圖中所示,從而幫助保持基板101之清潔度。在一個實施例中,在泵浦/淨化製程期間供應之氣體混合物包括惰性氣體,諸如Ar或He。
應注意,可重複地執行自方塊204至方塊210之製程步驟(如環線212所示)以增量地蝕刻特徵306,直至達到所要尺寸為止,該尺寸包括特徵306之深度320及/或寬度。
第4A圖描繪在上文參考第2圖及第3A圖至第3F圖所述之蝕刻製程期間的不同射頻功率模式中之射頻功率設定之一個實施例。例如,在第4A圖中所描繪之實施例中,自製程開始至第一時間點t1執行之第一時間段404經配置以使用第一射頻模式執行在第2圖之方塊204處之製程。在第一時間段404中,開啟第一射頻電源(如由跡線402所示)以執行預塗覆製程,同時不施加偏壓功率(如由跡線412所示)。 在此特定製程中,預塗覆層314隨後僅在開啟第一射頻電源402之情況下於第一射頻模式中形成至基板101之表面上。
隨後,在自第一時間點t1開始至第二時間點t2之第二時間段406中,執行在方塊206處的如上所述之第二射頻功率模式以開啟第一射頻偏壓功率412,同時亦保持第一射頻電源402開啟以執行主要蝕刻製程來蝕刻材料層302中之特徵306,如第3D圖中所示。第二時間點t2可為在蝕刻製程期間自第一時間點t1延遲之約10微秒與約8800微秒之間。施加於處理腔室之第一射頻電源及第一射頻偏壓功率之同步應用幫助自蝕刻氣體混合物解離氣體以形成具有特定方向性之離子,執行形成具有所要垂直輪廓之特徵306之各向異性蝕刻製程。在一個實施例中,所執行之第二時間段406可根據需要儘可能長,直至在材料層302中形成所要深度316為止。在一些實施例中,可重複地執行第一時間段404中之第一射頻功率模式及第二時間段406中之第二射頻功率模式以增量地蝕刻特徵306,直至達到最終及所要深度320為止。在一些其他實施例中,第一時間段404及第二時間段406可僅需執行一次以預塗,且隨後以根據需要在材料層302中形成具有所要最終深度320之特徵306。
在第二時間段406之後的自第二時間點t2至第三時間點t3之第三時間段408,隨後關閉第一射頻偏壓功率412,同時僅保持第一射頻電源402開啟以執行如在方塊208處所描述之後塗覆製程,在基板101上形成後塗覆層322,如第3E圖中所示。在第四時間段410之最終步驟中,關閉第一射 頻偏壓功率412及第一射頻電源402兩者,同時僅維持供應至處理腔室之氣體混合物以執行如在方塊210處所述之泵浦/淨化製程,以移除蝕刻殘餘物324,如第3F圖中所示。
在一個實施例中,第一時間段404可在約1微秒與約4000微秒之間。第二時間段406在約10微秒與約8800微秒之間。第三時間段408在約1微秒與約4000微秒之間。第四時間段410在約10微秒與約8800微秒之間。
藉由利用在蝕刻製程之不同階段之射頻電源及射頻偏壓功率兩者的同步,可以獲得例如交替沉積或蝕刻階段之不同製程效能,以便實現具有高深寬比及足夠側壁保護之小尺寸的蝕刻特徵。換言之,藉由在蝕刻製程之不同階段週期性地脈衝產生射頻偏壓功率,可獲得沉積製程、蝕刻製程,及泵浦/淨化製程之間的切換以利用不同沉積/蝕刻機制蝕刻特徵,以便獲得具有所要輪廓及尺寸之特徵。
第4B圖描繪在蝕刻製程期間之不同時間段利用多個射頻源及偏壓功率於材料層302中蝕刻特徵306之另一個實施例。如上文所論述,在蝕刻製程之第一時間段404中,僅施加第一射頻電源402以執行預塗覆製程。在第二時間段406中,除施加第一射頻偏壓功率412之外,亦施加第二射頻偏壓功率416至處理腔室以幫助解離氣體混合物以產生具有所要方向性之離子。咸信增加第二射頻偏壓功率416可幫助增強解離之離子在蝕刻期間穿透至特徵306之底部330的方向性,從而防止特徵306之過早堵塞且延伸離子軌跡。
在第4B圖之第三時間段408中,由於具有高深寬比 及較小尺寸之特徵306可能已形成於材料層302中,所以隨後關閉第二射頻偏壓功率416同時仍保持第一射頻偏壓功率412開啟以在後塗覆製程期間向離子提供方向性,幫助離子進一步向下達到特徵306之底部330,在特徵306之內形成具有高一致性之後塗覆層322。隨後,在第四時間段410中,隨後關閉所有第一射頻電源402、第一射頻偏壓功率412及第二射頻偏壓功率416,僅保持氣體混合物開啟以執行泵浦/淨化製程來清潔蝕刻殘餘物。在此階段中,氣體混合物可包括惰性氣體或載氣,諸如Ar、He、N2、H2、O2等等。
第4C圖描繪關於多個射頻功率可如何在蝕刻製程期間經同步或脈衝產生以最佳化蝕刻結果,在基板表面上獲得具有所要清潔度之高深寬比及小尺寸之特徵306的再另一個實施例。類似於上文在第4A圖及第4B圖中所描繪之實施例,在第4C圖中,第一射頻偏壓功率414可在第二時間段406及第三時間段408兩者中施加於蝕刻氣體混合物。與當第一射頻偏壓功率414施加於處理腔室時之時間點t1相比,第二射頻偏壓功率418亦可在第二時間段406期間施加於氣體混合物中,同時在時間點t1.5具有延遲時間。在第一射頻偏壓功率414施加於處理腔室達第二時間段406中之初始時間段406-1之後,第二射頻偏壓功率418可隨後在時間點t1.5施加於處理腔室達第二時間段406中之最終時間段406-2。第二射頻偏壓功率418之延遲施加可避免產生傳遞至基板101之過度偏壓功率,此舉可能不利地濺射或轟擊基板101,從而消除基板損壞或腔室發弧之可能性。此外,第一射頻偏壓功率414 及第二偏壓功率418兩者亦可在第三時間段408中之後塗覆製程期間連續地施加於處理腔室以幫助沉積製程。在第四時間段410之最終步驟中,第一射頻電源402、第一射頻偏壓功率414及第二射頻偏壓功率418可隨後全部經終止,同時僅保持氣體混合物流動以執行用於蝕刻殘餘物移除之泵浦/淨化製程。
應注意,在通常設置中,當需要解離離子方向性之增強以執行各向異性蝕刻製程時,第一射頻電源402在蝕刻製程期間之第一時間段404、第二時間段406及第三時間段408期間保持開啟,同時第一射頻偏壓功率412、414及/或第二射頻偏壓功率416、418可視情況地在第二時間段406及第三時間段408期間之任何時間點開啟。
因此,用於形成具有高深寬比及小尺寸之特徵的方法係藉由在蝕刻製程期間利用多個射頻功率脈衝之同步獲得。藉由同步在蝕刻製程期間之不同時間點脈衝產生之一或更多個射頻偏壓功率同時保持連續的射頻電源,可在蝕刻製程期間獲得沉積/蝕刻階段兩者,以便在蝕刻製程期間最佳化蝕刻製程同時提供足夠的側壁沉積/保護。如此,得到了用於獲得具有高深寬比及小尺寸之特徵,特定言之用於半導體晶片之三維(3D)堆疊之應用的改良蝕刻製程。
雖然上文係針對本發明之實施例,但是可在不背離本發明之基本範疇的情況下設計本發明之其他及進一步實施例,且本發明之範疇係由隨後的申請專利範圍所決定。
402‧‧‧跡線/第一射頻電源
404‧‧‧第一時間段
406‧‧‧第二時間段
406-1‧‧‧初始時間段
406-2‧‧‧最終時間段
408‧‧‧第三時間段
410‧‧‧第四時間段
414‧‧‧第一射頻偏壓功率
418‧‧‧第二射頻偏壓功率

Claims (20)

  1. 一種使用同步射頻脈衝蝕刻安置在一基板上之一材料層之方法,該方法包含以下步驟:提供一氣體混合物至一處理腔室中,該處理腔室具有安置於該處理腔室中的一基板;在一第一時間點施加一第一射頻電源至該處理腔室以在氣體混合物中形成一電漿;在一第二時間點施加一第一射頻偏壓功率至該處理腔室以在該基板上執行一蝕刻製程;在一第三時間點關閉施加於該處理腔室之該第一射頻偏壓功率,同時自該第一時間點至該第二時間點及該第三時間點連續地保持該第一射頻電源開啟;以及在一第四時間點關閉施加於該處理腔室之該第一射頻電源,同時自該第一時間點至該第二時間點、該第三時間點及該第四時間點連續地提供該氣體混合物至該處理腔室。
  2. 如請求項1所述之方法,進一步包含以下步驟:在於該氣體混合物中施加該第一射頻電源之前,施加一初始射頻電源至該處理腔室。
  3. 如請求項2所述之方法,其中施加該初始射頻電源之步驟進一步包含以下步驟:在施加該初始射頻電源之同時,施加一初始射頻偏壓功率至該處理腔室。
  4. 如請求項1所述之方法,其中在該第一時間點施加該第一射頻電源之步驟進一步包含以下步驟:施加該第一射頻電源達在約1微秒與4000微秒約之間的一第一時間段。
  5. 如請求項1所述之方法,其中在該第一時間點施加該第一射頻電源之步驟進一步包含以下步驟:執行一預塗覆製程以在該基板上形成一預塗覆層。
  6. 如請求項1所述之方法,其中在該第二時間點施加該第一射頻偏壓功率之步驟進一步包含以下步驟:施加該第一射頻偏壓功率達約10微秒與約8800微秒之間。
  7. 如請求項1所述之方法,其中在該第三時間點關閉該第一射頻偏壓功率之步驟進一步包含以下步驟:連續地施加該第一射頻電源達約1微秒與約4000微秒之間。
  8. 如請求項7所述之方法,其中在該處理腔室中連續地施加該第一射頻電源之步驟進一步包含以下步驟:執行一後塗覆製程以在該基板上形成一後塗覆層。
  9. 如請求項1所述之方法,其中在第四時間段連續地供應該氣體混合物至該處理腔室中之步驟包含以下步驟:供應該氣體混合物達約10微秒與約8800微秒之間。
  10. 如請求項9所述之方法,進一步包含以下步驟:在連續地供應該氣體混合物之同時,在該處理腔室中執行一泵浦/淨化製程。
  11. 如請求項9所述之方法,其中在該第四時間段期間連續地供應至該處理腔室之該氣體混合物包括一惰性氣體或一載氣。
  12. 如請求項1所述之方法,其中該氣體混合物包括至少一氟基氣體及一富聚合物含碳氣體。
  13. 如請求項1所述之方法,其中該第一射頻電源具有約162MHz之一頻率。
  14. 如請求項1所述之方法,其中該第一射頻偏壓功率具有約60MHz之一頻率。
  15. 如請求項1所述之方法,其中在該第二時間點施加該第一射頻偏壓功率之步驟進一步包含以下步驟: 當在該處理腔室中連續地施加該第一射頻偏壓功率時,施加一第二射頻偏壓功率。
  16. 如請求項1所述之方法,其中重複地執行該在該第一時間點施加該第一射頻電源之該步驟及該在該第二時間點施加該第一射頻偏壓功率之該步驟。
  17. 一種用於蝕刻安置在一基板上之一材料層之方法,該方法包含以下步驟:藉由供應一氣體混合物至一處理腔室中以在一基板上執行一預塗覆製程,且藉由在該氣體混合物中施加一第一射頻電源以由該氣體混合物形成一電漿;藉由供應一第一射頻偏壓功率至該處理腔室中以在該基板上執行一蝕刻製程,同時在該處理腔室中連續地施加該第一射頻電源;以及藉由關閉施加於該處理腔室中之該第一射頻偏壓功率以在該基板上執行一後塗覆製程,同時在該處理腔室中連續地施加該第一射頻電源。
  18. 如請求項17所述之方法,進一步包含以下步驟:在該處理腔室中執行一泵浦/淨化製程,同時關閉該第一射頻電源,但連續地供應該氣體混合物至該處理腔室中。
  19. 如請求項17所述之方法,其中該基板包括安置於該基板上之一材料層,其中該材料層為一氧化矽層、氮化矽、阿爾法莫斯(alphamos)矽或一複合層,該複合層包括氧化矽及多晶矽或氧化矽及氮化矽。
  20. 如請求項17所述之方法,其中該氣體混合物包括至少一氟基氣體及一富聚合物含碳氣體。
TW103128653A 2013-09-06 2014-08-20 使用同步射頻脈衝以蝕刻材料之方法 TW201517167A (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US14/020,773 US9269587B2 (en) 2013-09-06 2013-09-06 Methods for etching materials using synchronized RF pulses

Publications (1)

Publication Number Publication Date
TW201517167A true TW201517167A (zh) 2015-05-01

Family

ID=52626018

Family Applications (1)

Application Number Title Priority Date Filing Date
TW103128653A TW201517167A (zh) 2013-09-06 2014-08-20 使用同步射頻脈衝以蝕刻材料之方法

Country Status (3)

Country Link
US (1) US9269587B2 (zh)
TW (1) TW201517167A (zh)
WO (1) WO2015034590A1 (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111916327A (zh) * 2019-05-10 2020-11-10 中微半导体设备(上海)股份有限公司 多频率多阶段的等离子体射频输出的方法及其装置
TWI723049B (zh) * 2015-10-02 2021-04-01 美商應用材料股份有限公司 原子層次解析度與電漿處理控制的方法
CN113366603A (zh) * 2019-02-08 2021-09-07 应用材料公司 蚀刻半导体结构的方法和设备

Families Citing this family (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9299574B2 (en) 2013-01-25 2016-03-29 Applied Materials, Inc. Silicon dioxide-polysilicon multi-layered stack etching with plasma etch chamber employing non-corrosive etchants
US20150371889A1 (en) * 2014-06-20 2015-12-24 Applied Materials, Inc. Methods for shallow trench isolation formation in a silicon germanium layer
CN105513964B (zh) * 2014-09-24 2019-12-31 中芯国际集成电路制造(上海)有限公司 晶体管的形成方法
US9788405B2 (en) 2015-10-03 2017-10-10 Applied Materials, Inc. RF power delivery with approximated saw tooth wave pulsing
US9741539B2 (en) 2015-10-05 2017-08-22 Applied Materials, Inc. RF power delivery regulation for processing substrates
US9754767B2 (en) 2015-10-13 2017-09-05 Applied Materials, Inc. RF pulse reflection reduction for processing substrates
US9824896B2 (en) * 2015-11-04 2017-11-21 Lam Research Corporation Methods and systems for advanced ion control for etching processes
US9614524B1 (en) * 2015-11-28 2017-04-04 Applied Materials, Inc. Automatic impedance tuning with RF dual level pulsing
US9899219B2 (en) * 2016-02-19 2018-02-20 Tokyo Electron Limited Trimming inorganic resists with selected etchant gas mixture and modulation of operating variables
US10424467B2 (en) * 2017-03-13 2019-09-24 Applied Materials, Inc. Smart RF pulsing tuning using variable frequency generators
US10847368B2 (en) * 2017-04-07 2020-11-24 Applied Materials, Inc. EUV resist patterning using pulsed plasma
KR102475069B1 (ko) 2017-06-30 2022-12-06 삼성전자주식회사 반도체 제조 장치, 이의 동작 방법
US11043375B2 (en) 2017-08-16 2021-06-22 Applied Materials, Inc. Plasma deposition of carbon hardmask
SG11202009406RA (en) 2018-04-09 2020-10-29 Applied Materials Inc Carbon hard masks for patterning applications and methods related thereto
KR20220037456A (ko) 2019-07-01 2022-03-24 어플라이드 머티어리얼스, 인코포레이티드 플라즈마 결합 재료들을 최적화하는 것에 의한 막 특성들의 조절
US11158516B2 (en) * 2020-02-07 2021-10-26 Tokyo Electron Limited Plasma processing methods using low frequency bias pulses
US11664214B2 (en) 2020-06-29 2023-05-30 Applied Materials, Inc. Methods for producing high-density, nitrogen-doped carbon films for hardmasks and other patterning applications
US11664226B2 (en) 2020-06-29 2023-05-30 Applied Materials, Inc. Methods for producing high-density carbon films for hardmasks and other patterning applications
US11545364B2 (en) * 2020-08-24 2023-01-03 Tokyo Electron Limited Pulsed capacitively coupled plasma processes
TW202226319A (zh) * 2020-08-31 2022-07-01 日商東京威力科創股份有限公司 電漿處理裝置及電漿處理方法
TW202215911A (zh) * 2020-09-15 2022-04-16 日商東京威力科創股份有限公司 電漿處理裝置及電漿處理方法
TW202234510A (zh) * 2020-10-19 2022-09-01 日商東京威力科創股份有限公司 基板處理方法及基板處理裝置
US20230094212A1 (en) * 2021-09-30 2023-03-30 Tokyo Electron Limited Plasma etch process for fabricating high aspect ratio (har) features

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6335292B1 (en) 1999-04-15 2002-01-01 Micron Technology, Inc. Method of controlling striations and CD loss in contact oxide etch
JP2000306894A (ja) 1999-04-23 2000-11-02 Nec Corp 基板のプラズマ処理方法
US6130169A (en) * 1999-05-21 2000-10-10 Advanced Micro Devices, Inc. Efficient in-situ resist strip process for heavy polymer metal etch
US6235643B1 (en) 1999-08-10 2001-05-22 Applied Materials, Inc. Method for etching a trench having rounded top and bottom corners in a silicon substrate
US6875700B2 (en) 2000-08-29 2005-04-05 Board Of Regents, The University Of Texas System Ion-Ion plasma processing with bias modulation synchronized to time-modulated discharges
US6960416B2 (en) 2002-03-01 2005-11-01 Applied Materials, Inc. Method and apparatus for controlling etch processes during fabrication of semiconductor devices
US8257546B2 (en) 2003-04-11 2012-09-04 Applied Materials, Inc. Method and system for monitoring an etch process
US6911399B2 (en) 2003-09-19 2005-06-28 Applied Materials, Inc. Method of controlling critical dimension microloading of photoresist trimming process by selective sidewall polymer deposition
US7491647B2 (en) 2005-03-08 2009-02-17 Lam Research Corporation Etch with striation control
US8173547B2 (en) 2008-10-23 2012-05-08 Lam Research Corporation Silicon etch with passivation using plasma enhanced oxidation
US8404598B2 (en) * 2009-08-07 2013-03-26 Applied Materials, Inc. Synchronized radio frequency pulsing for plasma etching
KR101286242B1 (ko) 2009-12-14 2013-07-15 삼성전자주식회사 반도체 소자 제조 방법
JP5558224B2 (ja) 2010-06-23 2014-07-23 東京エレクトロン株式会社 基板処理方法
US8692467B2 (en) * 2011-07-06 2014-04-08 Lam Research Corporation Synchronized and shortened master-slave RF pulsing in a plasma processing chamber
JP5822795B2 (ja) * 2012-07-17 2015-11-24 株式会社日立ハイテクノロジーズ プラズマ処理装置

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI723049B (zh) * 2015-10-02 2021-04-01 美商應用材料股份有限公司 原子層次解析度與電漿處理控制的方法
CN113366603A (zh) * 2019-02-08 2021-09-07 应用材料公司 蚀刻半导体结构的方法和设备
CN111916327A (zh) * 2019-05-10 2020-11-10 中微半导体设备(上海)股份有限公司 多频率多阶段的等离子体射频输出的方法及其装置
CN111916327B (zh) * 2019-05-10 2023-04-28 中微半导体设备(上海)股份有限公司 多频率多阶段的等离子体射频输出的方法及其装置

Also Published As

Publication number Publication date
US9269587B2 (en) 2016-02-23
WO2015034590A1 (en) 2015-03-12
US20150072530A1 (en) 2015-03-12

Similar Documents

Publication Publication Date Title
TW201517167A (zh) 使用同步射頻脈衝以蝕刻材料之方法
KR102283949B1 (ko) 주기적 에칭 프로세스를 이용하여 에칭 스톱 층을 에칭하기 위한 방법들
US8932947B1 (en) Methods for forming a round bottom silicon trench recess for semiconductor applications
US9299580B2 (en) High aspect ratio plasma etch for 3D NAND semiconductor applications
KR102483741B1 (ko) 진보된 패터닝 프로세스에서의 스페이서 퇴적 및 선택적 제거를 위한 장치 및 방법들
TWI605503B (zh) 利用主要蝕刻及循環蝕刻製程之組合在材料層中形成特徵之方法
US20160196985A1 (en) Method and apparatus for anisotropic tungsten etching
TWI688997B (zh) 閘極電極材料殘留物移除製程
TWI749165B (zh) 用於硬遮罩應用之硼摻雜碳化鎢
US9595451B1 (en) Highly selective etching methods for etching dielectric materials
US20150118832A1 (en) Methods for patterning a hardmask layer for an ion implantation process
US9741566B2 (en) Methods for manufacturing a spacer with desired profile in an advanced patterning process
US20150064921A1 (en) Low temperature plasma anneal process for sublimative etch processes
US20040256353A1 (en) Method and system for deep trench silicon etch
TWI767897B (zh) 用於蝕刻硬體之基於氫電漿清洗處理
TWI817066B (zh) 用於蝕刻用於半導體應用的材料層的方法
WO2020215183A1 (en) Methods for etching a material layer for semiconductor applications
US10872778B2 (en) Systems and methods utilizing solid-phase etchants