CN113366603A - 蚀刻半导体结构的方法和设备 - Google Patents

蚀刻半导体结构的方法和设备 Download PDF

Info

Publication number
CN113366603A
CN113366603A CN202080012369.8A CN202080012369A CN113366603A CN 113366603 A CN113366603 A CN 113366603A CN 202080012369 A CN202080012369 A CN 202080012369A CN 113366603 A CN113366603 A CN 113366603A
Authority
CN
China
Prior art keywords
substrate
power
bias power
pulse
power supply
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN202080012369.8A
Other languages
English (en)
Other versions
CN113366603B (zh
Inventor
清水大亮
畠山大辉
S·S·康
川崎胜正
张纯磊
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN113366603A publication Critical patent/CN113366603A/zh
Application granted granted Critical
Publication of CN113366603B publication Critical patent/CN113366603B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • H01J37/32183Matching circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3341Reactive etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)

Abstract

使用反应离子蚀刻(RIE)在基板中产生高深宽比特征的方法和设备。在一些实施例中,一种方法包含:将C3H2F4与伴随气体的气体混合物流入处理腔室;使用连接到基板上方的上电极的RF电源和连接到基板下方的下电极的至少一个RF偏压电源来从气体混合物形成等离子体;经由等离子体使用图案掩模对基板上的至少一个氧化物层或氮化物层进行各向异性蚀刻;降低至少一个RF偏压电源的功率以在基板上的至少一个氧化物层或氮化物层上产生钝化层的沉积;以及将处理腔室排空,同时中断RF电源以停止等离子体形成。

Description

蚀刻半导体结构的方法和设备
技术领域
本原理的实施例总体上涉及半导体加工。
背景技术
随着集成电路复杂性的发展,对提高电路特征的密度以提高性能提出了更高的要求。为了增加密度,制造商必须不断缩小单个特征的尺寸,以便在给定的空间中容纳更多特征。另外,在半导体制造期间,可以使用三维特征以进一步提高基板给定区域的密度。也可以构造多个电路层以允许对于基板的给定区域越来越多的特征。三维特征和多层电路的添加也可能需要具有高深宽比的特征,这些特征可以通过多层基板形成以互连电路的各个部分。随着深宽比的增加,如果制造技术(诸如蚀刻)导致特征中的缺陷,则可能会降低特征的可靠性。诸如孔或沟槽之类的未正确形成的特征可能会导致密度和可靠性的降低。因此,发明人发现,制造具有良好形成的临界尺寸(CD)的特征对于满足不断增长的电路密度要求至关重要。此外,在使用氧化硅和氮化硅的交替层的多层基板中(诸如在ONON膜堆叠中)产生高深宽比的特征可以证明在资源和时间上都是具有挑战性和昂贵的。
因此,发明人提供了用于在基板上蚀刻半导体结构的改进的方法和设备。
发明内容
本文提供了用于蚀刻半导体结构的方法和设备。
在一些实施例中,一种用于蚀刻基板的方法包含:将C3H2F4与伴随气体的气体混合物流入处理腔室;使用连接到基板上方的上电极的RF电源和连接到基板下方的下电极的至少一个RF偏压电源来从气体混合物形成等离子体;经由等离子体使用图案掩模对基板上的至少一个氧化物层或氮化物层进行各向异性蚀刻;降低至少一个RF偏压电源的功率以在基板上的至少一个氧化物层或氮化物层上产生钝化层的沉积;以及将处理腔室排空,同时中断RF电源以停止等离子体形成。
在一些实施例中,方法可进一步包含:脉冲RF电源以形成等离子体,以及脉冲至少一个RF偏压电源以辅助朝向基板的离子吸引;将RF电源连接到至少一个RF偏压电源和相应的阻抗匹配网络,以在微秒的数量级上调节对处理腔室的RF功率输送;使用以约100MHz至约200MHz的频率操作的RF电源形成等离子体,以及使用以小于约6MHz的频率下操作的至少一个RF偏压电源执行各向异性蚀刻;其中RF电源的峰值功率水平小于至少一个RF偏压电源;重复方法以形成至少一个孔,所述至少一个孔穿过基板上的多个交替的氧化物层和氮化物层以暴露基板的部分;对至少一个工艺参数执行动态斜变,其中所述至少一个工艺参数是气体流速、RF电源、偏压电源或处理腔室的压力;基于处理时间或蚀刻深度来使至少一个工艺参数动态斜变;其中伴随气体是C3F6的碳氟化合物气体;和/或用C3H2F4与伴随气体的气体混合物蚀刻基板上的氧化物和氮化物的交替层,气体混合物具有约为1:1的氧化物对氮化物的选择性。
在一些实施例中,一种用于蚀刻基板的方法包含:将C3H2F4与伴随气体的气体混合物流入处理腔室,气体混合物具有约为1:1的氧化物对氮化物的蚀刻选择性;产生连接到基板上方的上电极的RF电源的第一RF功率脉冲,以在处理腔室中形成等离子体;在第一RF功率脉冲期间产生连接到基板下方的下电极的至少一个RF偏压电源的第一RF偏压功率脉冲,以经由等离子体使用图案掩模对基板上的至少一个氧化物层或氮化物层执行各向异性蚀刻,第一RF偏压功率脉冲的持续期间小于第一RF功率脉冲的持续期间;在第一RF偏压功率脉冲完成时,在第一RF功率脉冲期间在基板上的至少一个氧化物层或氮化物层上沉积钝化层;以及在第一RF功率脉冲完成时将处理腔室排空。
在一些实施例中,方法可进一步包含:由在约400kHz的频率的至少一个RF偏压电源中的第一RF偏压电源和在约2MHz的频率的至少一个RF偏压电源中的第二RF偏压电源来产生第一RF偏压功率脉冲;由在约121MHz的频率的RF电源产生第一RF功率脉冲;以每秒约一千瓦到每秒约两千瓦的速率将对于随后的RF功率脉冲的第一RF功率脉冲的功率水平向上斜变至约3kW的峰值功率至约4kW的峰值功率;以每秒约一千瓦到每秒约两千瓦的速率将对于随后的RF偏压功率脉冲的第一RF偏压功率脉冲的功率水平向上斜变至约10kW的峰值功率至约15kW的峰值功率;基于处理时间或蚀刻深度向下斜变气体混合物的流速;基于处理时间或蚀刻深度,使RF电源或RF偏压电源的脉冲的功率水平向上斜变;和/或动态地斜变一个或多个工艺参数以提供主动深宽比相关的蚀刻控制用于按深度进行选择性反应离子蚀刻。
在一些实施例中,一种非瞬时性计算机可读介质,其上储存了指令,指令在被执行时使一种操作基板处理系统的方法被执行,方法包含:将C3H2F4与伴随气体的气体混合物流入处理腔室,气体混合物具有约为1:1的氧化物对氮化物的蚀刻选择性;产生连接到基板上方的上电极的RF电源的第一RF功率脉冲,以在处理腔室中形成等离子体;在第一RF功率脉冲期间产生连接到基板下方的下电极的至少一个RF偏压电源的第一RF偏压功率脉冲,以经由等离子体使用图案掩模对基板上的至少一个氧化物层或氮化物层执行各向异性蚀刻,第一RF偏压功率脉冲的持续期间小于第一RF功率脉冲的持续期间;在第一RF偏压功率脉冲完成时,在第一RF功率脉冲期间在基板上的至少一个氧化物层或氮化物层上沉积钝化层;以及在第一RF功率脉冲完成时将处理腔室排空。
下面公开了其他和进一步的实施例。
附图说明
通过参照在附图中描绘的本原理的说明性实施例,可理解于上文简要概述并于下文更详细讨论的本原理的实施例。然而,附图仅示出本原理的典型实施例,并且因此不应被视为限制本原理的范围,因为本原理可允许其他等效的实施例。
图1A描绘了根据本原理的一些实施例的等离子体反应器。
图1B描绘了根据本原理的一些实施例的图1A的等离子体反应器的RF脉冲定时的曲线图。
图2是根据本原理的一些实施例的蚀刻基板上的氧化物层或氮化物层的方法。
图3是根据本原理的一些实施例的具有氧化物层和/或氮化物层和蚀刻掩模的基板的截面图。
图4是根据本原理的一些实施例的在蚀刻工艺之后的图3的基板的截面图。
图5是根据本原理的一些实施例的在沉积工艺之后的图4的基板的截面图。
图6是根据本原理的一些实施例的在另一蚀刻工艺之后的图5的基板的截面图。
图7是根据本原理的一些实施例的动态斜变参数的曲线图。
为了促进了解,已尽可能使用相同的附图标记表示附图中共有的相同元件。附图并未按照比例绘制,并为了清楚起见可被简化。一个实施例的元件与特征可被有益地并入其他实施例中而无需进一步的叙述。
具体实施方式
所述方法和设备在氧化物和氮化物分层基板中的高深宽比特征的反应离子蚀刻(RIE)中提供增加的临界尺寸(CD)均匀性。极大地简化了工艺序列,显著减少了操作者的任务,并且可以将处理时间减少到约800秒以下。图案掩模的完整性也得到了极大的改善,同时增加了特征的翘曲(bow)保护并消除了孔变形,从而增加了可靠性和特征密度两者。本原理的工艺还能消除离子偏转到特征中以及由偏转的离子蚀刻引起的特征侧壁的所得翘曲。孔的底部CD中的翘曲改善到小于约5纳米。蚀刻期间特征的入口堵塞(颈缩)显著减少,消除了对修整工艺的需要,节省了处理时间并降低了成本。还有利地消除了使用化学气相沉积(CVD)腔室来沉积保形衬垫材料的需要。
通过克服蚀刻选择性控制和侧壁翘曲控制等方面的挑战,本原理的方法和设备有利地允许用于接触应用和桥接应用的最高深宽比。可以实现大于约50:1的深宽比。因为所述方法和设备允许对工艺的微秒控制调整,所以在基板上的氧化物和氮化物材料的多层堆叠中形成的特征具有光滑的侧壁和高深宽比,从而增加了性能和可靠性两者。多个链接的(例如,主/从)RF电源被用于提供对等离子体电源和至少一个偏压电源的单独控制。RF电源使用一种控制方案,所述控制方案允许在一个周期内的不同时间以几乎瞬时的调整来进行电源的脉冲,这些调整可以基于处理时间和/或蚀刻深度。这些工艺还利用C3H2F4气体与伴随气体(例如碳氟化合物气体,诸如例如C3F6等等)的工艺气体混合物。所述气体混合物提供氧化物材料对氮化物材料的约1:1的蚀刻选择性。气体混合物和其他气体的化学成分有助于精确控制蚀刻工艺,以产生用于高深宽比特征的平滑侧壁,即使当蚀刻材料在氧化物与氮化物之间交替时也是如此。
所述方法还利用动态参数斜变(DPR)来响应于定时和/或蚀刻深度而准确且平稳地控制参数值的增大和减小(即,向上/向下斜变)。DPR可以用于控制例如处理腔室压力、RF等离子体功率、RF偏压功率、工艺气体流速和/或惰性气体流速等等。DPR允许进行调整以通过在蚀刻工艺期间调整参数来避免图案掩模堵塞,特别是在顶部区域中(颈缩)。另外,DPR可以控制诸如O2之类的蚀刻辅助气体,以避免在蚀刻工艺的初始阶段期间过度蚀刻图案掩模和侧壁翘曲(例如,随着蚀刻深度的增加而使O2气体向上斜变,以基于蚀刻深度提供主动控制,而不在初始蚀刻阶段过度消耗图案掩模)。对工艺的精确控制,避免了在蚀刻期间特征顶部的封闭(颈缩),并促进了更平滑且更快的蚀刻工艺。通过避免颈缩,蚀刻工艺可以不间断地继续进行,并且避免了侵蚀特征(即翘曲)的侧壁的离子偏转。在常规的RIE工艺中,在单独的CVD腔室中沉积侧壁的保护衬垫之前,需要进行修整以去除颈缩。本原理的方法和设备完全消除了修整工艺,并且仅使用单个处理腔室,从而节省了显著的成本和处理时间两者。
本原理的方法包括蚀刻模式、沉积模式和排空模式。通过对多个RF脉冲频率进行阻抗调谐来执行整个时分多任务蚀刻工艺,所述多个RF脉冲频率在三种模式之间反复交替,以实现具有接近垂直轮廓(以约80度至约90度正交于被蚀刻的表面的特征侧壁)的高深宽比结构。RF电源脉冲控制可以进行微秒级的工艺调整。工艺调整速度和具有约1:1的氧化物对氮化物选择性的特殊气体混合物以及DPR控制允许管理颈缩和侧壁翘曲(例如,离子偏转到特征侧壁中)。在蚀刻之后,还将保护性沉积层施加到基板上,以帮助控制翘曲并在图案掩模的顶部边缘上提供保护盖,以防止离子偏转到特征的侧壁中。在沉积模式之后,作为排空模式的一部分,将气体和副产物泵出处理腔室。由于到图案掩模的入口保持畅通,因此可以将保护层更深地传递到特征中,并且离子和蚀刻反应气体可以更深地进入特征中,从而允许非常平滑的蚀刻。本技术还允许生产线中的操作者容易地排除任何问题并确定受影响的位置和模式,从而减少停机时间和成本。
图1A描绘了可用于执行本文公开的方法的等离子体反应器。所述方法可以在电容耦合等离子体反应器(例如,如图1A所示)或任何其他合适的等离子体反应器(诸如电感耦合等离子体反应器)中执行。图1A的等离子体反应器包括由圆柱形侧壁102、底板103和盖104包围的处理腔室100。在一些实施例中,盖104可以是气体分配喷头,包括覆盖在气体分配板108上的气体歧管106,气体分配板108具有穿过气体分配板108形成的孔口109。气体歧管106被具有气体供应入口111的歧管外壳110包围。气体分配喷头(即盖104)通过绝缘环112与圆柱形侧壁102电绝缘。诸如涡轮分子泵之类的真空泵114能够排空处理腔室100中的气体和副产物,并保持处理腔室100内部的压力。气体面板120控制到气体供应入口111的不同工艺气体的单独流速。穿过处理腔室100的底板103支撑的支撑基座136可具有绝缘顶表面和内部电极138。内部电极138可以例如用于在处理期间提供偏压功率。
功率可以从多个RF发生器140、144、148施加到处理腔室100。多个RF发生器140、144、148包括主RF发生器140和一个或多个从RF发生器144、148。等离子体源功率通过阻抗匹配网络142从主RF发生器140施加到盖104(在本文中也称为气体分配喷头)。盖104或气体分配喷头由诸如例如铝之类的导电材料形成,并且由此用作盖电极。在一些实施例中,主RF发生器140可以在VHF频谱的高部分中产生VHF功率,诸如在约100MHz至约200MHz的范围内产生VHF功率。主RF发生器140具有以期望的脉冲速率和占空比对产生的功率进行脉冲的能力。例如,主RF发生器140具有脉冲控制输入140a以用于接收一个或多个控制信号,所述一个或多个控制信号限定了脉冲速率和/或占空比以及由主RF发生器140产生的每个脉冲的相位。主RF发生器140可以经由高速链路电缆153和RF电缆155连接到阻抗匹配网络142。主RF发生器140可以经由高速链路电缆172连接到第一从RF发生器144。主RF发生器140可以经由高速链路电缆174连接到第二从RF发生器148。主RF发生器140可以分别经由高速链路电缆153、182和184连接到阻抗匹配网络142、146和149。
在如图1A所示的一些实施例中,从第一从RF发生器144通过阻抗匹配网络146并且从第二从RF发生器148通过阻抗匹配网络149,将偏压功率施加到内部电极138。在一些实施例中,第一从RF发生器144和第二从RF发生器148可以施加偏置功率,同时主RF发生器140施加等离子体源功率。一个或多个从RF发生器144、148可以在低频(LF)频谱到高频(HF)频谱的低部分中产生HF或LF功率,诸如在约30kHz到约6MHz的范围内产生HF或LF功率。例如,第一从RF发生器144和第二从RF发生器148可以分别产生约2MHz和约400kHz的功率。一个或多个从RF发生器144、148具有以期望的脉冲速率和占空比对产生的功率进行脉冲的能力。例如,一个或多个从RF发生器144、148具有脉冲控制输入144a、148a以用于接收一个或多个控制信号,所述一个或多个控制信号限定了脉冲速率和/或占空比以及由一个或多个从RF发生器144、148产生的每个脉冲的相位。一个或多个从RF发生器144、148可以被独立地脉冲、定相和/或占空比控制。此外,一个或多个从RF发生器144、148可以被同步或异步地脉冲。
在一些实施例中,阻抗匹配网络142、146、149可以由一个或多个电容器和/或电感器形成。电容器的值可以被电子地或机械地调谐以调整每个阻抗匹配网络142、146、149的匹配。在较低功率的系统中,一个或多个电容器可以被电子地调谐而不是机械地调谐。在一些实施例中,阻抗匹配网络142、146、149可以具有可调谐电感器。在一些实施例中,在阻抗匹配网络142、146、149中使用的电容器中的一个或多个电容器可以是一个或多个固定电容器或串联电容器。在其他实施例中,在阻抗匹配网络142、146、149中使用的电容器中的一个或多个电容器可以是可变电容器,所述可变电容器可被电子地或机械地调谐以调整阻抗匹配网络142、146、149的匹配。在一些实施例中,阻抗匹配网络142、146、149中的一者或多者可以具有到接地的电容性旁路。
控制器160可编程为将脉冲控制信号施加到多个RF发生器140、144、148的每个脉冲控制输入140a、144a、148a,以在主RF发生器140和从RF发生器144、148的脉冲中产生期望的相位超前或滞后关系和/或占空比关系。控制器160还可以控制工具/处理腔室的其他方面。尽管在图1A中被示为单独的组件,但是在一些实施例中,控制器160可以设置在每个RF发生器的内部。同步信号将在主RF发生器(例如,主RF发生器140)处生成,并且被发送到其他从发生器(例如,从RF发生器144、148)。控制器160还可以加载并执行要在预处理、特征蚀刻和后处理中使用的气体配方。控制器160可用于控制工艺序列并调节来自气体面板120的气体流量。
在一些实施例中,RF发生器140、144、148、阻抗匹配网络142、146、149和/或控制器160包括中央处理单元(CPU)130、多个支持电路134和存储器132。尽管多个RF发生器140、144、148、阻抗匹配网络142、146、149和控制器160的本示例性实施例是关于具有CPU、支持电路和存储器的计算机讨论的,但本领域普通技术人员将认识到,可以以多种方式来实现多个RF发生器140、144、148、阻抗匹配网络142、146、149和控制器160,包括作为专用接口电路(ASIC)、现场可编程门阵列(FPGA)、芯片上系统(SOC)等等。控制器160的各种实施例也可以被集成在本领域已知的具有相应的输入/输出接口的其他处理工具控制器内。
支持电路134可以包括显示设备以及其他电路以支持CPU 130的功能。这样的电路可以包括时钟电路、高速缓存、电源、网卡、视频电路等等。存储器132可以包括只读存储器、随机存取存储器、可移除存储器、磁盘驱动器、光盘驱动器和/或其他形式的数字存储。存储器132被配置为存储操作系统和子工厂(sub-fab)控制模块。操作系统执行以控制多个RF发生器140、144、148、阻抗匹配网络142、146、149和控制器160的一般操作,包括促进各种工艺、应用程序和模块的执行以控制一个或多个RF发生器140、144、148或阻抗匹配网络142、146、149,以便执行本文讨论的方法。
此外,DC发生器162可以耦合到内部电极138和盖104中的任一者(或两者)。在一些实施例中,DC发生器162可以供应连续和/或可变DC。在一些实施例中,DC发生器162可以提供脉冲DC功率。DC发生器的脉冲重复率、相位和占空比由控制器160控制。可以提供DC隔离电容器164、166,以分别将每个从RF发生器148、144与DC发生器162隔离。由DC发生器产生的DC信号可以与由RF发生器140、144、148产生的RF信号同步,以提供诸如减少在基板137上的充电或改善使用在等离子体反应器中形成的等离子体的基板的蚀刻速率控制之类的益处。
RF发生器140、144、148可以在微秒的数量级上被调节。RF发生器140、144、148的快速控制允许对本原理的方法的精确控制。在一些实施例中,如图1B所示,可以根据曲线图100B来控制RF发生器140、144、148。与处于主/从关系的RF发生器140、144、148的晶体管-晶体管逻辑(TTL)信号以及相应的阻抗匹配网络142、146、149的互连,允许由相应的RF发生器产生脉冲的微秒定时。RF主发生器或从发生器提供TTL信号以用于同步到RF匹配。通过对在每个间隔或周期中检测到的多个阻抗求平均来计算目标阻抗,从而允许快速RF功率变化,而没有由于阻抗不匹配而造成的RF功率损失。
主RF发生器140可以产生具有第一周期194的第一持续时间的等离子体脉冲187。在第一周期194期间,从RF发生器144、148产生偏压脉冲188达比第一持续时间更短的第二持续时间。在一些实施例中,蚀刻期196可以在等离子体脉冲187和偏压脉冲188的重叠时间段期间发生。在一些实施例中,在没有偏压脉冲188的等离子体脉冲187的第一持续时间的部分中,沉积期197可以在处理腔室100内发生。在一些实施例中,在没有等离子体脉冲187和偏置脉冲188的情况下,在第一周期194的时间段中可以发生腔室清洁期198(例如腔室气体和副产物排空)。
在一些实施例中,在工艺中,在给定时间量内或对于给定蚀刻深度量,可以针对每个脉冲增加(箭头190)或减小等离子体脉冲187的功率水平。在一些实施例中,在工艺中,在给定时间量内或针对给定蚀刻深度量,可以针对每个脉冲增加(箭头192)或减小偏压脉冲188的功率水平。在一些实施例中,供应偏压功率的从RF发生器144、148中的每个从RF发生器可以以不同的水平、同相或异相地独立地被脉冲。在一些实施例中,可以通过调节偏压电压水平来调节偏压功率。
在图2中,示出了根据一些实施例的在基板上蚀刻氧化物层和/或氮化物层的方法200。在一些实施例中,在工艺期间,基板温度可以为约50摄氏度至约100摄氏度。如框202所示,在蚀刻工艺期间至少一个工艺参数被动态地斜变。在给定工艺期间,工艺参数可以向上斜变和/或向下斜变。工艺参数的动态参数斜变(DPR)可以在给定的时间段(例如,完成工艺的时间、给定的时间量(诸如500秒)等等)内发生,或者可以基于蚀刻深度而发生。可以通过随时间或深度的增量变化(诸如相对于起始值的正或负变化百分比)来指示斜变。DPR可以激活斜变斜率(向上或向下)用于主动深宽比相关的蚀刻(active aspect ratiodependent etching;ARDE)控制,从而产生按深度的选择性RIE。
通过动态地斜变工艺参数,获得了用于基板上的高深宽比特征的更高质量的蚀刻工艺。在一些实施例中,如上所述,动态斜变可在微秒的数量级上在逐个脉冲的基础上调整和/或也可随着时间在连续的基础上进行动态斜变。在一些实施例中,诸如等离子体源功率和/或偏压功率之类的工艺功率要求可能随着蚀刻深度的增加而向上和/或向下斜变。例如,随着孔深度的增加,增加偏压功率允许在孔的底部进行更好的各向异性蚀刻。在一些实施例中,工艺气体流速和/或惰性气体流速也可以动态地向上和/或向下斜变。在一些实施例中,惰性气体流速保持恒定。
方法200允许单个腔室利用给定的气体混合物执行蚀刻和沉积工艺。蚀刻在基板上的氧化物层和/或氮化物层中产生诸如孔和/或沟槽之类的特征。沉积在基板上提供保护层或化学惰性钝化层,以保护基板上的图案掩模和特征的侧壁。图3描绘了具有氧化物层或氮化物层304(氮化物层304也可以由氧化物和氮化物材料的多个交替层组成)的基板302(诸如图1A的基板137)的截面图300。已经在具有开口308的氧化物层或氮化物层304上形成了图案掩模306。图案掩模306可以是光刻胶掩模或硬掩模。
在框204中,将C3H2F4与伴随气体的气体混合物流入处理腔室100。气体混合物提供了选择性的高深宽比工艺,所述高深宽比工艺为蚀刻单层和/或氧化物-氮化物多叠层提供了更高的图案掩模选择性。在一些实施例中,除了惰性气体和其他工艺气体之外,气体混合物还可以与诸如O2之类的载气一起设置在处理腔室中。在一些实施例中,气体可以单独地或一起以大于0sccm至约1000sccm的流速流入处理腔室。在一些实施例中,处理腔室具有约5毫托至约50毫托的压力。气体混合物为离子和自由基提供了基础,这些离子和自由基提供了约为1:1的氧化物与氮化物的蚀刻选择性比。在一些实施例中,伴随气体是具有表达式CxFy的氟化气体,其中每个x和y至少为1。可以向气体混合物中添加其他气体以改善或控制氧化物和/或氮化物的蚀刻速率,所述其他气体诸如例如无氢的碳氟化合物等。在一些实施例中,可以控制气体混合物和/或流速,使得与图案掩模相比,氧化物/氮化物的蚀刻选择性可以为约5:1至约7:1。
在一些实施例中,气体混合物具有约100sccm至约200sccm的流速。在一些实施例中,诸如例如氩气、氪气和/或氮气之类的惰性气体也以约100sccm至约200sccm的流速流入腔室。在一些实施例中,诸如O2之类的载气可以以100sccm的速率被引入到处理腔室中,并且可以经受DPR控制。在一些半导体中,氧化物层与氮化物层交替。在选择性比约为1:1的情况下,可以用单个气体混合物以相同的速率蚀刻氧化物和氮化物。在一些实施例中,气体混合物的流速随着时间变化或随着蚀刻深度的增加而动态地向下斜变。
在框206中,从气体混合物中产生等离子体。气体混合物被电离并产生可蚀刻氧化物或氮化物材料的离子和自由基。在一些实施例中,在O2存在下使气体混合物电离,以产生具有多个离子、自由基和各种分子的等离子体。在一些实施例中,气体可以以气体混合物(C3H2F4和伴随气体)与载气的体积百分比比率从约80:20到约30:70存在于处理腔室中。在一些实施例中,气体可以以气体混合物(C3H2F4和伴随气体)与载气的体积百分比比率从约15:85到约25:75存在于处理腔室中。在一些实施例中,在处理腔室中载气与C3H2F4的体积百分比比率为从约0:100至约90:10或从约15:85至约25:75。在使用O2作为载气的一些实施例中,C3H2F4占蚀刻剂的体积百分比为从约15体积%至约45体积%,伴随气体占蚀刻剂的体积百分比为从约15体积%至50体积%,并且O2占蚀刻剂的体积百分比为从约10体积百分比至约40体积百分比。可电离的气体混合物与O2的比率为从约90:10至约60:40。
在一些实施例中,如图1A所示,等离子体是由诸如主RF发生器140之类的RF等离子体电源与诸如从RF发生器144、148之类的至少一个RF偏压源结合而产生的。主RF发生器140提供晶体管-晶体管逻辑(TTL)信号,所述晶体管-晶体管逻辑(TTL)信号可用于同步主RF发生器140与从RF发生器144、148之间的脉冲。在一些实施例中,RF等离子体电源可以以约100MHz至约200MHz的甚高频(VHF)操作。在一些实施例中,RF等离子体电源可以以约100MHz、约121MHz和/或约162MHz等等的频率操作。在一些实施例中,RF等离子体电源可以以每秒约一千瓦至每秒约两千瓦的斜变速率斜变至约3kW的峰值功率至约4kW的峰值功率。
在一些实施例中,至少一个RF偏压电源可以以小于约6MHz的频率操作。在一些实施例中,第一RF偏压电源可以以约400kHz的频率操作,并且第二RF偏压电源可以以约2MHz的频率操作。在一些实施例中,第一RF偏压电源和第二RF偏压电源被一起脉冲。在一些实施例中,第一RF偏压电源和第二RF偏压电源被独立地脉冲。在一些实施例中,将第一RF偏压电源的频率和第二偏压电源的频率混合,其中约400kHz的第一RF偏压电源频率的电压输出比约2MHz的第二RF偏压电源频率的峰值电压输出高约60%至约80%。在一些实施例中,至少一个RF偏压电源可以以每秒约一千瓦至每秒约两千瓦的斜变速率斜变至约10kW的峰值功率至约15kW的峰值功率。
在框208中,借助于至少一个偏压电源来蚀刻氧化物层和/或氮化物层。通过控制含有氟(F)的离子的数量来调节氧化物的蚀刻,并且通过控制含有氢(H)的离子的数量来调节氮化物的蚀刻。含有F的离子与含有H的离子的比率还产生氧化物对氮化物的蚀刻选择性比。通过相应地控制蚀刻气体种类,实现了对于氧化物和氮化物的约1:1的蚀刻选择性比。
一个或多个偏压电源朝向诸如处理腔室100的内部电极138(图1A)之类的偏压电极加速离子。偏压电源有助于主要在正交于氧化物层或氮化物层304的垂直方向上产生各向异性蚀刻。各向异性蚀刻在垂直方向上比在其他方向上蚀刻得更快,从而使氧化物层或氮化物层中蚀刻特征的侧壁翘曲最小化。如图4的截面图400所示,气体混合物也蚀刻图案掩模306。蚀刻工艺侵蚀图案掩模306的开口308的边缘410。如果继续蚀刻,则离子可被偏转(箭头412所示的偏转路径)到特征的侧壁中,从而引起侧壁翘曲414和特征的变形。如以下所讨论的,由短脉冲以在蚀刻模式之间的沉积模式来控制蚀刻,以帮助保护特征和图案掩模516。
在框210中,将化学惰性钝化层516沉积在基板302上。在维持等离子体的同时,关闭至少一个偏压电源或将至少一个偏压电源的功率减小到不再影响由等离子体提供的离子的水平。在一些实施例中,功率的减小量大于零且小于偏压功率的约百分之五十。在沉积模式中,如图5的截面图500所示,等离子体使用气体混合物在基板302上产生化学惰性钝化层516的保形层。化学惰性钝化层516在蚀刻模式期间保护特征的侧壁并减少侧壁翘曲。化学惰性钝化层516还利用开口308之间的钝化层“盖”518来保护图案掩模306的开口308的边缘。因为蚀刻影响图案掩模306以及氧化物层或氮化物层304,所以化学惰性钝化层516也有助于保护图案掩模306在随后的蚀刻模式期间不被过早耗尽。在一些实施例中,化学惰性钝化层516可以包括基于碳氟化合物的聚合物或基于碳氢化合物的聚合物等。
在框212中,通过关闭等离子体电源来中断等离子体,并且处理腔室100中的气体和/或副产物由真空泵114抽出,同时气体混合物继续流入处理腔室100中。在框214中,重复此过程,直到达到蚀刻结果为止。蚀刻结果可以是给定的蚀刻深度、给定的蚀刻时间和/或触地(touchdown)到在氧化物层或氮化物层304下方的基板302。
方法200的优点之一是钝化层盖518在随后的蚀刻模式期间保护开口308的边缘,如图6中的截面图600所示。各向异性蚀刻已经在主要正交于图案掩模306的表面的垂直方向上以及在开口308的底部中蚀刻了图案掩模306的顶部。由于蚀刻,化学惰性钝化层516已经变薄,但是蚀刻盖620在蚀刻模式期间仍提供离子偏转保护(如离子偏转路径624所示)。离子偏转路径624具有更锐的角并且被偏转到图案掩模306的侧壁中而不是开口308的侧壁622中,从而防止了侧壁由于偏转离子而翘曲。
在图7的曲线图700中,示出了方法200中使用的各种工艺参数的动态斜变。在一些实施例中,惰性气体702与气体混合物一起以恒定速率(零斜变)流入处理腔室100中。在一些实施例中,压力增加、保持恒定、或者如图7所示,处理腔室100的压力704随着时间或蚀刻深度而缓慢减小。在一些实施例中,压力斜变速率非常小,诸如每1000秒约1毫托等等。气体混合物流速706随着时间或蚀刻深度而减小。等离子体源功率708随着时间或蚀刻深度而缓慢减小。偏压源电压710随着时间或蚀刻深度而增加,并且可以在某个时间点或蚀刻深度处保持恒定。诸如O2之类的蚀刻辅助气体712也可以随着时间或蚀刻深度而向上斜变,以帮助清洁受颈缩影响的区域。O2整体上影响图案掩模,因此可以初始地降低O2的流速以帮助保留图案掩模,然后随时间或蚀刻深度而增加O2的流速以允许更大地穿透到特征中。在一些实施例中,O2流量斜变可为每秒约0.01sccm至每秒约0.1sccm。在一些实施例中,等离子体源功率或偏压源功率可以具有每秒约一瓦到每秒约两瓦的斜变速率。
虽然前述内容针对本原理的实施例,但可在不脱离本公开的基本范围的情况下设计本原理的其他与进一步的实施例。

Claims (15)

1.一种用于蚀刻基板的方法,包含:
将C3H2F4与伴随气体的气体混合物流入处理腔室;
使用连接到所述基板上方的上电极的RF电源和连接到所述基板下方的下电极的至少一个RF偏压电源来从所述气体混合物形成等离子体;
经由所述等离子体使用图案掩模对所述基板上的至少一个氧化物层或氮化物层执行各向异性蚀刻;
降低所述至少一个RF偏压电源的功率以在所述基板上的所述至少一个氧化物层或氮化物层上产生钝化层的沉积;以及
将所述处理腔室排空,同时中断所述RF电源以停止等离子体形成。
2.如权利要求1所述的方法,所述方法进一步包含:
脉冲所述RF电源以形成所述等离子体;以及
脉冲所述至少一个RF偏压电源,以辅助朝向所述基板的离子吸引。
3.如权利要求2所述的方法,所述方法进一步包含:
将所述RF电源连接到所述至少一个RF偏压电源和相应的阻抗匹配网络,以在微秒的数量级上调节对所述处理腔室的RF功率输送。
4.如权利要求2所述的方法,所述方法进一步包含:
使用以约100MHz至约200MHz的频率操作的所述RF电源形成所述等离子体;以及
使用以小于约6MHz的频率操作的所述至少一个RF偏压电源执行所述各向异性蚀刻。
5.如权利要求2所述的方法,其中所述RF电源的峰值功率水平小于所述至少一个RF偏压电源。
6.如权利要求1所述的方法,所述方法进一步包含:
对至少一个工艺参数执行动态斜变,其中所述至少一个工艺参数包含气体流速、RF电源、偏压电源或所述处理腔室的压力。
7.如权利要求6所述的方法,所述方法进一步包含:
基于处理时间或蚀刻深度来使所述至少一个工艺参数动态斜变。
8.如权利要求1所述的方法,其中所述伴随气体是C3F6的碳氟化合物气体。
9.如权利要求1所述的方法,所述方法进一步包含:
使用C3H2F4与所述伴随气体的所述气体混合物蚀刻所述基板上的氧化物和氮化物的交替层,所述气体混合物具有约为1:1的氧化物对氮化物的选择性。
10.一种用于蚀刻基板的方法,包含:
将C3H2F4与伴随气体的气体混合物流入处理腔室,所述气体混合物具有约为1:1的氧化物对氮化物的蚀刻选择性;
产生连接到所述基板上方的上电极的RF电源的第一RF功率脉冲,以在所述处理腔室中形成等离子体;
在所述第一RF功率脉冲期间产生连接到所述基板下方的下电极的至少一个RF偏压电源的第一RF偏压功率脉冲,以经由所述等离子体使用图案掩模对所述基板上的至少一个氧化物层或氮化物层执行各向异性蚀刻,所述第一RF偏压功率脉冲的持续期间小于所述第一RF功率脉冲的持续期间;
在所述第一RF偏压功率脉冲完成时,在所述第一RF功率脉冲期间在所述基板上的所述至少一个氧化物层或氮化物层上沉积钝化层;以及
在所述第一RF功率脉冲完成时将所述处理腔室排空。
11.如权利要求10所述的方法,所述方法进一步包含:
由在约400kHz的频率的所述至少一个RF偏压电源中的第一RF偏压电源和在约2MHz的频率的所述至少一个RF偏压电源中的第二RF偏压电源来产生所述第一RF偏压功率脉冲。
12.如权利要求10所述的方法,所述方法进一步包含:
以每秒约一千瓦到每秒约两千瓦的速率将对于随后的RF功率脉冲的所述第一RF功率脉冲的功率水平向上斜变至约3kW的峰值功率至约4kW的峰值功率。
13.如权利要求10所述的方法,所述方法进一步包含:
以每秒约一千瓦到每秒约两千瓦的速率将对于随后的RF偏压功率脉冲的所述第一RF偏压功率脉冲的功率水平向上斜变至约10kW的峰值功率至约15kW的峰值功率。
14.如权利要求10所述的方法,所述方法进一步包含:
动态地斜变一个或多个工艺参数以提供主动深宽比相关的蚀刻控制用于按深度进行选择性反应离子蚀刻。
15.一种非瞬时性计算机可读介质,其上储存有指令,所述指令在被执行时使一种操作基板处理系统的方法被执行,所述方法包含:
将C3H2F4与伴随气体的气体混合物流入处理腔室,所述气体混合物具有约为1:1的氧化物对氮化物的蚀刻选择性;
产生连接到基板上方的上电极的RF电源的第一RF功率脉冲,以在所述处理腔室中形成等离子体;
在所述第一RF功率脉冲期间产生连接到所述基板下方的下电极的至少一个RF偏压电源的第一RF偏压功率脉冲,以经由所述等离子体使用图案掩模对所述基板上的至少一个氧化物层或氮化物层执行各向异性蚀刻,所述第一RF偏压功率脉冲的持续期间小于所述第一RF功率脉冲的持续期间;
在所述第一RF偏压功率脉冲完成时,在所述第一RF功率脉冲期间在所述基板上的所述至少一个氧化物层或氮化物层上沉积钝化层;以及
在所述第一RF功率脉冲完成时将所述处理腔室排空。
CN202080012369.8A 2019-02-08 2020-01-27 蚀刻半导体结构的方法和设备 Active CN113366603B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US16/270,803 US10593518B1 (en) 2019-02-08 2019-02-08 Methods and apparatus for etching semiconductor structures
US16/270,803 2019-02-08
PCT/US2020/015155 WO2020163100A1 (en) 2019-02-08 2020-01-27 Methods and apparatus for etching semiconductor structures

Publications (2)

Publication Number Publication Date
CN113366603A true CN113366603A (zh) 2021-09-07
CN113366603B CN113366603B (zh) 2024-05-31

Family

ID=

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN114114966A (zh) * 2021-11-12 2022-03-01 华科电子股份有限公司 一种应用于蚀刻机的射频电源控制方法及系统

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000133638A (ja) * 1998-10-22 2000-05-12 Tokyo Electron Ltd プラズマエッチング方法およびプラズマエッチング装置
JP2007537602A (ja) * 2004-05-11 2007-12-20 アプライド マテリアルズ インコーポレイテッド フルオロカーボン化学エッチングにおけるh2添加物を使用しての炭素ドープ酸化ケイ素エッチング
CN102160155A (zh) * 2008-09-22 2011-08-17 应用材料公司 适合蚀刻高深宽比特征结构的蚀刻反应器
TW201517167A (zh) * 2013-09-06 2015-05-01 Applied Materials Inc 使用同步射頻脈衝以蝕刻材料之方法
US20150126033A1 (en) * 2013-11-06 2015-05-07 Tokyo Electron Limited Method for deep silicon etching using gas pulsing
US20170032938A1 (en) * 2014-01-10 2017-02-02 Reno Technologies, Inc. Rf impedance matching network

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000133638A (ja) * 1998-10-22 2000-05-12 Tokyo Electron Ltd プラズマエッチング方法およびプラズマエッチング装置
JP2007537602A (ja) * 2004-05-11 2007-12-20 アプライド マテリアルズ インコーポレイテッド フルオロカーボン化学エッチングにおけるh2添加物を使用しての炭素ドープ酸化ケイ素エッチング
CN102160155A (zh) * 2008-09-22 2011-08-17 应用材料公司 适合蚀刻高深宽比特征结构的蚀刻反应器
TW201517167A (zh) * 2013-09-06 2015-05-01 Applied Materials Inc 使用同步射頻脈衝以蝕刻材料之方法
US20150126033A1 (en) * 2013-11-06 2015-05-07 Tokyo Electron Limited Method for deep silicon etching using gas pulsing
US20170032938A1 (en) * 2014-01-10 2017-02-02 Reno Technologies, Inc. Rf impedance matching network

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN114114966A (zh) * 2021-11-12 2022-03-01 华科电子股份有限公司 一种应用于蚀刻机的射频电源控制方法及系统
CN114114966B (zh) * 2021-11-12 2024-01-05 华科电子股份有限公司 一种应用于蚀刻机的射频电源控制方法及系统

Also Published As

Publication number Publication date
KR20210112415A (ko) 2021-09-14
US10930471B2 (en) 2021-02-23
US20200294771A1 (en) 2020-09-17
US20210142987A1 (en) 2021-05-13
US11164723B2 (en) 2021-11-02
WO2020163100A1 (en) 2020-08-13
JP7259061B2 (ja) 2023-04-17
TW202037234A (zh) 2020-10-01
US10593518B1 (en) 2020-03-17
JP2022520190A (ja) 2022-03-29

Similar Documents

Publication Publication Date Title
US9872373B1 (en) Smart multi-level RF pulsing methods
JP7259061B2 (ja) 半導体構造をエッチングするための方法及び装置
US10755895B2 (en) Ion energy control by RF pulse shape
US8962488B2 (en) Synchronized radio frequency pulsing for plasma etching
US10943789B2 (en) Methods and systems for advanced ion control for etching processes
US9054050B2 (en) Method for deep silicon etching using gas pulsing
US11373877B2 (en) Methods and apparatus for in-situ protection liners for high aspect ratio reactive ion etching
US10991594B2 (en) Method for area-selective etching of silicon nitride layers for the manufacture of microelectronic workpieces
US20200090909A1 (en) Filling a cavity in a substrate using sputtering and deposition
CN113366603B (zh) 蚀刻半导体结构的方法和设备
TWI588939B (zh) A silicon through hole etching method
KR101503258B1 (ko) 플라즈마를 이용한 기판 처리 방법
US20230386794A1 (en) Substrate processing method and substrate processing apparatus
WO2022244638A1 (ja) プラズマ処理装置及びrfシステム
KR20220136136A (ko) 에칭 방법 및 에칭 처리 장치
KR20210096576A (ko) 에칭 방법 및 플라즈마 처리 장치
WO2021221862A1 (en) Systems and methods to control critical dimension (cd) shrink ratio through radio frequency (rf) pulsing
CN115172155A (zh) 沟槽刻蚀方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant