TW202104647A - 使用氟移除形成一結構之方法 - Google Patents

使用氟移除形成一結構之方法 Download PDF

Info

Publication number
TW202104647A
TW202104647A TW109123986A TW109123986A TW202104647A TW 202104647 A TW202104647 A TW 202104647A TW 109123986 A TW109123986 A TW 109123986A TW 109123986 A TW109123986 A TW 109123986A TW 202104647 A TW202104647 A TW 202104647A
Authority
TW
Taiwan
Prior art keywords
layer
containing gas
fluorine
nitrogen
processing step
Prior art date
Application number
TW109123986A
Other languages
English (en)
Inventor
柳太熙
金永勳
鄭世雄
Original Assignee
荷蘭商Asm Ip私人控股有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 荷蘭商Asm Ip私人控股有限公司 filed Critical 荷蘭商Asm Ip私人控股有限公司
Publication of TW202104647A publication Critical patent/TW202104647A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/4554Plasma being used non-continuously in between ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5386Geometry or layout of the interconnection structure

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Electromagnetism (AREA)
  • Geometry (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

揭示形成結構之方法,該等方法包括處理一層以移除殘留的蝕刻劑化合物(諸如氟)之一步驟。例示性方法可用以在一裝置製造製程期間填充一基材表面上的特徵。

Description

使用氟移除形成一結構之方法
本揭露大致上係關於形成適於用在電子裝置之製造中的結構之方法。更具體地,本揭露之實例係關於包括從膜移除氟之方法及關於使用該等方法形成之結構。
因為各種各樣的原因,因此保形的膜沉積可能係所欲的。例如,在裝置(諸如半導體裝置)之製造期間,常係所欲的是在形成於基材表面上之特徵(例如,溝槽或間隙)上方保形地沉積材料。此類技術可用於淺溝槽絕緣、金屬間介電層、鈍化層、及類似者。然而,隨著裝置的小型化,保形地沉積材料逐漸變得困難,在高深寬比特徵(諸如具有三或更大之深寬比的特徵)上方尤是如此。
原子層沉積(ALD)可用以保形地沉積材料至基材表面上。對一些應用而言,諸如,當前驅物及/或反應物以其他方式需要相對高溫以供ALD沉積時,及/或當所欲的是使處理溫度保持相對低時,可係所欲的是使用電漿增強ALD (PEALD)。
然而,即使使用PEALD,所沉積的材料仍可積聚在例如間隙102之頂部區域或區域104處,如圖1(a)所繪示。隨著材料持續沉積在間隙102中,由於材料積聚在區域104中的結果,可形成空隙或接縫。沉積-蝕刻-沉積(DED)製程可用以應對此問題。
在DED製程中,材料之膜或層係沉積在間隙(例如間隙102)的頂表面及側表面上。在沉積步驟期間,過量的材料積聚在區域104中,導致形成側壁(區域104)的頂表面附近之外懸的膜輪廓。使用蝕刻步驟來移除形成在間隙頂部附近之表面上的膜之外懸部分,如圖1(b)所繪示。接著,在蝕刻步驟之後,可實行另一沉積步驟,以便在先前沉積的材料上沉積額外材料,如圖1(c)所繪示。可重複DED製程直到間隙經填充,並可減緩間隙內之沉積材料的接縫及/或空隙形成。
常使用活化的NF3 氣體來蝕刻膜以移除膜的外懸部分,以促成間隙的無縫及/或無空隙填充。不幸地,已發現在將含氟氣體用作蝕刻劑時,殘留的氟餘留在沉積材料中。圖2繪示經沉積在間隙內之氧化矽材料的X射線光電子能譜儀(XPS)分析結果,其中a 表示對應於在其上形成間隙之矽基材的資料,b 表示對應於第一SiO2 層的資料,而c 表示對應於第二SiO2 層的資料。如所繪示,約0.5原子%的氟餘留在SiO2 層之間的邊界區域處。
殘留的氟可導致裝置組件的腐蝕及/或以其他方式劣化裝置性能。因此,可係所欲的是從沉積材料移除氟。
移除殘留氟的現有技術包括以高於900 °C的溫度退火沉積材料。然而,此類溫度可能會超出用於結構的熱預算及/或導致結構受損(例如,結構組件之收縮或塌陷或開裂)。在具有相對窄線寬之高度整合的裝置結構之情況下,使用高溫特別有可能會產生問題。
因此,所欲的是用於形成結構之改善方法,特別是針對在結構形成期間填充間隙之方法。
本節提出之任何討論(包括問題及解決方案之討論)僅為了提供本揭露背景之目的而包括在本揭露中,且不應視為承認討論之任何或全部內容在完成本發明時已知或以其他方式構成先前技術。
本揭露之各種實施例係關於形成適於用在裝置形成中的結構之方法。雖然在下文更詳細地討論本揭露之各種實施例應對先前方法及結構之缺點的方式,大致上,本揭露之例示性實施例提供包括從材料移除殘留的氟之改善方法。
根據本揭露之至少一實施例,一種形成結構之方法包括提供具有特徵之基材;沉積上覆於特徵之材料層;使用含氟氣體蝕刻層的一部分;及處理層的剩餘部分以從剩餘部分移除氟。沉積材料層之步驟可包括循環沉積製程(諸如PEALD)。處理步驟可包括提供一或多個氣體,其係選自由下列組成之群組:含氮氣體(例如,N2 、NH3 、NO2 、N2 O、NO、N2 O3 、N2 O4 、N2 O5 、N4 O、及N(NO2 )3 之一或多者)、含氧氣體(例如,氧、臭氧、及氧自由基之一或多者)、及氬。含氟氣體可包括例如下列之一或多者:NF3 、ClF3 、F2 、CF4 、CHF3 、C2 F6 、CF2 Cl2 、及CF3 Cl。蝕刻步驟可包括從含氟氣體形成活化物種。類似地,處理該層的剩餘部分之步驟可包括形成活化物種。形成活性物種或活化物種之步驟可包括使用遠端電漿及/或直接電漿。在繼續進行至該蝕刻步驟之前,沉積步驟可重複若干個a次。蝕刻步驟可包括循環製程,在繼續進行至處理步驟之前,其可重複若干個b次。處理步驟可包括循環製程,在繼續進行至沉積材料層之下一步驟之前,其可重複若干個c次。沉積步驟、蝕刻步驟、及處理步驟可重複n次。在最終蝕刻步驟之後,可藉由使沉積循環重複若干個d次來沉積最終材料層。
根據本揭露之至少一其他實施例,一種填充間隙之方法包括提供在基材之表面上具有間隙之基材;沉積上覆於間隙之材料層;使用含氟氣體蝕刻層的一部分;處理層的剩餘部分以從剩餘部分移除氟;及重複沉積步驟、蝕刻步驟、及處理步驟,直到間隙填以材料。處理步驟可包括提供一或多個氣體,其等係選自由下列之一或多者組成之群組:含氮氣體、含氧氣體、及氬(諸如,如本文所提及之含氮氣體、含氧氣體、或氬之任一者)。沉積材料層之步驟可包括循環製程(諸如PEALD)。沉積步驟、處理步驟、及/或蝕刻步驟可包括使用活化物種,活化物種可使用遠端電漿及/或直接電漿形成。可重複方法之各種步驟及/或所有步驟直到間隙經填充。例如,沉積步驟可包括循環製程,在繼續進行至該蝕刻步驟之前,沉積步驟可重複若干個a次;蝕刻步驟可包括循環製程,在繼續進行至處理步驟之前,蝕刻步驟可重複若干個b次;及/或處理步驟可包括循環製程,在繼續進行至沉積材料層之下一步驟之前,處理步驟可重複若干個c次。沉積步驟、蝕刻步驟、及處理步驟可重複n次。在最終蝕刻步驟之後,可藉由使沉積循環重複若干個d次來沉積最終材料層。
根據本揭露之再進一步的例示性實施例,至少部分地根據本文所述之方法形成結構。材料可係或包括例如絕緣材料(諸如一氧化物,例如氧化矽)。由於從材料移除了氟,因此材料,且特別是材料在兩層之間的界面,可具有小於0.25 at%或小於0.10 at%的氟含量。
所屬技術領域中具有通常知識者將從已參照隨附圖式之某些實施例的下列詳細實施方式輕易明白這些及其他實施例;本發明並未受限於任何已揭示的(多個)特定實施例。
雖然在下文揭示某些實施例及實例,所屬技術領域中具有通常知識者將了解本發明延伸超出本發明及其明顯的修改與等同物之具體揭示的實施例及/或用途。因此,意欲使所揭示之本發明的範疇不應受下文所述之具體揭示實施例之限制。
本揭露大體上係關於形成結構之方法,並關於使用其方法形成之結構。舉實例而言,本文所述之方法可用以使用材料(諸如絕緣(例如介電質)材料)填充基材表面上之特徵(諸如間隙,例如溝槽或貫孔)。舉具體實例而言,材料可包括氧化矽。
在本揭露中,「氣體(gas)」可包括在室溫及壓力下為氣體、汽化固體、及/或汽化液體之材料,並可取決於上下文由單一氣體或氣體混合物構成。有別於製程氣體的氣體(亦即,非通過氣體分配總成(諸如噴淋頭)、其他氣體分配裝置、或類似者引入的氣體)可用於例如密封反應空間,該氣體包括密封氣體(諸如,稀有氣體)。在一些情況下(諸如在材料沉積之背景下),用語「前驅物(precursor)」可指參與生成另一化合物之化學反應的化合物,且具體係指構成膜基質或膜之主要架構的化合物,而用語「反應物(reactant)」可指有別於前驅物之化合物,其使前驅物活化、對前驅物進行改質、或催化前驅物之反應,其中當例如施加射頻(RF)功率時,反應物可提供元素(諸如,O、N、C)至膜基質,並成為膜基質之一部分。在一些情況下,用語前驅物(precursor)及反應物(reactant)可互換地使用。用語「惰性氣體(inert gas)」係指當施加RF功率時,在可察覺的程度上不參與化學反應的氣體及/或激發前驅物的氣體,但不像反應物,該惰性氣體無法在可察覺的程度上變為膜基質之一部分。
如本文中所使用,用語「基材(substrate)」可指可用以形成或在其上可形成裝置、電路、或膜之任何(多個)下伏材料。基材可包括塊材(諸如矽(例如單晶矽))、其他IV族材料(諸如鍺)、或化合物半導體材料(諸如GaAs),並可包括上覆或下伏於塊材的一或多層。進一步地,基材可包括各種特徵(諸如間隙、凹部、貫孔、線、及類似者),該等特徵經形成在基材之層或塊材的至少一部分之內或之上。
在一些實施例中,「膜(film)」係指在垂直於厚度方向的方向上延伸的層。在一些實施例中,「層(layer)」係指形成於表面上之具有某一厚度之結構或者膜或非膜結構之同義詞。膜或層可由具有某些特性之離散單一膜或層或者由多個膜或層構成,且相鄰膜或層之間的邊界可以明確或可以不明確,且可以基於或可以不基於物理、化學、及/或任何其他特性、形成製程或序列、及/或相鄰膜或層之功能或用途而建立。層或膜可係連續的或者不連續的。
如本文中所使用,用語「包含氧化矽的層(layer comprising silicon oxide)」或「氧化矽層(silicon oxide layer)」可指其化學式可表示為包括矽及氧的層。包含氧化矽的層可包括其他元素(諸如氮、碳、或其混合物之一或多者)。
如本文中所使用,用語「結構(structure)」可指部分或完全製造的裝置結構。舉實例而言,結構可包括具有形成在其上之一或多個特徵的基材。
如本文中所使用,用語「循環沉積製程(cyclic deposition process)」可指氣相沉積製程,其中沉積循環(一般係複數個接續的沉積循環)係在製程室中實施。循環沉積製程可包括循環化學氣相沉積(CVD)及原子層沉積製程。
如本文中所使用,用語「原子層沉積(atomic layer deposition, ALD)」可指氣相沉積製程,其中沉積循環(一般係複數個接續的沉積循環)係在製程室中實施。一般而言,在各循環期間,前驅物係化學吸附至沉積表面(例如基材表面或先前沉積的下伏表面,諸如來自先前ALD循環的材料),形成不易與額外前驅物起反應的單層或次單層(亦即,自限制反應)。其後,可隨後將反應物(例如,另一前驅物或反應氣體)引入製程室中,以用於在沉積表面上將經化學吸附之前驅物轉化為所欲材料。一般而言,此反應物能夠進一步與前驅物起反應。此外,在每個循環期間,亦可利用沖洗步驟以在轉化化學吸附的前驅物之後自處理室移除過量前驅物及/或自處理室移除過量反應物及/或反應副產物。進一步地,當使用(多個)前驅物組成物、反應性氣體、及沖洗(例如惰性載體)氣體的交替脈衝執行時,如本文中所使用之用語「原子層沉積(atomic layer deposition)」亦意指包括由相關用語指定的製程,諸如,化學氣相原子層沉積(chemical vapor atomic layer deposition)、原子層磊晶(atomic layer epitaxy, ALE)、分子束磊晶(MBE)、氣體源MBE、或有機金屬MBE、及化學束磊晶。電漿增強ALD (PEALD)可指ALD製程,其中電漿係在ALD步驟之一或多者期間施加。
進一步地,在本揭露中,變數之任兩個數字可構成變數之可工作範圍,且所指示之任何範圍可包括或排除端點。此外,所指示的變數之任何數值(不管該等數值是否以「約」來指示)可指精確值或近似值並包括等效值,且在一些實施例中可指平均值、中間值、代表值、多數值等。進一步地,在本揭露中,於一些實施例中,用語「包括(including)」、「由……構成(constituted by)」、及「具有(having)」係獨立地指「一般或廣泛地包含(typically or broadly comprising)」、「包含(comprising)」、「基本上由……組成(consisting essentially of)」、或「由……組成(consisting of)」。在本揭露中,於一些實施例中,任何已定義之意義未必排除尋常及慣例意義。
在本揭露中,於一些實施例中,「連續地(continuously)」可指不中斷真空、在時間線上無中斷、無任何材料插入步驟、未改變處理條件、其後立即、作為下一步驟、或在兩結構間無有別於該兩結構之插入的離散物理或化學結構。
再次轉向圖式,圖3繪示根據本揭露之例示性實施例之方法300。方法300包括下列步驟:提供基材(步驟302)、沉積材料層(步驟304)、蝕刻層的一部分(步驟306)、及處理層的剩餘部分(步驟308)。在一些實施例中,方法300可用以填充基材表面上的間隙(例如特徵內或介於特徵之間)。
在步驟302期間,提供基材。基材可包括特徵(諸如溝槽、貫孔、突出部、或類似者)。基材可進一步包括上覆於特徵的層(例如,SiO2或SiN)。一或多個特徵可具有約10 nm至約100 nm的寬度,約30 nm至約1000 nm的深度或高度,及/或約3至100或約3至約20的深寬比。在此步驟期間,基材可於反應室內提供。進一步地,在步驟302期間,可使基材達到用於後續處理之所欲的溫度及壓力。
在步驟304期間,將材料層沉積至基材表面上(例如,上覆於基材表面上的特徵)。圖4繪示包括具有特徵(例如間隙)410之基材414的結構402。在步驟304期間,材料412係沉積為上覆於特徵410/基材414。如所繪示,相較於特徵410之底部418附近之材料412的厚度,特徵410之頂部416處之材料412的厚度可能是相對厚的。頂部416附近之相對厚的材料產生外懸膜輪廓,如果未列入考慮,其可導致在填充特徵410時形成空隙及/或接縫。
在一些實施例中,步驟304包括使用循環沉積製程、循環CVD、或ALD製程在基材/特徵上沉積材料層。舉具體實例而言,材料層可使用PEALD沉積。層可包括例如介電質或絕緣材料(諸如氧化矽層)。
例示性循環或PEALD製程可包括使基材暴露至矽前驅物(諸如矽烷、鹵素矽烷(二氯矽烷、二碘矽烷、六氯二矽烷、八氯三矽烷)、有機矽烷(三(二甲胺基)矽烷、雙(第三丁基胺基)矽烷、二(二級丁基胺基)矽烷)、及異質矽烷(三甲矽烷基胺、新五矽烷));沖洗反應室;使基材暴露至活化反應物(例如氧)物種,該活化反應物物種係藉由使諸如氧或O3 (臭氧)之反應物氣體(例如,氧來源氣體)暴露至例如射頻及/或微波輻射而形成;沖洗反應室;及重複這些步驟直到得到層之初始所欲厚度。重複步驟係繪示為迴圈312。在循環CVD的情況下,反應物及前驅物可同時引入反應室中。反應物及/或反應副產物可如本文所述般進行沖洗。進一步地,可使用混合式CVD/PECVD-ALD/PEALD製程,其中反應物及前驅物可持續一段時間以氣相起反應,且其中發生一些ALD。
在步驟304期間,反應室內的溫度可係約300 °C至約550 °C、約350 °C至約400 °C、或約450 °C至約600 °C。反應室內的壓力可係約0.5 Torr至約10 Torr、約1 Torr至約8 Torr、或約2 Torr至約7 Torr。針對例如用於產生電漿之RF功率的功率可係約400 W至約1,500 W、約600 W至約1,200 W、或約800 W至約1,000 W。
在步驟306期間,步驟304期間所沉積之層的一部分係經蝕刻。例如,含氟氣體可用以蝕刻材料412的一部分以形成結構404,在間隙410內留下材料420的剩餘部分,如圖4所繪示。
步驟306可係循環蝕刻製程,其中蝕刻劑經引入反應室中,並接著在例如沖洗氣體及/或真空的輔助下沖洗反應室,且之後將蝕刻劑再次引入及/或將另一蝕刻劑引入反應室中並沖洗反應室;可重複這些步驟,如圖3中之迴圈314所繪示。
用於在步驟306期間使用之例示性蝕刻劑可包括下列之一或多者:NF3 、ClF3 、F2 、CF4 、CHF3 、C2 F6 、CF2 Cl2 、及CF3 Cl。在步驟306期間,可藉由以電漿活化蝕刻劑氣體及可選的一或多個惰性氣體(諸如氬及/或氮)以形成電漿來形成活化物種。來自反應物氣體之活化物種可使用遠端電漿及/或直接電漿形成。
在步驟306期間,反應室內的溫度可係介於約300 °C與約550 °C之間、介於約350 °C與約500 °C之間、或介於約400 °C與約450 °C之間。反應室內的壓力可係約0.5 Torr至約10 Torr、約1 Torr至約8 Torr、或約2 Torr至約7 Torr。針對例如用於產生電漿之RF功率的功率可係約100 W至約600 W、約200 W至約500 W、或約300 W至約400 W。反應室可與步驟304期間所用的反應室相同或不同。因此,在一些情況下,可連續地執行步驟304及306。
在步驟308期間,材料的剩餘部分(例如,材料420的剩餘部分)係經處理以從材料的剩餘部分移除殘留的蝕刻劑材料(例如氟)以形成具有材料422之結構406,其中殘留的蝕刻劑材料經移除。
步驟308可包括提供處理氣體至反應室,該反應室可相同或不同於步驟304、306之任一者期間所用的反應室。因此,可連續地執行步驟304至308或步驟306及308。
處理氣體係在步驟308期間引入反應室。處理氣體可包括例如一或多個選自由下列組成之群組的氣體:含氮氣體、含氧氣體、及氬。含氮氣體可包括下列之一或多者:N2 (氮)、NH3 (氨)、NO2 (二氧化氮)、N2 O(一氧化二氮)、NO(一氧化氮)、N2 O3 (三氧化二氮)、N2 O4 (四氧化二氮)、N2 O5 (五氧化二氮)、N4 O(一氧化四氮)、及N(NO2 )3 (三硝基胺)。含氧氣體可包括氧、臭氧、及氧自由基之一或多者。在步驟308期間,可藉由活化處理氣體及可選的一或多個惰性氣體(諸如氬及/或氮)以形成電漿來形成活化物種。來自處理氣體之活化物種可使用遠端電漿及/或直接電漿形成。
步驟308可包括例如在惰性氣體及/或真空的輔助下沖洗反應室。進一步地,步驟308可重複若干次,如迴圈316所繪示。
步驟304至308可如迴圈318所繪示般重複。例如,步驟304可執行a次,步驟306可執行b次,步驟308可執行c次,且迴圈318可執行n次。
在最終步驟308之後,方法300可繼續進行至最終沉積步驟310以形成具有填以材料424之特徵410的結構408。步驟310可相同或類似於步驟304,並可重複若干個d次直到特徵410經填充。可選地,在圖4的步驟(d)之前可提供CMP步驟或蝕刻步驟,以便平坦化包括材料412之結構402的頂部。材料424(具體在沉積層(例如由蝕刻製程分開的層)之間的界面處)之氟含量可小於0.25 at%、或小於0.15 at%、或小於0.10 at%、或小於0.05 at%。
圖5繪示根據本揭露之一具體實例之循環方法500。方法500包括下列步驟:沉積材料層(步驟502)、蝕刻層的一部分(步驟504)、處理層的剩餘部分(步驟506)、及沉積材料的最終步驟(步驟508)。方法500亦可包括在反應室內提供基材之步驟,其可相同或類似於上述之步驟302。進一步地,類似於方法300,方法500可用以填充基材表面上的間隙(例如特徵內或介於特徵之間)。
在所繪示之實例中,步驟502包括將前驅物脈衝至反應室持續週期t1、從反應室沖洗反應物持續週期t2、提供活化反應物物種至反應室持續週期t3、及沖洗反應室持續週期t4。沖洗可包括提供真空及/或沖洗氣體至反應室。用於t1至t4各者之時間可改變;然而,根據本揭露之實例,t1之範圍可從約0.1秒至約1秒、約0.2秒至約0.8秒、或約0.4秒至約0.6秒;t2之範圍可從約0.1秒至約10秒、約2秒至約8秒、或約4秒至約6秒;t3之範圍可從約0.2秒至約10秒、約2秒至約8秒、或約4秒至約6秒;t4之範圍可從約0.1秒至約10秒、約2秒至約8秒、或約4秒至約6秒。前驅物之流量率的範圍可從約1,000 sccm至約3,000 sccm、約1,500 sccm至約2,500 sccm、或約1,000 sccm至約2,000 sccm。反應物之流量率的範圍可從約1,000 sccm至約3,000 sccm、約1,500 sccm至約2,500 sccm、或約1,800 sccm至約2,200 sccm。步驟502可重複a次。
步驟504(可選地)可包括沖洗反應室持續週期t5、蝕刻層的一部分持續週期t6、及沖洗反應室持續週期t7。T5之範圍可從約0.2秒至約10秒、約2秒至約8秒、或約4秒至約6秒;t6之範圍可從約0.2秒至約10秒、約2秒至約8秒、或約4秒至約6秒;t7之範圍可從約0.1秒至約10秒、約2秒至約8秒、或約4秒至約6秒。蝕刻劑之流量率的範圍可從約100 sccm至約500 sccm、約150 sccm至約450 sccm、或約200 sccm至約400 sccm。步驟504可重複b次。
步驟506可包括將處理氣體脈衝至反應室持續週期t8及從反應室沖洗處理氣體持續週期t9。T8之範圍可從約0.2秒至約10秒、約2秒至約8秒、或約4秒至約6秒,且t9之範圍可從約0.1秒至約10秒、約2秒至約8秒、或約4秒至約6秒。處理氣體之流量率的範圍可從約1,000 sccm至約3,000 sccm、約1,500 sccm至約2,000 sccm、或約1,800 sccm至約2,200 sccm。步驟506可重複c次。
如所繪示,步驟502至506可重複若干個n次以例如形成結構406。在最終步驟506之後,可執行步驟508以填充間隙。步驟508包括將前驅物脈衝至反應室持續週期t10、從反應室沖洗反應物持續週期t11、提供活化反應物物種至反應室持續週期t12、及沖洗反應室持續週期t13。用於t10至t13之各者的時間及前驅物及/或反應物之流量率可係相同或類似於用於步驟502之對應值。
步驟502至508之一或多者可包括形成活化物種之步驟。在所繪示之實例中,活化物種係在步驟502及508期間由反應物氣體形成、在步驟504期間由蝕刻劑氣體形成、以及在步驟506期間由處理氣體形成。用以形成活化物種之功率可係上文連同方法300所述者及/或如下文所提出者。
下列之表1說明適於方法500之變數的例示性範圍。 表1.
步驟1 步驟2 步驟3 步驟4  
氣 體 流 量 (sccm) 前驅物(Si來源)(載體Ar) 1000至3000 1000至3000 1000至3000 1000至3000  
Ar沖洗 2000至10000 2000至10000 2000至10000 2000至10000  
反應物(O2 ) 1000至3000 0   1000至3000  
處理氣體(N2 )     1000至3000    
蝕刻劑(NF3 )   100至500 0    
製 程 時 間 (秒) / 循 環 來源饋送 0.1至1 0 0 0.1至1  
沖洗 0.1至10 0.1至10 0 0.1至10  
電漿 0.2至10 0.2至10 0.2至10 0.2至10  
沖洗 0.1至10 0.1至10 0.1至10 0.1至10  
電漿 RF功率(W) 900 300 700至900 900  
頻率 13.56 MHz 430 KHz 13.56 MHz 13.56 MHz  
製程間隙(mm) 5.5至12 5.5至12 5.5至12 5.5至12  
壓力(Torr) 2至7 2至7 2至7 2至7  
加熱器溫度(°C) 550 550 550 550  
製程間隙係指基材與直接電漿之電極及/或氣體分配裝置(諸如噴淋頭)之間的距離。
圖6至圖8繪示使用方法300及/或方法500沉積之氧化矽膜中的殘留氟之XPS分析結果。圖6繪示以氬處理(例如,在無電漿的情況下進行氬沖洗)(例如在步驟308期間)之氧化矽膜的XPS分析。圖7繪示以活化氮處理(例如在步驟308及/或506期間)之氧化矽膜的XPS分析。圖8繪示以活化氧處理(例如在步驟308及/或506期間)之氧化矽膜的XPS分析。
咸認為使用Ar沖洗處理及活化氧處理使Ar分子及氧自由基轟擊膜表面,從而物理上從膜移除殘留的氟;此類處理較無處理的膜產生較少殘留的氟。當在步驟308、506期間使用含氮氣體並施加電漿處理時,樣本中未偵測到氟。
將圖6至圖8與圖2相比較,殘留氟的量係藉由氬分子或氧自由基或氮基而物理上及/或化學上減少或移除。圖9繪示根據圖2及圖6至圖8之殘留氟的量。根據本文所述之例示性方法形成的結構可不在高溫下使用退火製程進行處理以從沉積材料移除氟。結果,可減少或最小化可能會以其他方式由退火引發的任何裝置受損。
上文所述之本揭露之實例實施例並未限制本發明的範疇,因為這些實施例僅為本發明之實施例之實例。任何等效實施例係意欲屬於本發明之範疇內。實際上,除本文中所示及所述者以外,所屬技術領域中具有通常知識者可由本說明書明白本揭露之各種修改(諸如所述元件之替代可用組合)。此類修改及實施例亦意欲落在隨附之申請專利範圍的範疇內。
102:間隙 104:區域 300:方法 302:步驟 304:步驟 306:步驟 308:步驟 310:步驟 312:迴圈 314:迴圈 316:迴圈 318:迴圈 402:結構 410:特徵 412:材料 414:基材 418:底部 424:材料 500:循環方法 502:步驟 504:步驟 506:步驟 508:步驟 t1:週期 t2:週期 t3:週期 t4:週期 t5:週期 t6:週期 t7:週期 t8:週期 t9:週期 t10:週期 t11:週期 t12:週期 t13:週期
當結合下列說明圖式考慮時,可藉由參照實施方式及申請專利範圍而得到對本揭露之例示性實施例的更完整了解。 圖1繪示填充間隙之方法。 圖2繪示XPS資料,其顯示殘留的氟餘留在所沉積之沉積材料中。 圖3繪示根據本揭露之至少一實施例之方法。 圖4繪示根據本揭露之至少一實施例形成之結構。 圖5繪示根據本揭露之一實例之製程序列。 圖6繪示根據本揭露之一實例之針對Ar沖洗處理之XPS分析結果。 圖7繪示根據本揭露之一實例之針對N2 電漿處理之XPS分析結果。 圖8繪示根據本揭露之一實例之針對O2 電漿處理之XPS分析結果。 圖9繪示根據本揭露之例示性實施例之不使用處理步驟及使用處理步驟之殘留氟量的比較。 將理解到圖式中之元件係為了簡單及清楚起見而繪示且不一定按比例繪製。例如,圖式中的一些元件之尺寸可相對於其他元件誇大,以幫助提升對本揭露所繪示之實施例的瞭解。
300:方法
302:步驟
304:步驟
306:步驟
308:步驟
310:步驟
312:迴圈
314:迴圈
316:迴圈
318:迴圈

Claims (28)

  1. 一種形成一結構之方法,該方法包含下列步驟: 提供具有一特徵之一基材; 沉積上覆於該特徵之一材料層; 使用一含氟氣體蝕刻該層的一部分;及 處理該層的一剩餘部分以從該剩餘部分移除氟。
  2. 如請求項1所述之方法,其中該處理步驟包含提供一或多個氣體,其等選自由一含氮氣體、一含氧氣體、及氬組成之群組。
  3. 如請求項2所述之方法,其中該處理步驟包含提供該含氮氣體。
  4. 如請求項3所述之方法,其中該含氮氣體包含下列之一或多者:N2 (氮)、NH3 (氨)、NO2 (二氧化氮)、N2 O(一氧化二氮)、NO(一氧化氮)、N2 O3 (三氧化二氮)、N2 O4 (四氧化二氮)、N2 O5 (五氧化二氮)、N4 O(一氧化四氮)、及N(NO2 )3 (三硝基胺)。
  5. 如請求項2所述之方法,其中該處理步驟包含提供該含氧氣體。
  6. 如請求項5所述之方法,其中該含氧氣體包含氧、臭氧、及氧自由基之一或多者。
  7. 如請求項2所述之方法,其中該處理步驟包含提供氬。
  8. 如請求項1所述之方法,其中該含氟氣體係選自下列之一或多者:NF3 、ClF3 、F2 、CF4 、CHF3 、C2 F6 、CF2 Cl2 、及CF3 Cl。
  9. 如請求項1所述之方法,其中該使用一含氟氣體蝕刻該層的一部分之步驟包含從該含氟氣體形成活化物種。
  10. 如請求項1所述之方法,其中該處理該層的一剩餘部分之步驟包含形成活化物種。
  11. 如請求項10所述之方法,其中該等活化物種係使用一直接電漿形成。
  12. 如請求項10所述之方法,其中該等活化物種係使用一遠端電漿形成。
  13. 如請求項1所述之方法,其中該處理步驟期間之一基材的一溫度係介於約300 °C與約550 °C之間、介於約350 °C與約500 °C之間、或介於約400 °C與約450 °C之間。
  14. 如請求項1所述之方法,其進一步包含使下列之該等步驟重複若干個n次:沉積上覆於該特徵之一材料層、使用一含氟氣體蝕刻該層的一部分、及處理該層的一剩餘部分以從該剩餘部分移除氟。
  15. 如請求項14所述之方法,其進一步包含在該若干個n次之後沉積一材料層之一步驟。
  16. 如請求項14所述之方法,其中該處理步驟包含一循環製程,且其中該循環製程係在繼續進行至該沉積一材料層之步驟前重複若干次。
  17. 一種填充一間隙之方法,該方法包含下列步驟: 提供一基材,在該基材之一表面上具有一間隙; 沉積上覆於該間隙之一材料層; 使用一含氟氣體蝕刻該層的一部分; 處理該層的一剩餘部分以從該剩餘部分移除氟;及 重複該等沉積、蝕刻、及處理步驟直到該間隙填以該材料。
  18. 如請求項17所述之方法,其中該處理步驟包含提供一或多個氣體,其等選自由含氮氣體、含氧氣體、及氬之一或多者組成之群組。
  19. 如請求項17所述之方法,其中該處理步驟期間之一基材的一溫度係介於約300 °C與約550 °C之間、介於約350 °C與約500 °C之間、或介於約400 °C與約450 °C之間。
  20. 如請求項17所述之方法,其進一步包含在處理該層的一剩餘部分之一最終步驟之後沉積該材料之一步驟。
  21. 如請求項17所述之方法,其中該沉積一材料層之步驟包含PEALD。
  22. 如請求項17所述之方法,其中該處理步驟包含使用一直接電漿形成活化物種。
  23. 如請求項17所述之方法,其中該處理步驟包含使用一遠端電漿形成活化物種。
  24. 一種結構,其係如請求項第1至23項中任一項所述之方法所形成。
  25. 如請求項24所述之結構,其中該材料包含一絕緣材料。
  26. 如請求項25所述之結構,其中該絕緣材料包含一氧化物。
  27. 如請求項24至26中任一項所述之結構,其中該材料中之一氟含量係小於0.25 at%。
  28. 如請求項24至27中任一項所述之結構,其中該材料中之一氟含量係小於0.1 at%。
TW109123986A 2019-07-29 2020-07-16 使用氟移除形成一結構之方法 TW202104647A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201962879736P 2019-07-29 2019-07-29
US62/879,736 2019-07-29

Publications (1)

Publication Number Publication Date
TW202104647A true TW202104647A (zh) 2021-02-01

Family

ID=74258614

Family Applications (1)

Application Number Title Priority Date Filing Date
TW109123986A TW202104647A (zh) 2019-07-29 2020-07-16 使用氟移除形成一結構之方法

Country Status (4)

Country Link
US (1) US20210035854A1 (zh)
KR (1) KR20210014577A (zh)
CN (1) CN112309850A (zh)
TW (1) TW202104647A (zh)

Families Citing this family (203)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3179212B2 (ja) * 1992-10-27 2001-06-25 日本電気株式会社 半導体装置の製造方法
JPH10154712A (ja) * 1996-11-25 1998-06-09 Fujitsu Ltd 半導体装置の製造方法
JP4212106B2 (ja) * 2005-04-19 2009-01-21 オルガノ株式会社 ガス分離装置及びガス分離方法
US20120289043A1 (en) * 2011-05-12 2012-11-15 United Microelectronics Corp. Method for forming damascene trench structure and applications thereof
SG2013083241A (en) * 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
US9576790B2 (en) * 2013-10-16 2017-02-21 Asm Ip Holding B.V. Deposition of boron and carbon containing materials
JP6869024B2 (ja) * 2016-12-20 2021-05-12 東京エレクトロン株式会社 パーティクル除去方法及び基板処理方法
US10692880B2 (en) * 2016-12-27 2020-06-23 Applied Materials, Inc. 3D NAND high aspect ratio structure etch
US10720334B2 (en) * 2018-07-20 2020-07-21 Asm Ip Holding B.V. Selective cyclic dry etching process of dielectric materials using plasma modification
JP2020136678A (ja) * 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置

Also Published As

Publication number Publication date
CN112309850A (zh) 2021-02-02
US20210035854A1 (en) 2021-02-04
KR20210014577A (ko) 2021-02-09

Similar Documents

Publication Publication Date Title
TW202104647A (zh) 使用氟移除形成一結構之方法
US10950432B2 (en) Method of depositing thin film and method of manufacturing semiconductor device
US20220145452A1 (en) Method of depositing silicon oxide films
US10468251B2 (en) Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US11676812B2 (en) Method for forming silicon nitride film selectively on top/bottom portions
US10580645B2 (en) Plasma enhanced atomic layer deposition (PEALD) of SiN using silicon-hydrohalide precursors
KR102503671B1 (ko) 플라즈마 개질을 이용한 유전체 재료의 선택적 순환 건식 식각 공정
KR102550244B1 (ko) 유전체 재료의 식각을 위한 사전 세척
TWI780160B (zh) 使用依序沉積-蝕刻-處理製程的氧化矽及氮化矽之由下而上的生長
TW202111148A (zh) 包括介電層之結構、其形成方法及執行形成方法的反應器系統
JP2012142574A (ja) 金属酸化物のハードマスクの形成方法
TW202142732A (zh) 用於均勻且共形之混成氧化鈦薄膜的沉積方法
TW202208665A (zh) 用於填充半導體基板上之三維結構中的間隙之方法
CN114381708A (zh) 用于沉积含硅材料的沉积方法和设备
US9472392B2 (en) Step coverage dielectric
US20220301887A1 (en) Ruthenium etching process
JP2024504165A (ja) パルス高周波無線周波数(hfrf)プラズマを使用した間隙充填処理
US20100310790A1 (en) Method of forming carbon-containing layer
CN108728825B (zh) 沉积薄膜的方法及制造半导体装置的方法
US20240105499A1 (en) Molecular layer deposition carbon masks for direct selective deposition of silicon-containing materials
TWI838420B (zh) 在半導體元件圖案化中形成及使用應力調整矽氧化物膜的方法
US20220108881A1 (en) Method and system for forming silicon nitride on a sidewall of a feature
CN116092933A (zh) 薄膜沉积方法和系统
KR20220041751A (ko) 반도체 처리 방법
TW202202649A (zh) 基板處理方法