JPH10154712A - 半導体装置の製造方法 - Google Patents

半導体装置の製造方法

Info

Publication number
JPH10154712A
JPH10154712A JP8314038A JP31403896A JPH10154712A JP H10154712 A JPH10154712 A JP H10154712A JP 8314038 A JP8314038 A JP 8314038A JP 31403896 A JP31403896 A JP 31403896A JP H10154712 A JPH10154712 A JP H10154712A
Authority
JP
Japan
Prior art keywords
layer
semiconductor device
insulating layer
manufacturing
wiring
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP8314038A
Other languages
English (en)
Inventor
Seiichirou Oohira
請一郎 大平
Katsuyuki Karakawa
勝行 唐川
Takatoshi Izumi
宇俊 和泉
Masahiko Toki
雅彦 土岐
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Fujitsu Ltd
Original Assignee
Fujitsu Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Fujitsu Ltd filed Critical Fujitsu Ltd
Priority to JP8314038A priority Critical patent/JPH10154712A/ja
Priority to US08/948,329 priority patent/US5976973A/en
Priority to KR1019970054415A priority patent/KR100273653B1/ko
Priority to TW086117042A priority patent/TW396447B/zh
Publication of JPH10154712A publication Critical patent/JPH10154712A/ja
Withdrawn legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02071Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a delineation, e.g. RIE, of conductive layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02129Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being boron or phosphorus doped silicon oxides, e.g. BPSG, BSG or PSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02131Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being halogen doped silicon oxides, e.g. FSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • H01L21/31612Deposition of SiO2 on a silicon body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31625Deposition of boron or phosphorus doped silicon oxide, e.g. BSG, PSG, BPSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31629Deposition of halogen doped silicon oxide, e.g. fluorine doped silicon oxide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31633Deposition of carbon doped silicon oxide, e.g. SiOC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76819Smoothing of the dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/906Cleaning of wafer as interim step

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

(57)【要約】 【課題】 多層配線を有する半導体装置に適した半導体
装置の製造方法に関し、デポジション残渣による短絡等
を防止し、かつ十分な平坦化機能を有する層間絶縁膜を
形成することのできる半導体装置の製造方法を提供する
ことである。 【解決手段】 半導体基板上に配線層を形成する工程
と、レジストパターンマスクとして前記配線層をドライ
エッチングしてパターニングした配線を形成する工程
と、前記パターニングした配線をアミンを含有する液に
浸し、ドライエッチング時のデポジション残渣を除去す
る工程と、前記アミンを含有する液に浸した配線を、さ
らにアミンを含まず、デポジション残渣を除去できる流
体中で処理する工程と、処理後の配線上にコンフォーマ
ルな絶縁層を形成する工程と、前記コンフォーマルな絶
縁層上にCVDにより平坦化機能を有する絶縁層を形成
する工程とを有する。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、半導体装置の製造
方法に関し、特に多層配線を有する半導体装置に適した
半導体装置の製造方法に関する。
【0002】
【従来の技術】高集積度の半導体装置において、多層配
線技術は必須の技術である。配線間の絶縁のためには、
層間絶縁膜を形成する必要がある。下層配線パターンを
形成すると、基板表面には必然的に凹凸が生じる。層間
絶縁膜が下層の表面形状に倣ったコンフォーマルな膜で
あれば、層間絶縁膜の表面にも凹凸が生じてしまう。こ
のような凹凸を有する下層表面上に上層配線を形成する
ことはホトリソグラフィおよび配線層パターニングの点
で問題を生じるようになる。したがって、下層配線パタ
ーンを形成した後、配線パターン間の凹部を埋め戻し、
配線パターンを覆って平坦な表面を有する層間絶縁膜を
形成することが望まれる。
【0003】平坦化機能を有する絶縁膜の作成技術が種
々開発されている。しかしながら、平坦化機能を有する
絶縁膜は、一般的に防水性等のパッシベーション特性に
おいて、下地表面形状に倣った形状を有するコンフォー
マルな緻密な絶縁層よりも劣る傾向を有する。したがっ
て、平坦な表面を有する層間絶縁膜を作成しようとする
場合、複数種類の絶縁層を積層することが行われる。以
下、その一例を説明する。
【0004】下層配線層を形成した後、この配線層をパ
ターニングするためには、一般的にレジストパターンを
マスクとして用いたドライエッチングが採用される。ド
ライエッチングは、エッチングした配線パターン側壁に
反応生成物を堆積させながら、エッチング対象物をF化
やCl化させることにより、ガス化させ、不要部分を除
去するエッチングである。このエッチング中に堆積した
反応生成物は、ドライエッチング後も配線パターン側壁
上や絶縁層表面上に残留し、デポジション残渣となる。
このデポジション残渣がそのまま残留すると、配線パタ
ーン間の短絡を引き起し、半導体装置の不良の原因とな
る。このため、デポジション残渣を除去するために、液
体によるウェットエッチングが用いられる。
【0005】ウェットエッチング後、パターニングした
配線パターンの表面上には、まずプラズマ酸化膜等の緻
密な膜を形成し、パッシベーション特性に優れた絶縁膜
を形成する。このような緻密な膜は、下地表面上にコン
フォーマルに堆積し、その表面には凹凸が存在する。表
面を平坦化するため、緻密な絶縁膜の上に常圧CVDに
よって平坦化機能を有する絶縁層を形成する。
【0006】
【発明が解決しようとする課題】デポジション残渣を除
去するために、有機アミン類を用いたウェットエッチン
グを行うと、デポジション残渣に起因すると考えられる
短絡等は防止できるが、その後常圧CVDにより形成す
る平坦化機能を有する絶縁層の表面形状が極端に損なわ
れてしまう。すなわち、緻密な配線層の上に、本来平坦
化機能を有する絶縁層を形成しても、十分平坦化された
表面を得ることができなくなってしまう。
【0007】本発明の目的は、デポジション残渣による
短絡等を防止し、かつ十分な平坦化機能を有する層間絶
縁膜を形成することのできる半導体装置の製造方法を提
供することである。
【0008】本発明の他の目的は、デポジション残渣を
アミン類によって除去し、かつ十分な平坦化機能を有す
る層間絶縁膜を形成することのできる半導体装置の製造
方法を提供することである。
【0009】
【課題を解決するための手段】本発明の一観点によれ
ば、半導体基板上に配線層を形成する工程と、レジスト
パターンマスクとして前記配線層をドライエッチングし
てパターニングした配線を形成する工程と、前記パター
ニングした配線をアミンを含有する液に浸し、ドライエ
ッチング時のデポジション残渣を除去する工程と、前記
アミンを含有する液に浸した配線を、さらにアミンを含
まず、デポジション残渣を除去できる流体中で処理する
工程と、処理後の配線上にコンフォーマルな絶縁層を形
成する工程と、前記コンフォーマルな絶縁層上にCVD
により平坦化機能を有する絶縁層を形成する工程とを有
する半導体装置の製造方法が提供される。
【0010】パターニングした配線層表面をアミンを含
有する液で洗浄した後、さらにアミンを含まず、デポジ
ション残渣を除去できる流体中で処理することにより、
コンフォーマルな絶縁層上に形成する平坦化機能を有す
る絶縁層の表面を、十分に平坦化することが可能とな
る。
【0011】
【発明の実施の形態】以下、図面を参照して本発明の実
施例を説明する。
【0012】高集積度の半導体装置の製造プロセスの例
として、ダイナミックランダムアクセスメモリ(DRA
M)の製造プロセスを、図4(A)−(C)を参照して
説明する。
【0013】図4(A)に示すように、半導体基板50
の表面に周知のLOCOS技術を用い、フィールド酸化
膜51を形成する。フィールド酸化膜51によって囲ま
れた領域によって活性領域が画定される。
【0014】活性領域表面にゲート酸化膜52を熱酸化
により形成し、その上に多結晶シリコン層53a、シリ
サイド層53bを成膜し、パターニングしてゲート電極
53を形成する。ゲート電極作製後、ゲート電極53お
よびフィールド酸化膜51をマスクとしてイオン注入を
行い、ソース/ドレイン領域55を形成する。その後、
絶縁膜形成、ホトリソグラフィによる接続孔開口、導電
層形成、ホトリソグラフィによるパターニング等の工程
を所望数実施し、絶縁層54内にビット線57、キャパ
シタ58を埋め込んだ構成を形成する。なお、絶縁層5
4の表面は平坦化されているものとする。
【0015】図4(B)に示すように、絶縁層54表面
上にレジストパターン(図示せず)を形成し、絶縁層5
4に接合孔59をエッチングにより形成する。接合孔5
9は、MOSトランジスタのソース/ドレインの一方に
達する配線形成用の接合孔である。
【0016】図4(C)に示すように、接合孔59が形
成された基板上に、配線層61を形成し、その上にレジ
スト膜62を形成する。なお、配線層61は、たとえば
バリアメタル層61a、主配線層61b、反射防止膜6
1cを含む構成である。
【0017】レジスト膜62に選択的露光を行い、露光
部63を形成する。露光部63を現像により除去し、残
ったレジストパターン62をマスクとして配線層61の
エッチングを行なう。
【0018】配線層61は、エッチング終了までは、ソ
ース/ドレイン領域55に電気的に接続されているが、
エッチング終了時点においては、ソース/拡散層55に
電気的に接続された領域と、絶縁層上に配置され、基板
と電気的に分離された領域とを含むようになる。
【0019】以下の説明においては、図4(C)に示す
ように半導体デバイス構造が形成された半導体基板を前
提とする。ただし、図示の簡略化のため、半導体デバイ
ス構成は図示を省略する。
【0020】図1(A)において、不純物拡散領域12
を有する半導体基板11の表面上には、絶縁層13が形
成されている。なお、簡略化した構成で示すが、絶縁層
13は熱酸化で作成したフィールド酸化膜や表面上に堆
積した酸化膜等を含むものである。また、拡散層12
は、たとえばMOSトランジスタのソース/ドレインで
ある。絶縁層13には、拡散層12を露出するためのコ
ンタクト孔Hが開孔されている。
【0021】このような基板表面上に、バリアメタル層
21、主配線層23が堆積され、さらにその表面上に反
射防止膜24が堆積されている。バリアメタル層21
は、たとえばTiN単層、またはTi層の上にTiN層
を積層した積層構造によって形成することができる。主
配線層23は、たとえばW層、Al−Cu(0.5%)
合金層、Al−Cu(0.5%)−Si合金層、Cu層
の上にTiN層を積層した積層構造等によって形成す
る。反射防止膜24は、たとえばアモルファスカーボン
膜、アモルファスシリコン膜、アモルファスシリコンオ
キシナイトライド膜、TiN膜、WN膜、TiWN膜、
Ta2 5 膜、Ta膜等によって作成することができ
る。バリアメタル層、主配線層、反射防止膜は、たとえ
ばスパッタリングによって作成することができる。な
お、反射防止膜24は、ホトリソグラフィの際の反射光
を低減するためのものであり、場合によっては省略する
ことができる。
【0022】このように配線層を積層した基板表面上
に、ホトレジスト層を塗布し、露光、現像を行うことに
より、レジストパターン25を作成する。
【0023】図1(B)に示すように、レジストパター
ン25をマスクとして反射防止膜24、主配線層23、
バリアメタル層21のドライエッチングを行う。ドライ
エッチングは、たとえばClやFを含むエッチャントガ
スを用いて行う。このようにして、コンタクト孔H上で
基板11に電気的に接続された基板コンタクト配線W1
および絶縁層13上で電気的に孤立した孤立配線W2、
W3を作成する。
【0024】このドライエッチングの際、デポジション
残渣26が発生し、エッチングした配線パターン側壁や
下部に露出した絶縁層13表面上に付着する。
【0025】レジストパターン25を除去し、このまま
その上に層間絶縁膜を形成すると、前述のように配線パ
ターン間の短絡等の事故が生じてしまう。図1(C)に
示すように、レジストパターン25を除去した配線層表
面を、第1アミンを含む有機アミン系液体28に接触さ
せ、デポジション残渣26を除去する。デポジション残
渣26は、絶縁層13表面上や配線パターン側壁上から
脱離するものと考えられる。
【0026】なお、デポジション残渣を除去するため
に、第1アミンを含む液体を用いる場合を説明したが、
第2アミン、第3アミンを含む液体を用いることもでき
る。たとえば、第1アミンとしてはCH3 NH2 、第2
アミンとしては(CH3 2 NH、第3アミンとしては
(CH3 3 Nを用いることができる。
【0027】図2(A)に示すように、有機アミン系液
体で処理した配線層表面を、さらに弗化アンモニウムを
5wt%含む液体29に浸す。なお、弗化アンモニウム
の濃度は、0.1wt%−50wt%の範囲内であれば
よい。また、弗化アンモニウムの代わりに弗化水素を
0.1wt%−50wt%、たとえば5wt%含む液体
を用いてもよい。弗化水素としては、80wt%−10
0wt%のガスをソースとして用いることができる。
【0028】図2(B)に示すように、上述のようにウ
ェットエッチングないし洗浄処理を行った基板表面上
に、パッシベーション機能の高いコンフォーマル絶縁層
31を形成する。このコンフォーマル絶縁層31は、た
とえば平行平板プラズマCVD、誘導結合プラズマCV
D、電子サイクロトロン共鳴(ECR)プラズマCV
D、常圧CVD等によって作成することができる。たと
えば、平行平板プラズマCVDにより酸化シリコン系の
コンフォーマル絶縁層31を作成する。平行平板プラズ
マCVDの場合、平行平板電極に単周波あるいは二周波
の高周波電力を印加する。
【0029】ソースガスとしては、第1のソースガスと
して、前記コンフォーマルな絶縁層を形成する工程は、
第1のソースガスとして、SiH4 、Si2 6 、Si
(OC−R)4 、HSi(OC−R)3 、SiF4 、S
iH2 2 、C2 6 、FSi(OC−R)3 、F2
i(OC−R)2 、R−PH2 、R2 −PH、B
2 6 、P(O−R)3 、PO(O−R)3 3 −B、
2 −BH、R−BH2 、B(O−R)3 、BF3 、F
B(O−R)2 、F2 B(O−R)、(ただし、Rはア
ルコキシル基とする)のうちいずれか1〜5種類の組み
合わせを用い、さらに第2のソースガスとしてN2 O、
2 、O3 、O、F2 、NH3 、希ガス類、N 2 のうち
のいずれか1〜5種類の組み合わせを用いることができ
る。
【0030】なお、コンフォーマル絶縁層31は、配線
層のパッシベーション膜としての機能と、その上に形成
する平坦化機能を有する絶縁層の下地層としての役目を
有する。この両者を満足させるためには、コンフォーマ
ル絶縁層31を第1層と第2層の積層構造とし、その役
割を分担することが好ましい。
【0031】たとえば、第1層は厚さ100nmとし、
その組成をSi33.67at%、O59.26at
%、N4.04at%、H3.03at%を含むものと
し、第2層は厚さ30nmを有し、その組成はSi3
3.65at%、O30.28at%、N23.98a
t%、H12.69at%を含むものとする。
【0032】なお、コンフォーマル絶縁層31の第1
層、第2層の組成は上記のものに制限されない。たとえ
ば、第1層の組成は、Si25at%−45at%、O
30at%−65at%、N0at%−25at%、H
0.01at%−25at%、Ar0.001at%−
3at%、F0.001at%−25at%、B0.0
01at%−10at%、P0.001at%−10a
t%、C0.001at%−50at%を含むものとす
ることができる。第2層の組成は、Si25at%−3
5at%、あるいは45at%−55at%、O45a
t%−70at%、あるいは5at%−25at%、N
0.0001at%−3.0at%、あるいは15at
%−30at%、H0.0001at%−5at%、あ
るいは10.0at%−25at%、Ar0.0001
at%−3.0at%、F0.0001at%−15a
t%、P0.0001at%−10at%、C0.00
01at%−2at%を含むものとすることができる。
また、第1層は10nm以上の厚さを有することが好ま
しい。また、第2層は5nm以上の厚さを有することが
好ましい。
【0033】コンフォーマル絶縁層31を2層構造で積
層する場合、第1層は第2層よりも緻密であり、パッシ
ベーション特性に優れた膜とする。第2層は第1層より
も平坦化機能を有する絶縁膜の下地としてより優れた性
質を有する膜とする。この性質とは、上層の平坦化、埋
め込み性、表面平滑性、成長速度の安定性等である。た
とえば、これらの性質が熱シリコン酸化膜より優れ、よ
り好ましくはプラズマシリコン窒化膜より優れている膜
を第2層とする。
【0034】図2(C)に示すように、コンフォーマル
絶縁層31の上に、常圧CVDによってSiO2 を主成
分とする平坦化機能を有する絶縁層32を形成する。た
とえば、TEOS等のアルコキシル基を持つSi系液体
ソースを、不活性ガスをキャリアガスとして用い、O3
を0.1wt%−10wt%含有するO2 雰囲気中で3
00℃以上に加熱した基板上に供給し、CVDを行う。
アルコキシル基を持つ液体ソースとしてはTMS〔HS
i(OCH3 3 〕、TRIES〔HSi(OC
2 5 3 〕、TEOS〔Si(OC2 5 4 〕、T
MB〔B(OCH3 3 〕、TEB〔B(OC2 5
3 〕、TEFS〔FSi(OC2 5 3 〕、TMP
〔P(OCH3 3 〕、TMOP〔PO(OC
3 3 〕、TEOP〔PO(OC2 5 3 〕等が含
まれる。平坦化機能を有する絶縁層32のソースガスと
して、TEOS/O3 /O2 /N2 、TMS/O3 /O
2 /N2 、TRIES/O3 /O2 /N2 、TEOS/
TMS/O3 /O2 /N2 、TEOS/TRIES/O
3 /O2 /N2 、TEOS/TEFS/O3 /O2 /N
2 、SiH4/O2 /N2 のいずれかの組み合わせを用
いることができる。
【0035】たとえば、TEOSをN2 キャリアガスに
よって供給し、O3 を4wt%−6wt%含むO2 雰囲
気中で、350℃−450℃に加熱した基板にさらし、
常圧CVDを行い、400nm−800nmの厚さの平
坦化機能を有する絶縁層32を形成する。この絶縁層3
2の組成は、Si32.45at%、O62.88at
%、N3.22at%、H4.87at%を含む。
【0036】なお、絶縁層32は、この組成に限らな
い。たとえば、平坦化機能を有する絶縁層32の組成
は、Si25at%−45at%、O30at%−65
at%、N0.001at%−25at%、H0.00
1at%−25at%、Ar0.001at%−3at
%、F0.001at%−25at%、B0.001a
t%−10at%、P0.001at%−10at%、
C0.001at%−50at%を含むものである。
【0037】平坦化機能を有する絶縁層32のソースガ
スとしては、第1のソースガスとして、SiH4 、Si
2 6 、Si(OC−R)4 、HSi(OC−R)3
SiF4 、SiH2 2 、C2 6 、FSi(OC−
R)3 、F2 Si(OC−R) 2 、R−PH2 、R2
PH、B2 6 、P(O−R)3 、PO(O−R)3
3 −B、R2 −BH、R−BH2 、B(O−R)3 、B
3 、FB(O−R)2、F2 B(O−R)、(ただ
し、Rはアルコキシル基とする)のうちいずれか1〜3
種類の組み合わせを用い、さらに第2のソースガスとし
てO2 、O3 、O、希ガス類、N2 のうちのいずれか1
〜3種類の組み合わせを用いることができる。
【0038】絶縁層32表面は、図に示すように、配線
層間の凹部で若干の凹みを示すものの、コンフォーマル
絶縁層31表面形状と較べれば、平坦化された表面形状
を有する。このようにして、有機アミンを含有する液体
でデポジション残渣を処理する工程を用い、かつ本来の
平坦化機能を十分発揮する平坦化された絶縁層を形成
し、良好な層間絶縁膜を形成することができる。
【0039】なお、図2(C)に示す工程後、層間絶縁
膜に下層配線層を露出する接続孔を形成し、さらに上層
配線層を形成する。必要に応じて層間絶縁膜の形成と配
線層の形成を繰り返す。
【0040】本発明の理解を助けるため、以下に比較例
の説明を行う。図3(A)は、有機アミンを含有する処
理を行った後、図2(A)に示す処理を行わずに層間絶
縁膜を形成した場合の断面形状を概略的に示す。コンフ
ォーマル絶縁層31は外見上、図2(C)に示すコンフ
ォーマル絶縁層31と同様に形成されている。
【0041】しかしながら、その上に形成された平坦化
機能を有する絶縁層32は、基板コンタクト配線W1上
と、孤立配線W2、W3上とでその堆積厚さが大幅に異
なる。すなわち、絶縁層32は十分な平坦化機能を発揮
していない。このように段差のある層間絶縁膜上に上層
配線を形成しようとすると、ホトリソグラフィ、エッチ
ングにおいて支障が生じ、高精度の上層配線を作成する
ことが困難となる。
【0042】本発明者らは、この現象を以下のように考
察した。図3(B)は、有機アミン系液体で配線パター
ン表面を処理した後の配線パターンの状態を示す。基板
コンタクト配線W1は、孤立配線W2、W3に較べ、約
0.5V−50Vの表面電位差を有する。有機アミン系
液体でデポジション残渣を溶解すると、デポジション残
渣26は一旦配線層表面、絶縁層表面から脱離するが、
その後この電位差に基づき、基板コンタクト配線W1表
面に選択的に吸着する。
【0043】図3(C)に示すように、デポジション残
渣26を吸着したままの配線層表面にコンフォーマル絶
縁層31を堆積すると、基板コンタクト配線W1表面上
のコンフォーマル絶縁層31aは、デポジション残渣2
6の成分を含む膜となり、孤立配線層W2、W3表面上
のコンフォーマル絶縁層31bとは異なる性質の絶縁層
となる。
【0044】このようなコンフォーマル絶縁層31a、
31b上に、本来平坦化機能を有する絶縁層32を形成
したとき、下地表面の差に基づいて絶縁層32の堆積に
大きな差が生じてしまう。図3(A)に示す層間絶縁膜
の表面段差は、このように基板コンタクト配線の表面に
吸着したデポジション残渣によるものと考えられる。
【0045】図2(A)で示すように、弗化アンモニウ
ムまたは弗化水素を含む液体で表面を再処理することに
より、基板コンタクト配線W1表面に吸着したデポジシ
ョン残渣26を除去できるものと考えられる。弗化イオ
ン等により基板コンタクト配線の表面電位を孤立配線の
表面電位と均一化し、また、弗素イオンにより配線表面
の数原子層をエッチングすることにより、吸着したデポ
ジション残渣が溶融し、配線層表面から除去されるもの
と考えられる。
【0046】このような弗素イオンの効果は、水酸化物
イオンや酸素ラジカルと酸素イオンの混合ガスによって
も得ることができる。水酸化物イオンであるコリン類を
含む液体に浸すことにより、弗素イオンを含む液体に浸
す効果と同等の効果が得られるであろう。コリン類は、
0.1wt%−50wt%含まれればよい。たとえば、
コリン類を10wt%含む液体に配線層を浸す。また、
酸素ラジカルと酸素イオンの混合ガスは、酸素プラズマ
によって供給することができる。たとえば、10Tor
r以上の酸素雰囲気中で、平行平板電極の上部電極に
0.5W/cm2以上の高周波電力を投入して平行平板
プラズマを発生させればよい。
【0047】以上実施例に沿って本発明を説明したが、
本発明はこれらに制限されるものではない。例えば、種
々の変更、改良、組み合わせ等が可能なことは当業者に
自明であろう。
【0048】
【発明の効果】以上説明したように、本発明によれば、
アミン系液体を用い、デポジション残渣を除去する処理
を行っても、その後十分な平坦性を有する層間絶縁膜を
形成することができる。したがって、効率的に多層配線
を有する半導体装置を製造することができる。
【図面の簡単な説明】
【図1】本発明の実施例による半導体装置の製造方法を
説明するための断面図である。
【図2】本発明の実施例による半導体装置の製造方法を
説明するための断面図である。
【図3】本発明の理解を助けるための比較例を説明する
ための断面図である。
【図4】DRAMの製造プロセスを説明するための断面
図である。
【符号の説明】
11 Si基板 12 拡散層 13 絶縁層 21 バリアメタル層 23 主配線層 24 反射防止膜 25 レジストパターン 26 デポジション残渣 28 有機アミン系液体 W1 基板コンタクト配線 W2、W3 孤立配線 29 弗化アンモニウム溶液 31 コンフォーマル絶縁層 32 平坦化機能を有する絶縁層
───────────────────────────────────────────────────── フロントページの続き (72)発明者 和泉 宇俊 神奈川県川崎市中原区上小田中4丁目1番 1号 富士通株式会社内 (72)発明者 土岐 雅彦 神奈川県川崎市中原区上小田中4丁目1番 1号 富士通株式会社内

Claims (15)

    【特許請求の範囲】
  1. 【請求項1】 半導体基板上に配線層を形成する工程
    と、 レジストパターンマスクとして前記配線層をドライエッ
    チングしてパターニングした配線を形成する工程と、 前記パターニングした配線をアミンを含有する液に浸
    し、ドライエッチング時のデポジション残渣を除去する
    工程と、 前記アミンを含有する液に浸した配線を、さらにアミン
    を含まず、デポジション残渣を除去できる流体中で処理
    する工程と、 処理後の配線上にコンフォーマルな絶縁層を形成する工
    程と、 前記コンフォーマルな絶縁層上にCVDにより平坦化機
    能を有する絶縁層を形成する工程とを有する半導体装置
    の製造方法。
  2. 【請求項2】 前記平坦化機能を有する絶縁層を形成す
    る工程は、常圧CVDにより絶縁層を形成する工程を含
    む請求項1記載の半導体装置の製造方法。
  3. 【請求項3】 前記配線層は、バリアメタル層と主配線
    層との積層を含む請求項1または2記載の半導体装置の
    製造方法。
  4. 【請求項4】 前記配線層は、さらに前記主配線層の上
    に積層された反射防止膜を含む請求項3記載の半導体装
    置の製造方法。
  5. 【請求項5】 前記バリアメタル層は、TiN層、また
    は上層からTiN層/Ti層の積層を含む請求項3また
    は4記載の半導体装置の製造方法。
  6. 【請求項6】 前記主配線層は、W層、Al−Cu合金
    層、Al−Cu−Si合金層、上層からTiN層/Cu
    層の積層のいずれかを含む請求項3〜5のいずれかに記
    載の半導体装置の製造方法。
  7. 【請求項7】 前記アミンを含有する液は、第1アミ
    ン、第2アミン、第3アミンのいずれかを含有する請求
    項1〜6のいずれかに記載の半導体装置の製造方法。
  8. 【請求項8】 前記アミンを含まず、デポジション残渣
    を除去できる流体で処理する工程は、弗素化合物あるい
    はコリンを含有する液中に前記配線を浸す工程を含む請
    求項1〜7のいずれかに記載の半導体装置の製造方法。
  9. 【請求項9】 前記アミンを含まず、デポジション残渣
    を除去できる流体で処理する工程は、酸素プラズマ中に
    前記基板をさらす工程を含む請求項1〜7のいずれかに
    記載の半導体装置の製造方法。
  10. 【請求項10】 前記コンフォーマルな絶縁層は、下層
    から第1層と第2層との積層を含み、第1層は第2層よ
    りも緻密であり、第2層は第1層よりも前記平坦化機能
    を有する絶縁膜の下地としてより優れた性質を有する請
    求項1〜9のいずれかに記載の半導体装置の製造方法。
  11. 【請求項11】 前記第1層の組成は、Si25at%
    −45at%、O30at%−65at%、N0at%
    −25at%、H0.01at%−25at%、Ar
    0.001at%−3at%、F0.001at%−2
    5at%、B0.001at%−10at%、P0.0
    01at%−10at%、C0.001at%−50a
    t%を含む請求項10記載の半導体装置の製造方法。
  12. 【請求項12】 前記第2層の組成は、Si25at%
    −35at%、あるいは45at%−55at%、O4
    5at%−70at%、あるいは5at%−25at
    %、N0.0001at%−3.0at%、あるいは1
    5at%−30at%、H0.0001at%−5at
    %、あるいは10.0at%−25at%、Ar0.0
    001at%−3.0at%、F0.0001at%−
    15at%、P0.0001at%−10at%、C
    0.0001at%−2at%を含む請求項10または
    11記載の半導体装置の製造方法。
  13. 【請求項13】 前記コンフォーマルな絶縁層を形成す
    る工程は、第1のソースガスとして、SiH4 、Si2
    6 、Si(OC−R)4 、HSi(OC−R)3 、S
    iF4 、SiH2 2 、C2 6 、FSi(OC−R)
    3 、F2 Si(OC−R)2 、R−PH2 、R2 −P
    H、B2 6 、P(O−R)3 、PO(O−R)3 3
    −B、R2 −BH、R−BH2 、B(O−R)3 、BF
    3 、FB(O−R)2 、F2 B(O−R)、(ただし、
    Rはアルコキシル基とする)のうちいずれか1〜5種類
    の組み合わせを用い、さらに第2のソースガスとしてN
    2O、O2 、O3 、O、F2 、NH3 、希ガス類、N2
    のうちのいずれか1〜5種類の組み合わせを用いる請求
    項1〜12のいずれかに記載の半導体装置の製造方法。
  14. 【請求項14】 前記平坦化機能を有する絶縁層の組成
    は、Si25at%−45at%、O30at%−65
    at%、N0.001at%−25at%、H0.00
    1at%−25at%、Ar0.001at%−3at
    %、F0.001at%−25at%、B0.001a
    t%−10at%、P0.001at%−10at%、
    C0.001at%−50at%を含む請求項1〜13
    のいずれかに記載の半導体装置の製造方法。
  15. 【請求項15】 前記平坦化機能を有する絶縁層を形成
    する工程は、第1のソースガスとして、SiH4 、Si
    2 6 、Si(OC−R)4 、HSi(OC−R)3
    SiF4 、SiH2 2 、C2 6 、FSi(OC−
    R)3 、F2 Si(OC−R)2 、R−PH2 、R2
    PH、B2 6 、P(O−R)3 、PO(O−R)3
    3 −B、R2 −BH、R−BH2 、B(O−R)3 、B
    3 、FB(O−R)2 、F2 B(O−R)、(ただ
    し、Rはアルコキシル基とする)のうちいずれか1〜3
    種類の組み合わせを用い、さらに第2のソースガスとし
    てO 2 、O3 、O、希ガス類、N2 のうちのいずれか1
    〜3種類の組み合わせを用いる請求項14記載の半導体
    装置の製造方法。
JP8314038A 1996-11-25 1996-11-25 半導体装置の製造方法 Withdrawn JPH10154712A (ja)

Priority Applications (4)

Application Number Priority Date Filing Date Title
JP8314038A JPH10154712A (ja) 1996-11-25 1996-11-25 半導体装置の製造方法
US08/948,329 US5976973A (en) 1996-11-25 1997-10-10 Method of making a semiconductor device having planarized insulating layer
KR1019970054415A KR100273653B1 (ko) 1996-11-25 1997-10-23 반도체장치의 제조방법
TW086117042A TW396447B (en) 1996-11-25 1997-11-14 Method of making a semiconductor device having planarized insulating layer

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP8314038A JPH10154712A (ja) 1996-11-25 1996-11-25 半導体装置の製造方法

Publications (1)

Publication Number Publication Date
JPH10154712A true JPH10154712A (ja) 1998-06-09

Family

ID=18048472

Family Applications (1)

Application Number Title Priority Date Filing Date
JP8314038A Withdrawn JPH10154712A (ja) 1996-11-25 1996-11-25 半導体装置の製造方法

Country Status (4)

Country Link
US (1) US5976973A (ja)
JP (1) JPH10154712A (ja)
KR (1) KR100273653B1 (ja)
TW (1) TW396447B (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100755670B1 (ko) 2006-04-03 2007-09-05 삼성전자주식회사 반도체 소자의 제조 방법
JP2013207067A (ja) * 2012-03-28 2013-10-07 Jsr Corp 半導体装置、及びその製造方法
US11211257B2 (en) 2018-08-31 2021-12-28 Taiwan Semiconductor Manufacturing Company Limited Semiconductor device fabrication with removal of accumulation of material from sidewall

Families Citing this family (193)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH1187340A (ja) * 1997-09-05 1999-03-30 Mitsubishi Electric Corp 半導体装置及びその製造方法
US6004850A (en) * 1998-02-23 1999-12-21 Motorola Inc. Tantalum oxide anti-reflective coating (ARC) integrated with a metallic transistor gate electrode and method of formation
US6727190B2 (en) * 1998-09-03 2004-04-27 Micron Technology, Inc. Method of forming fluorine doped boron-phosphorous silicate glass (F-BPSG) insulating materials
US6319822B1 (en) * 1998-10-01 2001-11-20 Taiwan Semiconductor Manufacturing Company Process for forming an integrated contact or via
US6153504A (en) * 1999-08-16 2000-11-28 Advanced Micro Devices, Inc. Method of using a silicon oxynitride ARC for final metal layer
JP2002030440A (ja) * 2000-07-18 2002-01-31 National Institute Of Advanced Industrial & Technology 傾斜材料およびその合成、加工方法
US7074489B2 (en) * 2001-05-23 2006-07-11 Air Products And Chemicals, Inc. Low dielectric constant material and method of processing by CVD
US6716770B2 (en) * 2001-05-23 2004-04-06 Air Products And Chemicals, Inc. Low dielectric constant material and method of processing by CVD
US6537907B1 (en) * 2001-09-10 2003-03-25 Taiwan Semiconductor Manufacturing Company Self sidewall aluminum fluoride, (SSWAF), protection
US6764947B1 (en) * 2003-02-14 2004-07-20 Advanced Micro Devices, Inc. Method for reducing gate line deformation and reducing gate line widths in semiconductor devices
JP4547289B2 (ja) * 2005-03-25 2010-09-22 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US7416989B1 (en) * 2006-06-30 2008-08-26 Novellus Systems, Inc. Adsorption based material removal process
US7977249B1 (en) 2007-03-07 2011-07-12 Novellus Systems, Inc. Methods for removing silicon nitride and other materials during fabrication of contacts
US8187486B1 (en) 2007-12-13 2012-05-29 Novellus Systems, Inc. Modulating etch selectivity and etch rate of silicon nitride thin films
US7981763B1 (en) 2008-08-15 2011-07-19 Novellus Systems, Inc. Atomic layer removal for high aspect ratio gapfill
US8058179B1 (en) 2008-12-23 2011-11-15 Novellus Systems, Inc. Atomic layer removal process with higher etch amount
US9058982B2 (en) * 2010-12-08 2015-06-16 Nissin Electric Co., Ltd. Silicon oxynitride film and method for forming same, and semiconductor device
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9234278B2 (en) * 2012-01-20 2016-01-12 Taiwan Semiconductor Manufacturing Co., Ltd. CVD conformal vacuum/pumping guiding design
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9431268B2 (en) 2015-01-05 2016-08-30 Lam Research Corporation Isotropic atomic layer etch for silicon and germanium oxides
US9425041B2 (en) 2015-01-06 2016-08-23 Lam Research Corporation Isotropic atomic layer etch for silicon oxides using no activation
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
WO2019226341A1 (en) 2018-05-25 2019-11-28 Lam Research Corporation Thermal atomic layer etch with rapid temperature cycling
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
JP7461923B2 (ja) 2018-07-09 2024-04-04 ラム リサーチ コーポレーション 電子励起原子層エッチング
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
KR20210014577A (ko) * 2019-07-29 2021-02-09 에이에스엠 아이피 홀딩 비.브이. 불소 제거를 이용해서 구조물을 형성하는 방법
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4770899A (en) * 1987-06-10 1988-09-13 Unisys Corporation Method of coating copper conductors on polyimide with a corrosion resistant metal, and module produced thereby
JP3264405B2 (ja) * 1994-01-07 2002-03-11 三菱瓦斯化学株式会社 半導体装置洗浄剤および半導体装置の製造方法
JP3074634B2 (ja) * 1994-03-28 2000-08-07 三菱瓦斯化学株式会社 フォトレジスト用剥離液及び配線パターンの形成方法
JPH08153710A (ja) * 1994-11-30 1996-06-11 Toshiba Corp 半導体装置の製造方法
US5759973A (en) * 1996-09-06 1998-06-02 Olin Microelectronic Chemicals, Inc. Photoresist stripping and cleaning compositions
US5849639A (en) * 1997-11-26 1998-12-15 Lucent Technologies Inc. Method for removing etching residues and contaminants

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100755670B1 (ko) 2006-04-03 2007-09-05 삼성전자주식회사 반도체 소자의 제조 방법
US7645695B2 (en) 2006-04-03 2010-01-12 Samsung Electronics Co., Ltd. Method of manufacturing a semiconductor element
JP2013207067A (ja) * 2012-03-28 2013-10-07 Jsr Corp 半導体装置、及びその製造方法
US11211257B2 (en) 2018-08-31 2021-12-28 Taiwan Semiconductor Manufacturing Company Limited Semiconductor device fabrication with removal of accumulation of material from sidewall
US12014933B2 (en) 2018-08-31 2024-06-18 Taiwan Semiconductor Manufacturing Company Limited Semiconductor device fabrication with removal of accumulation of material from sidewall

Also Published As

Publication number Publication date
KR19980041955A (ko) 1998-08-17
TW396447B (en) 2000-07-01
KR100273653B1 (ko) 2001-01-15
US5976973A (en) 1999-11-02

Similar Documents

Publication Publication Date Title
JPH10154712A (ja) 半導体装置の製造方法
US6962869B1 (en) SiOCH low k surface protection layer formation by CxHy gas plasma treatment
US6465352B1 (en) Method for removing dry-etching residue in a semiconductor device fabricating process
US6423628B1 (en) Method of forming integrated circuit structure having low dielectric constant material and having silicon oxynitride caps over closely spaced apart metal lines
US20030119307A1 (en) Method of forming a dual damascene structure
TW200534389A (en) Method for fabricating semiconductor device capable of preventing damage by wet cleaning process
JPH05243402A (ja) 半導体装置の製造方法
TW200522203A (en) Method for fabricating semiconductor device
US6432843B1 (en) Methods of manufacturing integrated circuit devices in which a spin on glass insulation layer is dissolved so as to recess the spin on glass insulation layer from the upper surface of a pattern
JP3877109B2 (ja) 半導体装置およびその製造方法
KR20000057879A (ko) 고융점금속질화막 및 고융점금속실리사이드막을 이용한배선을 갖는 반도체장치 및 그 제조방법
US20020182851A1 (en) Process for preparing cu damascene interconnection
US6537923B1 (en) Process for forming integrated circuit structure with low dielectric constant material between closely spaced apart metal lines
US6555465B2 (en) Multi-layer wiring structure of integrated circuit and manufacture of multi-layer wiring
US6881661B2 (en) Manufacturing method of semiconductor device
US6812113B1 (en) Process for achieving intermetallic and/or intrametallic air isolation in an integrated circuit, and integrated circuit obtained
US6037251A (en) Process for intermetal SOG/SOP dielectric planarization
US20060019489A1 (en) Method for forming storage node contact of semiconductor device
KR100780680B1 (ko) 반도체 소자의 금속배선 형성방법
US7622331B2 (en) Method for forming contacts of semiconductor device
US6740471B1 (en) Photoresist adhesion improvement on metal layer after photoresist rework by extra N2O treatment
JP2006148052A (ja) 半導体素子の格納電極形成方法
JP3729731B2 (ja) 半導体素子の製造方法
JPH09120990A (ja) 接続孔の形成方法
KR100841049B1 (ko) 반도체소자 제조방법

Legal Events

Date Code Title Description
A300 Application deemed to be withdrawn because no request for examination was validly filed

Free format text: JAPANESE INTERMEDIATE CODE: A300

Effective date: 20040203