CN114381708A - 用于沉积含硅材料的沉积方法和设备 - Google Patents

用于沉积含硅材料的沉积方法和设备 Download PDF

Info

Publication number
CN114381708A
CN114381708A CN202111149992.0A CN202111149992A CN114381708A CN 114381708 A CN114381708 A CN 114381708A CN 202111149992 A CN202111149992 A CN 202111149992A CN 114381708 A CN114381708 A CN 114381708A
Authority
CN
China
Prior art keywords
reaction chamber
precursor
plasma
silicon
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202111149992.0A
Other languages
English (en)
Inventor
刘泽铖
V.波雷
T.P.蒂内尔
Y.徐
M.罗霍
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASM IP Holding BV
Original Assignee
ASM IP Holding BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASM IP Holding BV filed Critical ASM IP Holding BV
Publication of CN114381708A publication Critical patent/CN114381708A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32146Amplitude modulation, includes pulsing
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45534Use of auxiliary reactants other than used for contributing to the composition of the main film, e.g. catalysts, activators or scavengers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/042Coating on selected surface areas, e.g. using masks using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/24Deposition of silicon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • C23C16/325Silicon carbide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/32Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76837Filling up the space between adjacent conductive structures; Gap-filling properties of dielectrics

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

本公开涉及在包括间隙的衬底上沉积含硅材料的方法,其中该方法包括在反应室中提供衬底并在衬底上沉积含碳抑制层,以及在衬底上沉积含硅材料。沉积抑制层包括在反应室中供应包含碳的碳前体,并在反应室中供应第一等离子体,以由碳前体形成第一反应物质,用于在衬底上形成抑制层。抑制层优先沉积在间隙顶部附近。本公开还涉及形成结构的方法、制造器件的方法以及半导体处理设备。

Description

用于沉积含硅材料的沉积方法和设备
技术领域
本公开总体涉及适用于制造电子器件的方法和设备。更具体地,本公开涉及用于在衬底上沉积含硅材料的方法和设备,并且涉及在制造使用该方法形成的电子器件和结构期间用含硅材料填充间隙。
背景技术
在制造电子器件比如集成电路期间,材料的膜或层沉积在衬底的表面上。在制造过程中,可以形成间隙,比如凹陷、沟槽或隆起部分比如散热片之间的空间。通常,间隙需要用特定的材料填充,以实现所需的器件功能。在填充间隙的情况下,典型的膜沉积过程可能会有缺点,包括形成空隙。这种空隙可能损害器件的隔离和集成电路的整体结构完整性。随着新器件架构对热预算以及纵横比、间距和间隙形状提出越来越严格的要求,电介质间隙填充过程需要不断改进。
使用常规技术比如常规等离子体增强化学气相沉积来填充间隙会导致差的膜质量(例如相对高(例如湿)的蚀刻速率)、接缝形成和/或空隙形成。在凹陷内的沉积材料内形成的接缝和空隙在多个方面可能是有问题的。例如,在用于浅沟槽隔离(STI)的凹陷中沉积的材料内形成的接缝和/或空隙会导致不想要的寄生电流。此外,空隙和接缝会在随后的过程中引起问题,比如化学机械抛光和蚀刻。
空隙形成可以通过减小间隙深度和/或使间隙侧壁逐渐变细来减轻,使得凹陷的开口在顶部比在凹陷的底部更宽。然而,这种解决方案可能会降低器件隔离的有效性,和/或与减小器件尺寸的需要不兼容。
最近,等离子体增强原子层沉积(PEALD)技术已经发展到将材料更一致地沉积到间隙中。然而,迄今为止,使用PEALD填充间隙的技术特别是用高质量电介质材料(比如在0.5%稀释HF溶液中表现出相对低(例如小于约4.5nm/min)的湿法蚀刻速率(例如约1.8的湿法蚀刻速率比)的氧化硅)填充高纵横比(例如纵横比大于15或甚至30)间隙的技术具有挑战性。此外,使用典型的PEALD技术填充凹入间隙(开口直径可能小于凹陷底部区域的凹陷直径的间隙)已被证明是困难的。因此,需要用于间隙填充的改进方法和设备。
发明内容
该发明内容可以简化的形式介绍一些概念,这将在下面进一步详细描述。该发明内容不一定旨在标识所要求保护的主题的关键特征或必要特征,也不旨在用于限制所要求保护的主题的范围。
具有良好台阶覆盖和低粘度介电材料的保形过程在过去已经为间隙填充问题提供了解决方案,但理想的间隙填充过程将从间隙底部向上产生膜生长,填充间隙而不需要后续的平坦化步骤。在本公开中,沉积过程被修改,使得间隙顶部附近的生长被抑制,这可以导致间隙内更快和/或优选的生长。
本公开的实施例涉及在包括间隙的衬底上沉积含硅材料的方法、填充间隙的方法、形成半导体器件的方法。此外,本公开的实施例涉及半导体处理设备。根据本公开的方法和设备的实施例可以适用于用期望的材料比如介电材料填充高纵横比间隙。如下文更详细阐述,示例性方法可以抑制材料相对于间隙底部沉积在间隙顶部或其附近,以便于填充间隙。在一些实施例中,间隙的填充可以是无缝的。本公开的示例可以允许材料的低温沉积,这可以减少下面和/或周围材料的氧化。此外,在一些实施例中,可以在间隙内形成高质量的沉积材料,而不需要对沉积材料进行后处理退火,否则通常进行后处理退火来提高凹陷填充材料的质量。
附图说明
附图被包括进来以提供对本公开的进一步理解并构成本说明书的一部分,附图示出了示例性实施例,并且与描述一起帮助解释本公开的原理。在
图中:
图1描绘了根据本公开的方法的实施例。
图2示出了根据本公开的被填充的间隙的实施例。
图3是根据本公开的设备的示意图。
附图中的元件是为了简单和清楚而示出的,并不一定是按比例绘制的。例如,图中一些元件的尺寸可能相对于其他元件被放大,以帮助提高对本公开的所示实施例的理解。
具体实施方式
下面提供的方法、结构、器件和设备的示例性实施例的描述仅仅是示例性的,并且仅是为了说明的目的。以下描述不旨在限制本公开或权利要求的范围。此外,具有所述特征的多个实施例的叙述并不旨在排除具有附加特征的其他实施例或包含所述特征的不同组合的其他实施例。例如,各种实施例被阐述为示例性实施例,并且可以在从属权利要求中陈述。
本领域技术人员将会理解,本发明超出了具体公开的实施例和/或本发明的用途及其明显的修改和等同物。因此,所公开的本发明的范围不应被下面描述的特定公开实施例所限制。除非另有说明,示例性实施例或其部件可以组合或者可以彼此分开应用。
在本公开中,变量的任意两个数字可以构成该变量的可行范围,并且指示的任何范围可以包括或排除端点。此外,指示的变量的任何值(不管它们是否用“约”指示)可以指精确值或近似值,并且包括等同物,并且可以指平均值、中值、代表性、多数等。
本公开的示例性实施例可用于填充间隙,比如沟槽、通孔和/或衬底表面上散热片之间的区域。作为特定示例,示例性方法可用于电子器件比如存储器和/或逻辑电路制造中的浅沟槽隔离(STI)应用。例如,在含硅材料包括氧化硅、基本由其或由其构成的实施例中,根据本公开的当前方法、结构和器件可以用于存储器和逻辑的PMD间隙填充和/或3D与非门的高纵横比间隙填充和/或掩埋电源轨氧化物间隙填充。例如,在含硅材料包括氮化硅、基本由其或由其构成的实施例中,根据本公开的当前方法、结构和器件可以用于DRAM的SNC间隙填充、叉片式晶体管的SAC间隙填充和间隙填充。
一方面,公开了一种用含硅材料填充间隙的方法。该方法包括在反应室中提供具有间隙的衬底,在反应室中供应包含碳的碳前体,以及在反应室中供应第一等离子体,以由碳前体形成第一反应物质。因此,在间隙顶部附近形成含碳抑制层。此外,在该方法中,在反应室中供应第一前体以在衬底上沉积含硅材料,并且间隙顶部附近的沉积至少部分地被抑制层抑制。
间隙顶部附近是在间隙内部和外部延伸的区域。间隙顶部在本文是指间隙开口的区域和围绕它的衬底表面。间隙顶部可被认为是衬底的全部最上面表面。因此,除了间隙内部和间隙中的一些长度之外,抑制层可以形成在衬底表面的基本所有其他部分中。抑制层可以在间隙中延伸的深度取决于所使用的过程条件,并且可以根据具体应用来调节。
因此,公开了一种在包括间隙的衬底上沉积含硅材料的方法。含硅材料可以主要沉积在间隙中,并在较小程度上沉积在衬底的顶表面上。含硅材料可以基本仅沉积在间隙中。在一些实施例中,含硅材料可以仅沉积在间隙中。
含硅材料可以基本仅包括氧化硅,比如SiO2。在一些实施例中,含硅材料可以基本由氧化硅构成或由其构成。含硅材料可以包括硼掺杂的氧化硅、磷掺杂的氧化硅、硅、多晶硅、碳化硅和氮化硅。在一些实施例中,含硅材料可以基本仅包括氮化硅。在一些实施例中,含硅材料可以基本由氮化硅构成或由其构成。
因此,该方法包括在反应室中提供具有间隙的衬底,在衬底上沉积含碳抑制层,以及在间隙中沉积含硅材料。在该方法中,通过在反应室中供应包含碳的碳前体并在反应室中供应第一等离子体以由碳前体形成第一反应物质来形成含碳抑制层。等离子体反应物用于形成第一等离子体。
抑制层沉积在间隙顶部附近。抑制层可以沿着衬底的顶表面延伸。因此,远离间隙顶部的间隙内部可能是没有抑制层的唯一表面。衬底的基本所有顶表面都可以包含抑制层。因此,抑制层沉积在间隙顶部附近意味着沉积发生在间隙内部。
根据本公开的沉积含硅材料的方法包括在反应室中提供衬底。换句话说,将衬底带入可以控制沉积条件的空间。反应室可以是群集工具的一部分,其中执行不同的过程以形成集成电路。在一些实施例中,反应室可以是流动型反应器,比如错流反应器。在一些实施例中,反应室可以是喷淋头反应器。在一些实施例中,反应室可以是空间分隔的反应器。在一些实施例中,反应室可以是单晶片ALD反应器。在一些实施例中,反应室可以是大批量制造的单晶片ALD反应器。在一些实施例中,反应室可以是用于同时制造多个衬底的分批反应器。
另一方面,公开了一种形成结构的方法。该方法包括通过在反应室中提供包括间隙的衬底、在衬底上沉积含碳抑制层以及在衬底上沉积含硅材料来用含硅材料填充间隙。在该方法中,通过在反应室中供应包含碳的碳前体并且在反应室中供应第一等离子体以由碳前体形成第一反应物质来形成含碳抑制层,其中抑制层沉积在间隙顶部附近。本公开的一方面是根据本文描述的方法形成的结构。
在又一方面,公开了一种形成半导体器件的方法。根据本公开的形成半导体器件的方法包括通过在反应室中提供包括间隙的衬底、在衬底上沉积含碳抑制层以及在衬底上沉积含硅材料来用含硅材料填充间隙。在该方法中,通过在反应室中供应包含碳的碳前体并且在反应室中供应第一等离子体以由碳前体形成第一反应物质来形成含碳抑制层,其中抑制层沉积在间隙顶部附近。本公开的一方面是根据本文描述的方法形成的器件。
如本文所用,术语衬底可以指可以用来形成或者可以在其上形成器件、电路或材料层的任何一种或多种底层材料。衬底可以包括块体材料,比如硅(例如单晶硅),并且可以包括覆盖块体材料的一层或多层。衬底可以包括各种拓扑,比如间隙,包括形成在衬底层的至少一部分内或上的凹陷、线、沟槽或隆起部分比如散热片之间的空间等。衬底可以包括氮化物比如TiN、氧化物、绝缘材料、介电材料、导电材料、金属比如钨、钌、钼或铜,或者金属材料、晶体材料、外延材料、异质外延材料和/或单晶材料。在本公开的一些实施例中,衬底包括硅。如上所述,除了硅之外,衬底可以包括其他材料。其他材料可以形成层。
本公开中的间隙在衬底中或衬底上。它意在表示衬底表面拓扑的变化,导致衬底表面的某些区域低于其他区域。因此,间隙包括部分衬底表面相对于大部分衬底表面较低的拓扑。这些包括沟槽、通孔、凹陷、谷、裂缝等。此外,从大部分衬底表面向上突出的凸起特征之间的区域也形成间隙。因此,相邻散热片之间的空间被认为是间隙。
间隙可以具有锥形或倾斜壁,朝向间隙的顶部或底部缩小间隙的直径。锥形或倾斜可能导致间隙开口具有比间隙底部更大的表面积。可替代地,锥形可以导致间隙开口具有比间隙底部更小的表面积。尤其是表面积小于间隙底部的间隙在没有空隙形成的情况下填充可能是具有挑战性的。
在一些实施例中,间隙的宽度可以从约3nm到约400nm。例如,间隙的宽度可以从约4nm至约300nm、从约5nm至约100nm、从约10nm至约50nm,或者为约20nm。在一些实施例中,间隙的宽度可以从约100nm到约400nm,比如约150nm、200nm、250nm、300nm或350nm。在其他实施例中,间隙的宽度可以从约3nm到约50nm,比如从约3nm到10nm、从约3nm到20nm、从约3nm到约30nm、从约3nm到约40nm。例如,间隙的宽度可以是约4nm、约5nm、约6nm、约8nm或约12nm、约15nm、约18nm、约25nm或约35nm。
在一些实施例中,间隙的深度从约50nm到约7μm,或者约10μm。间隙的深度可以在微米范围内的应用的示例可以包括VNADN应用,或者蚀刻穿过叠层的孔的其他情况。这种蚀刻可以在一个或多个比如两个步骤中进行。例如,间隙的深度可以从约50nm到约4μm、从约50nm到约2μm、从约50nm到约1μm,或者从约50nm到约500nm。在另外的示例中,间隙深度可以从约50nm到约200nm、从约50nm到约200nm,或者从约200nm到约7μm、从约200nm到约5μm、从约200nm到约3μm、从约200nm到约1μm,或者从约200nm到约500nm。
在一些实施例中,间隙的宽度与深度的纵横比在约1:0.5至1:250之间。在某些实施例中,间隙的宽度与深度的纵横比在约1:1到1:200之间、在约1:1到1:100之间、在约1:0.5到1:50之间,比如1:2、1:3、1:5、1:8、1:10、1:20、1:50或1:150。
本公开中的沉积是指使用化学气相沉积(CVD)、等离子体增强CVD(PECVD)、物理气相沉积(PVD)、原子层沉积(ALD)或等离子体增强ALD(PEALD)来在衬底上沉积材料。在一些实施例中,使用PECVD沉积根据本公开的含碳抑制剂。在一些实施例中,使用ALD沉积含硅材料。在一些实施例中,使用PEALD沉积含硅材料。
CVD过程可能涉及两种或更多种反应物之间的气相反应。反应物可以同时提供给反应室或衬底,或者以部分或完全分离的脉冲(循环CVD)提供。衬底和/或反应室可被加热以促进气态反应物之间的反应。PECVD过程可包含仅一种反应物,其暴露于等离子体以增加其反应性和/或对衬底表面的化学吸附。在一些实施例中,提供反应物和可能的等离子体,直到沉积具有期望厚度的层。
如本文所用,ALD可指其中进行沉积循环(比如多个连续沉积循环)的气相沉积过程。ALD是基于前体化学品的受控的通常是自限制的表面反应。通过交替且顺序地将前体送入反应室,避免了气相反应。通常,在每个循环期间,第一前体被化学吸附到沉积表面(例如可以包括来自先前ALD循环的先前沉积的材料或其他材料的衬底表面),形成不易与另外的第一前体反应的材料的约单层或亚单层。此后,在一些情况下,第二前体或反应气体可随后被引入反应室,用于将化学吸附的前体转化为沉积表面上的所需材料。气相反应物在反应室中彼此分离,例如通过在反应物脉冲之间从反应室中去除过量的反应物和/或反应物副产物。这可以通过排空步骤和/或惰性气体脉冲或吹扫来实现。在一些实施例中,衬底与吹扫气体如惰性气体接触。例如,衬底可在反应物脉冲之间与吹扫气体接触,以去除过量的反应物和反应副产物。
在一些实施例中,每个反应是自限制的,并且实现了单层接单层的生长。这些可被称为“真正的ALD”反应。在一些这样的实施例中,第一前体可以自限制方式吸附在衬底表面上。第二前体将依次与吸附的第一前体反应,在衬底上形成达单层的材料。
此外,如本文所用,术语原子层沉积也可意味着包括由相关术语指定的过程,比如化学气相原子层沉积、原子层外延(ALE)、分子束外延(MBE)、气源MBE或有机金属MBE,以及当用前体/反应性气体和吹扫(例如惰性载体)气体的交替脉冲执行时的化学束外延。
在本公开中,含碳抑制层沉积在衬底的表面上。抑制层沉积在衬底的最顶部区域上及其附近。抑制层可以向下延伸到间隙中。间隙中沉积的深度可能受到过程条件的影响,比如等离子体功率。抑制层的性质可能受到过程条件的影响。技术人员可以在选择过程条件时考虑衬底的拓扑,以获得期望的结果。在一些实施例中,在间隙的底部基本没有抑制层的沉积。
在本公开中,通过在反应室中供应包含碳的碳前体并在反应室中供应第一等离子体以由碳前体形成第一反应物质来形成抑制层。可以在反应室中供应第一等离子体的同时将碳前体供应到反应室中。因此,在一些实施例中,在反应室中供应碳前体至少部分地与在反应室中供应第一等离子体重叠。在一些实施例中,在反应室中供应碳前体与在反应室中供应第一等离子体至少部分地分离。在一些实施例中,在开启第一等离子体(即开始提供第一等离子体)之前开始向反应室中提供碳前体。在一些实施例中,当等离子体关闭时,向反应室中提供碳前体同时结束。在一些实施例中,在将碳前体提供到反应室中之前,开始产生第一等离子体。在这样的实施例中,第一等离子体和第二等离子体可以是相同的,并且在碳前体被提供到反应室中之前产生的第一等离子体可以用作在先前沉积循环期间提供到反应室中的第一前体的第二等离子体。在一些实施例中,在反应室中供应碳前体与在反应室中供应第一等离子体同时进行。在一些实施例中,在反应室中供应碳前体与在反应室中供应第一等离子体基本完全或完全分离。可以在反应室中供应第一等离子体的部分地同时在反应室中供应碳前体。
在一些实施例中,产生第一等离子体的气体比如氩和/或氢被连续提供到反应室中。在一些实施例中,当含硅材料包含氮(比如SiN、SiCN)时,可以将氮气连续提供到反应室中。在一些实施例中,碳前体可以连续提供到反应室中。在这样的实施例中,碳前体优选不与第一前体反应。上述连续流实施例可以具有提高处理产量的优点。
可以在供应碳前体之后和供应等离子体之前,和/或在供应等离子体之后和供应碳前体之前,吹扫反应室。在吹扫反应室期间,多余的前体和反应副产物(如果有的话)可以从反应室和/或衬底表面去除。例如,由泵送系统产生的吹扫气体脉冲和/或真空可用于吹扫反应室。吹扫气体可以是任何惰性气体,比如但不限于氩(Ar)、氮(N2)和/或氦(He)。在吹扫反应室和/或衬底表面期间,吹扫气体的流量可以在从约500sccm至约4500sccm或者约2000sccm至约4000sccm的范围内。
在一些实施例中,碳前体可以连续供应到反应室,而第一等离子体可以脉冲形式供应到反应室中。等离子体的脉冲时间可以是0.2s至3s,例如0.5s、0.7s、1s、1.3s、1.5s、2s或2.5s。等离子体脉冲之间的吹扫时间可以在0.1s至2s之间,例如0.2s、0.3s、0.5s、0.7s、1s或1.5s。可替代地或另外,第一等离子体可以连续供应到反应室,而碳前体可以脉冲形式供应到反应室中。碳前体的脉冲时间可以在0.1s和2.5s之间,例如0.2s、0.3s、0.5s、1s、1.5s或2s。碳前体脉冲之间可以有0.1s至2s的吹扫时间,例如0.2s、0.3s、0.5s、0.7s、1s或1.5s。在一些实施例中,碳前体和第一等离子体都以脉冲形式供应。在一个或多个碳前体脉冲之后,可以施加一个或多个第一等离子体脉冲。
第一等离子体和碳前体可以交替方式供应到反应室中。在一些实施例中,供应碳前体和/或供应第一等离子体执行至少两次,比如至少三次、至少五次或至少十次。在一些实施例中,碳前体的流动是连续的,并且第一等离子体以两个或更多个脉冲被供应到反应室中。例如,在沉积含硅材料之前,等离子体脉冲的数量可以是三次、五次或十次。在一些实施例中,在反应室中供应碳前体与在反应室中供应第一等离子体至少部分地分离。在一些实施例中,在反应室中供应碳前体和在反应室中供应第一等离子体之间没有重叠。
如本文所用,术语膜或层可以指任何连续或非连续的沉积物和材料,比如通过本文公开的方法沉积的材料。例如,膜或层可以包括二维材料、三维材料、纳米颗粒或甚至部分或全部分子层或部分或全部原子层或原子和/或分子簇。膜或层可以包括具有针孔的材料或层,针孔可以至少部分连续。在一些实施例中,抑制层可以是连续的。在一些实施例中,抑制层可以是基本连续的。在一些实施例中,衬底顶部的抑制层的厚度可以在约0.1nm到10nm之间。例如,抑制层的厚度可以在0.5nm和8nm之间,或在0.2nm和8nm之间,或在1nm和8nm之间,或在0.5nm和5nm之间。抑制层的厚度可以在衬底的不同区域中变化。例如,衬底顶表面上的抑制层可以比间隙中的厚。抑制层的厚度可以朝向间隙底部逐渐减小。例如,抑制层可以不在间隙底部,而抑制层的厚度在衬底顶表面可以是例如1nm、3nm、5nm、7nm或9nm。
在一些实施例中,抑制层基本仅沉积在衬底的顶表面上。在一些实施例中,抑制层延伸到间隙中。在一些实施例中,抑制层最多延伸到间隙深度的一半,或者最多延伸到间隙深度的三分之一。在一些实施例中,抑制层延伸到间隙中至少5nm或至少10nm或至少50nm。在一些实施例中,抑制层可以延伸到间隙中至少100nm或至少250nm或至少300nm或至少500nm。在一些实施例中,抑制层基本沿着间隙的整个深度延伸到间隙中。
在一些实施例中,碳前体包括烃。在一些实施例中,碳前体包括基本仅一种或多种烃。在一些实施例中,碳前体包括两种或更多种化合物。碳前体可以包括化合物异构体的混合物。
烃可以包含1至10个碳原子,烃可以包含2至8个碳原子,或者烃可以包含1至5个碳原子,比如3或4个碳原子。烃可以包含6至10个碳原子,比如7或9个碳原子。烃可以包含更多个碳原子,比如10至20个碳原子,例如11、12、14或16个碳原子。
烃可以是脂肪族或芳香族的。烃可以是饱和或不饱和的、直链或支链的、环状或非环状的。在一些实施例中,烃是未取代的烃,即它仅包含碳和氢。在一些实施例中,烃是取代的或官能化的烃。例如,烃可以是卤代烃,比如氯化烃、氟化烃、溴化烃或碘化烃。烃可以是羟基化的烃、醇或羧酸。烃可以是酯、酮或醛。烃可以包含胺或亚胺。
在一些实施例中,碳前体包括甲烷、乙烷、丙烷、丁烷、戊烷、己烷、庚烷、辛烷、乙炔、苯、甲苯、乙二胺(EDA)、二乙胺(DEA)、叔丁胺(TBA)或其衍生物中的一种或多种。
在本公开中,含碳抑制层可以通过在反应室中供应碳前体并使其与等离子体接触来形成。等离子体可以导致由碳前体形成第一反应物质。第一反应物质可以沉积在衬底的表面上。沉积在衬底表面上的第一反应物质可以形成抑制层。在一些实施例中,第一等离子体选自氮(N2)等离子体、氩(Ar)等离子体、氦(He)等离子体、氖(Ne)等离子体、氪(Kr)等离子体和氙(Xe)等离子体及其任意组合。在一些实施例中,第一等离子体可以包括氧。在一些实施例中,使用直接等离子体形成第一反应物质,或者其中使用远程等离子体形成第一反应物质。
在一些实施例中,第一等离子体通过用第一射频(RF)功率气相电离气体来产生。在一些实施例中,第一RF功率在20W和2kW之间。例如,第一RF功率可以是50W、100W、200W、400W、500W、700W、1kW、1.4kW或1.8kW。
在抑制层沉积期间调节RF等离子体发生器的功率可能影响抑制层的覆盖范围,和/或抑制层到达间隙中的深度。在不将本发明限制于任何特定理论的情况下,更高的RF功率可以导致在间隙内更有效且更深地抑制含硅材料沉积。因此,根据应用,较低的RF功率可以导致更快的间隙填充,以及更厚的含硅材料沉积在表面拓扑的顶部,而如果纵横比高并且需要避免含硅材料在表面拓扑的顶部生长,则可以选择较高的RF功率。
在一些实施例中,该方法还包括向反应室供应惰性气体。在衬底上沉积材料之前和之后,可以使用惰性气体为衬底提供保护大气。例如,惰性气体可用于吹扫反应室中的前体和/或反应副产物。惰性气体可用于调节一种和/或多种前体的流量。因此,可以调节前体浓度和在反应室中的停留时间。这种气体可以称为载气。在一些实施例中,该方法包括在反应室中供应载气。术语惰性气体(包括载气)可以指不参与化学反应和/或在可感知的程度上不成为沉积材料的一部分的气体。示例性惰性气体包括He和Ar及其任意组合。在某些情况下,氮和/或氢可以是惰性气体。然而,在一些实施例中,除了用作惰性气体之外,氮气可以用于产生等离子体以沉积含硅材料,比如氮化硅。在一些实施例中,第一等离子体至少部分地由载气产生。在一些实施例中,第一等离子体基本由载气产生。在一些实施例中,载气是Ar,其用于产生第一等离子体。在一些实施例中,等离子体由除载气之外的气体产生。
在一些实施例中,调节第一等离子体密度以优化含硅材料在衬底的预定区域中的沉积。可以调节等离子体密度来调节抑制层沉积到间隙中的深度。当其它过程变量保持相同或相似时,与较低的等离子体密度相比,在较高的等离子体密度下,抑制层可以更深地沉积到间隙中。
在一些实施例中,方法在约75℃至550℃的温度下进行。方法可以在低于或等于450℃或者低于或等于400℃或者低于或等于350℃或者低于或等于250℃的温度下进行。在一些实施例中,温度可以表示为反应室的温度或基座的温度。可替代地,在一些实施例中,温度可以表示为衬底的温度或反应室中供应的气体的温度。根据本公开的方法的不同阶段可在不同的温度下执行。
在一些实施例中,抑制层在与含硅材料相同的温度下沉积。在一些实施例中,抑制层在与含硅材料不同的温度下沉积。在一些实施例中,抑制层在比含硅材料更低的温度下沉积。在一些实施例中,抑制层在比含硅材料更高的温度下沉积。
在一些实施例中,在供应碳前体和/或第一等离子体期间,反应室的压力低于100托,或低于50托,或低于10托,或低于1托,或低于0.5托或低于0.1托。
当至少一些抑制层已经沉积在衬底上时,含硅材料沉积在衬底上。在一些实施例中,含硅材料在包含抑制层的衬底上的沉积比在不包含抑制层的衬底上的沉积慢。含硅材料在抑制层上的生长可以比在不包含抑制层的衬底上的生长慢例如两倍到一千倍。例如,含硅材料在包含抑制层的衬底上的生长可能比在不包含抑制层的衬底上慢三倍、四倍、五倍、十倍、二十倍、五十倍或百倍。在一些实施例中,含硅材料在包含抑制层的衬底上的生长比在不包含抑制层的衬底上慢两百或五百倍。在一些实施例中,含硅材料在包含抑制层的衬底上的生长是不可检测的。
在一些实施例中,含硅材料在衬底顶表面上的生长比在间隙底部慢至少两倍。在一些实施例中,含硅材料在衬底顶表面上的生长比在间隙底部慢至少五倍。在一些实施例中,含硅材料在衬底顶表面上的生长比在间隙底部慢至少十倍。在一些实施例中,含硅材料在衬底顶表面上的生长比在间隙底部慢至少50倍。在一些实施例中,含硅材料在包含抑制层的衬底上的生长是不可检测的。
在一些实施例中,含硅材料在衬底顶表面上的厚度比在间隙中小。在一些实施例中,含硅材料在衬底顶表面上的厚度比在间隙中小至少两倍。在一些实施例中,含硅材料在衬底顶表面上的厚度比在间隙中小至少五倍。在一些实施例中,含硅材料在衬底顶表面上的厚度比在间隙中小至少十倍。在一些实施例中,衬底顶表面上的含硅材料是不可检测的。
在一些实施例中,在衬底上沉积含硅材料包括循环过程。在一些实施例中,含硅材料的沉积通过原子层沉积(ALD)过程、等离子体增强ALD(PEALD)过程、化学气相沉积(CVD)过程或等离子体增强化学气相沉积(PECVD)来执行。在一些实施例中,含硅材料可以形成层。
在一些实施例中,含硅材料基本填充衬底中的间隙。在一些实施例中,间隙可以无缝填充。
在一些实施例中,在衬底上沉积含硅材料包括在反应室中供应第一前体,以及在反应室中供应与第一前体反应以形成含硅材料的第二前体。在一些实施例中,含硅材料包括氧化硅,比如二氧化硅(SiO2)。
在一些实施例中,第一前体是含硅前体。在一些实施例中,第一前体包括硅烷、氨基硅烷、碘代硅烷、硅氧烷胺、硅氮烷胺和卤化硅或其组合中的一种或多种。在一些实施例中,第一前体包括以下中的至少一种:DIPAS,SiH3N(iPr)2,TSA,(SiH3)3N,DSO,(SiH3)2,DSMA,(SiH3)2NMe,DSEA,(SiH3)2Net,DSIPA,(SiH3)2N(iPr),DSTBA,(SiH3)2N(tBu),DEAS,SiH3NEt2,DTBAS,SiH3N(tBu)2,BDEAS,SiH2(NEt2)2,BDMAS,SiH2(NMe2)2,BTBAS,SiH2(NHtBu)2,BITS,SiH2(NHSiMe3)2,TEOS,Si(OEt)4,SiCl4,HCD,Si2Cl6,3DMAS,SiH(N(Me)2)3,BEMAS,SiH2[N(Et)(Me)]2,AHEAD,Si2(NHEt)6,TEAS,Si(NHEt)4,Si3H8,DCS,SiH2Cl2,SiHI3,SiH2I2或其组合。作为具体示例,第一前体可以是或包括硅烷二胺,比如N,N,N',N'-四乙基硅烷二胺。
在一些实施例中,第二前体包括氧。在这样的实施例中,含硅材料可以是氧化硅。在一些实施例中,第二前体包括分子氧(O2)、水、过氧化氢和臭氧中的一种或多种。
在一些实施例中,第二前体包括氮。在这样的实施例中,含硅材料可以是氮化硅。在这样的实施例中,第二前体可以包括肼(N2H4)、N2、氩-氮(Ar/N2)、氮-氢(N2/H2)、氩-氮-氢(Ar/N2/H2)或NH3中的一种或多种。
在一些实施例中,第二前体包括等离子体。这种第二前体可以称为第二等离子体。在一些实施例中,第二等离子体选自氮(N2)等离子体、氩(Ar)等离子体、氦(He)等离子体、氖(Ne)等离子体、氪(Kr)等离子体和氙(Xe)等离子体及其任意组合。在一些实施例中,第二等离子体可以包括氧。第一等离子体和第二等离子体可以具有相同的成分。第一等离子体和第二等离子体可以具有不同的成分。
第二等离子体可以通过用第二RF功率气相电离气体来产生。在一些实施例中,第二RF功率在100W和2kW之间。例如,第二RF功率可以是200W、400W、500W、700W、1kW、1.4kW或1.8kW。第一和第二RF功率可以相同。第一和第二RF功率可以不同。可以通过改变RF功率来产生第一等离子体和第二等离子体,以调节抑制层和/或间隙填充的沉积。
在一些实施例中,第二前体至少部分地去除抑制层。抑制层的去除可以基本完成。如果第二前体包括等离子体,则可以使用第二RF功率的调节来调节抑制层的去除。在不将本公开限制于任何特定理论的情况下,去除抑制层也可以去除可能已经沉积在包括抑制层的衬底上的第一前体。使用包含烃的碳前体的优点在于,抑制层仅在衬底上留下最小的杂质。
在一些实施例中,抑制层的沉积和/或含硅材料的沉积被执行至少两次。抑制层可以在沉积含硅材料之前沉积一次、两次或更多次,比如三次、五次或十次。含硅材料可以在沉积抑制层之前沉积一次、两次或更多次,比如三次、五次或十次。
在一些实施例中,沉积抑制层与含硅材料的次数比率在1:1至1:20、1:1至1:10、1:1至1:5或1:1至1:3之间变化。在一些实施例中,沉积抑制层与含硅材料的次数比率在20:1至1:1或10:1至1:1或5:1至1:1或3:1至1:1之间变化。换句话说,如果比率是1:2,则对于沉积含硅材料的每两个循环,抑制层沉积一次。如果比率为2:1,则对于沉积含硅材料的每个循环,抑制层沉积两次。在一些实施例中,抑制层的沉积和含硅材料的沉积交替进行。沉积抑制层和含硅材料之间的比率可以取决于例如在沉积含硅材料期间,抑制层是否被去除以及去除到什么程度。如果抑制层被去除到足够大的程度,它可能比抑制层被去除到较小的程度更频繁地重新沉积。在不将本公开限制于任何特定理论的情况下,所使用的前体和其他沉积参数可能会影响过程期间抑制层的去除。
包含抑制剂沉积和含硅材料沉积的循环可称为主循环。沉积过程可以包括不同参数的主循环,比如抑制剂的脉冲长度、第一和/或第二前体、等离子体开启时间、气体流量等。主循环可以包括向反应室中提供碳前体一次、向反应室中提供第一前体一次和向反应室中提供第二前体一次。主循环可以包括不止一次地向反应室中提供碳前体、第一前体和第二前体中的一种或多种。例如,可以将碳前体和第一等离子体多次提供到反应室中,之后将第一前体和第二前体提供到反应室中一次。例如,在将第一前体提供到反应室中之前,可以将碳前体和第一等离子体提供到反应室中一至约200次。在一些实施例中,在将第一前体提供到反应室中之前,将碳前体和第一等离子体提供到反应室中2至约200次,或2至约100次,或约5至约100次。在一些实施例中,在将第一前体提供到反应室中之前,将碳前体和第一等离子体提供到反应室中约5至约50次,或2至约50次,或2至约20次。在一些实施例中,在将第一前体提供到反应室中之前,将碳前体和第一等离子体提供到反应室中2至约15次,或2至约10次,或5至约10次。
在一些实施例中,沉积过程包括单个参数的主循环。换句话说,在整个沉积过程中以相同的方式执行主循环。在一些实施例中,根据本公开的沉积过程包括多于一种类型的主循环。换句话说,在沉积过程的开始,执行第一类型的主循环,并且以后在该过程中,执行第二类型的主循环。沉积过程可以包括第三或另外类型的主循环。
例如,与第二类型的主循环相比,第一类型的主循环可以包括在提供硅前体之前向反应室中提供更大数量次数的碳前体和第一等离子体。例如,在第一类型的主循环中,含碳前体和第一等离子体的子循环可执行约50至约200次,比如约100次,而在第二类型的主循环中,含碳前体和第一等离子体的子循环可执行约5至约20次,比如之前约10次。这样的实施例可以用于包括倾斜或锥形壁的间隙,倾斜或锥形壁使间隙开口具有比间隙底部更小的表面积。这种间隙在沉积过程中可能特别容易被挤压闭合,并且第一类型的更强抑制主循环可以减轻或消除这个问题。
另一方面,公开了一种结构。该结构可以是半导体器件的一部分。还公开了一种半导体器件。使用本文描述的方法形成所述结构和半导体器件。
在另一方面,公开了一种半导体处理设备。设备可用于例如提供改进的或替代的间隙填充方法,比如本文所述。设备包括至少一个反应室,用于容纳包括间隙的衬底。设备可以具有例如两个、三个、六个或八个反应室。设备还包括通过第一阀与反应室气体连通的第一碳前体源。第一源可以布置成保持含碳化合物,比如如上所述的烃。
设备还包括用于等离子体反应物的第二源,其通过第二阀与反应室气体连通,用于供应第一等离子体,以及用于第一前体的第三源,其通过第三阀与反应室气体连通。设备还可以包括用于第二前体的第四源,其通过第四阀与反应室气体连通。在第二前体包括等离子体并且第一等离子体和第二等离子体相同的情况下,第四源和第四阀可以是与第二源和阀相同的源和阀。
设备还包括可操作地连接到第一、第二、第三和第四气体阀的控制器。控制器配置和编程为控制在反应室中供应碳前体和在反应室中供应第一等离子体以由碳前体形成第一反应物质,以及在衬底上沉积含硅材料。
一个或多个反应室中的至少一个可以包括直接等离子体原子层沉积反应室。另外或可替代地,半导体处理设备可以包括远程等离子体单元,其流体耦合到一个或多个反应室中的至少一个。
在附图中,图1示出了根据本公开至少一个实施例的方法100。方法100可用于填充在结构制造过程中产生的一个或多个间隙,比如在电子器件制造过程中形成的结构。
方法100可以包括一个或多个循环沉积过程,比如ALD过程或循环CVD过程。在图示的示例中,方法100包括在反应室中提供衬底101。该方法包括引入碳前体102和引入第一等离子体103,以由碳前体产生第一反应物质。第一反应物质可以形成含碳抑制层。抑制层可以通过例如化学吸附形成。抑制层可以沉积在衬底的最高位置区域上,比如间隙顶部附近和间隙之间的区域上,或者散热片的尖端上。抑制层可以向下延伸到间隙中或者沿着散热片的侧面延伸预定的距离。在一些实施例中,比如在图1的实施例中,碳前体的引入102和第一等离子体的引入103可以循环104一次或多次,直到达到充分的抑制层沉积。
当达到抑制层的充分沉积时,沉积含硅材料1002。沉积含硅材料1002包括在反应室中引入第一前体105和在反应室中引入第二前体106。由于抑制层的先前沉积1001,含硅材料可以沉积1002在结构的下部,比如衬底上或中的间隙。在不将本公开限制于任何特定理论的情况下,含硅材料在衬底的下部区域上的沉积可能是由于在包括抑制层的衬底上没有开始沉积,或者由于相对于没有抑制层的衬底区域,在包括抑制层的衬底上的每循环生长较低。在某些实施例中,含硅材料的沉积可以是自下而上的沉积。在某些实施例中,含硅材料的沉积可以是无缝沉积。
如果要沉积107附加含硅材料,则可以执行108两个可替代循环(110或111)中的一个。如果要沉积附加抑制层,过程循环回到110引入碳前体102。可替代地,该过程可以循环回到111引入第一前体105。
在反应室中提供具有间隙的衬底101期间,可以使用例如衬底加热器和/或辐射或其他加热器将衬底带到用于后续处理的期望温度。步骤101-111期间的温度可以小于550℃,或者范围从约75℃到约550℃,例如从约110℃到约450℃。步骤101-112期间反应室内的压力可以小于25托,比如从约2托到约20托或者从约3托到约9托。步骤102-106期间反应室内的压力可被改变(例如以操纵等离子体条件),以便于无缝填充衬底表面内的一个或多个间隙。然而,在步骤102-111期间,反应室内的压力可以保持基本恒定(例如在约10%内)。
图1的方法可以包括一个或多个吹扫阶段,例如独立地在引入碳前体102之前和/或之后、在引入第一等离子体103之前和/或之后、在引入第一前体105之前和/或之后,或者在引入第二前体106之前和/或之后。可选的吹扫阶段在图1中用星号表示。在吹扫期间,多余的前体和反应副产物(如果有的话)可以从反应空间/衬底表面去除,例如通过吹扫气体脉冲和/或通过泵送系统将反应室的压力泵送下来。吹扫气体可以是任何惰性气体。
图2是根据本公开的间隙被填充的实施例的示意图。面板A描绘了包括两个间隙201的衬底200。在面板B中,抑制层202已经沉积在衬底上。抑制层202沉积在衬底的顶部,并且其沿着衬底200的表面延伸到间隙201中。面板C描绘了与面板B类似的情况,除了抑制层202更深地延伸到间隙201中。这种情况可以通过例如在供应第一等离子体期间施加更高的RF功率来实现。面板B和C的实施例中的抑制层202的厚度可以不同,但这没有在图中示出。
面板D至H是在一个或多个沉积循环中将含硅材料203已经沉积在间隙201中之后根据本公开的方法的示意图。在面板D至H中,间隙201已经被含硅材料203至少部分地填充。因此,为了清楚起见,省略了间隙201的参考。面板D示出了沉积含硅材料203之后的衬底200。在含硅材料203的沉积期间,抑制层202已被从衬底200去除。例如,如果第二前体包括等离子体,比如氧等离子体,则含碳抑制层202可以从衬底200被去除。尽管在图2中未示出,但根据过程条件,在衬底200上可以保留一些抑制层202。
面板E描绘了在进一步的抑制层202沉积之后面板D的实施例。过程条件可以变化成使得在这种进一步的循环中,抑制层202较少地延伸到间隙201中。在仍进一步的沉积循环之后,面板F和G分别呈现出与面板D和E相似的情况。填充间隙201所需的循环数取决于所讨论的应用,并且可以从1到几百不等。
面板H示出了间隙201完全填充有含硅材料203之后的衬底200。尽管没有绘制在图中,含硅材料203也可以至少部分地覆盖衬底200的顶表面。
图3示出了根据本公开的示例性实施例的半导体处理设备30。半导体处理设备30包括一个或多个反应室3,用于容纳包括间隙的衬底1。设备包括用于碳前体的第一源21,其通过第一阀31与反应室3气体连通,用于等离子体反应物的第二源22,其通过第二阀32与反应室气体连通,用于第一前体的第三源25,其通过第三阀33与反应室气体连通,以及用于第二前体的第四源26,其通过第四阀34与反应室气体连通。设备还包括可操作地连接到第一、第二、第三和第四阀31、32、33、34的控制器27。控制器27配置和编程为控制在反应室3中供应碳前体,在反应室3中供应第一等离子体以形成第一反应物质;以及在衬底1上沉积含硅材料。
根据示例性方面,控制器27配置成使得可以独立地选择碳前体、等离子体前体、第一前体和第二前体的供应次数。设备可以包括一个或多个控制器,比如控制器27,其被编程或以其他方式配置成使得执行本文描述的沉积过程。控制器可以与反应器的各种电源、加热系统、泵、机器人和气体流量控制器或阀通信。
尽管未示出,但半导体处理设备30可以包括附加源和附加组件,比如通常在半导体处理设备上发现的那些。
可选地,半导体处理设备30设置有加热器,以通过升高衬底、碳前体、等离子体前体、第一前体、第二前体、吹扫气体、惰性气体和载气中的一种或多种的温度来激活反应。
半导体处理设备30可以设置有RF功率源20,其可操作地与控制器连接,该控制器配置和布置成产生等离子体前体和/或第二前体的等离子体。
可以使用半导体处理设备30来执行具有等离子体的处理循环,理想地结合被编程为执行本文描述的序列的控制,其可用于本公开的至少一些实施例。在图3所示的设备中,通过在反应室3的内部11(反应区)中提供平行且彼此面对的一对导电平板电极4、2,从电源20向一侧施加RF功率(例如13.56MHz或27MHz),并将另一侧12电接地,在电极4、2之间激发等离子体。
温度调节器可以设置在下平台2(下电极)中,并且放置在其上的衬底1的温度可以保持在相对恒定的温度。上电极4也可以用作喷淋板,并且前体气体和可选的惰性气体和/或吹扫气体可以分别通过气体管线41-44和通过喷淋板4被引入到反应室3中。
此外,在反应室3中,提供带有排气管线7的圆形导管13,反应室3的内部11中的气体通过该导管排出。此外,转移室5(例如设置在反应室3下方)设置有密封气体管线24,以将密封气体经由转移室5的内部16(转移区)引入反应室3的内部11,其中提供了用于分离反应区和转移区的分离板14(从该图中省略了晶片通过其转移到转移室5中或从转移室5中转移出来的闸阀)。转移室还设置有排气管线6。在一些实施例中,含碳抑制层和含硅材料的沉积以及其他可选的沉积和/或表面处理过程在相同的反应空间中进行,使得所有步骤可以连续进行,而无需将衬底1暴露于空气或其他含氧大气中。在一些实施例中,远程等离子体单元可用于激发气体,例如来自源21、22、25和/或26中的一个或多个。
第一等离子体和/或第二等离子体可以原位产生,例如在整个沉积过程或整个一个沉积循环中连续流动的气体中。在其他实施例中,等离子体可以远程产生并提供给反应室。
在一些实施例中,可以使用双室反应器(用于处理彼此靠近设置的晶片的两个部分或隔室),其中过程气体和惰性气体可以通过共享管线供应,而前体气体可以通过非共享管线供应。
应当理解,本文描述的配置和/或方法本质上是示例性的,并且这些具体实施例或示例不应被认为是限制性的,因为许多变化都是可能的。本文描述的特定例程或方法可以代表任何数量的处理策略中的一个或多个。因此,所示的各种动作可以所示的顺序、以其他顺序执行,或者在某些情况下省略。

Claims (21)

1.一种用含硅材料填充间隙的方法,其中,该方法包括:
在反应室中提供具有间隙的衬底;
在反应室中供应包含碳的碳前体;并且
在反应室中供应第一等离子体,以由碳前体形成第一反应物质,用于在间隙顶部附近形成含碳抑制层;以及
在反应室中供应第一前体,以在衬底上沉积含硅材料,其中间隙顶部附近的沉积至少部分地被抑制层抑制。
2.根据权利要求1所述的方法,其中,所述碳前体包括烃。
3.根据权利要求2所述的方法,其中,所述烃是未取代的烃。
4.根据权利要求2所述的方法,其中,所述烃是取代的或官能化的烃。
5.根据前述权利要求中任一项所述的方法,其中,所述第一等离子体通过用第一射频(RF)功率气相电离气体而产生。
6.根据前述权利要求中任一项所述的方法,其中,调节第一等离子体密度以优化含硅材料在衬底的预定区域中的沉积。
7.根据前述权利要求中任一项所述的方法,其中,在沉积抑制层期间连续地供应碳前体。
8.根据前述权利要求中任一项所述的方法,其中,在反应室中供应碳前体至少部分地与在反应室中供应第一等离子体重叠。
9.根据前述权利要求中任一项所述的方法,其中,在反应室中供应碳前体与在反应室中供应第一等离子体至少部分地分离。
10.根据前述权利要求中任一项所述的方法,其中,碳前体的流动是连续的,并且第一等离子体以两个或更多个脉冲供应到反应室中。
11.根据前述权利要求中任一项所述的方法,其中,在衬底上沉积含硅材料包括:
在反应室中供应第一前体,以及
供应第二前体,其在反应室中与第一前体反应以形成含硅材料。
12.根据前述权利要求中任一项所述的方法,其中,含硅材料在抑制层上的沉积比在衬底上的沉积慢。
13.根据前述权利要求中任一项所述的方法,其中,含硅材料在衬底顶表面上的生长比在间隙底部的生长慢至少两倍。
14.根据前述权利要求中任一项所述的方法,其中,通过原子层沉积(ALD)过程、等离子体增强ALD(PEALD)过程、化学气相沉积(CVD)过程或等离子体增强化学气相沉积(PECVD)过程来执行含硅材料的沉积。
15.根据前述权利要求中任一项所述的方法,其中,在衬底上沉积含硅材料包括循环过程。
16.根据权利要求11至16中任一项所述的方法,其中,所述第二前体包括氧。
17.根据权利要求11所述的方法,其中,所述第二前体包括肼(N2H4),N2,Ar/N2,N2/H2,Ar/N2/H2或NH3中的一种或多种。
18.根据权利要求11至19中任一项所述的方法,其中,所述第二前体包括等离子体。
19.根据权利要求11至20中任一项所述的方法,其中,所述第二前体至少部分地去除抑制层。
20.根据前述权利要求中任一项所述的方法,其中,抑制层的沉积和/或含硅材料的沉积被执行至少两次。
21.一种半导体处理设备,包括:
用于容纳衬底的反应室;
用于碳前体的第一源,其通过第一阀与反应室气体连通;
用于第二反应物的第二源,其通过第二阀与反应室气体连通,用于供应第一等离子体;
用于第一前体的第三源,其通过第三阀与反应室气体连通;
用于第二前体的第四源,其通过第四阀与反应室气体连通;以及
控制器,其可操作地连接到第一、第二、第三和第四阀,并且配置和编程为控制:
在反应室中供应碳前体;并且
在反应室中供应第一等离子体,以由碳前体形成第一反应物质,用于形成含碳抑制层;以及
在衬底上沉积含硅材料。
CN202111149992.0A 2020-10-06 2021-09-29 用于沉积含硅材料的沉积方法和设备 Pending CN114381708A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US202063088276P 2020-10-06 2020-10-06
US63/088,276 2020-10-06

Publications (1)

Publication Number Publication Date
CN114381708A true CN114381708A (zh) 2022-04-22

Family

ID=80931633

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202111149992.0A Pending CN114381708A (zh) 2020-10-06 2021-09-29 用于沉积含硅材料的沉积方法和设备

Country Status (4)

Country Link
US (1) US20220108915A1 (zh)
KR (1) KR20220045900A (zh)
CN (1) CN114381708A (zh)
TW (1) TW202230441A (zh)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11459652B2 (en) * 2020-10-16 2022-10-04 Applied Materials, Inc. Techniques and device structures based upon directional dielectric deposition and bottom-up fill
WO2023205570A1 (en) * 2022-04-21 2023-10-26 Lam Research Corporation Nonconformal oxide film deposition using carbon-containing inhibitor
US20240145235A1 (en) * 2022-10-28 2024-05-02 Applied Materials, Inc. Sin gap fill via nucleation inhibition

Also Published As

Publication number Publication date
KR20220045900A (ko) 2022-04-13
US20220108915A1 (en) 2022-04-07
TW202230441A (zh) 2022-08-01

Similar Documents

Publication Publication Date Title
US11251040B2 (en) Cyclical deposition method including treatment step and apparatus for same
CN110408906B (zh) 使用硅氢卤化物前体的SiN的等离子体增强原子层沉积(PEALD)
US11798834B2 (en) Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11289326B2 (en) Method for reforming amorphous carbon polymer film
US20210035854A1 (en) Method of forming a structure using fluorine removal
TWI780160B (zh) 使用依序沉積-蝕刻-處理製程的氧化矽及氮化矽之由下而上的生長
CN110004429B (zh) 钨特征填充
US8133797B2 (en) Protective layer to enable damage free gap fill
CN112242296A (zh) 形成拓扑受控的无定形碳聚合物膜的方法
US20220108915A1 (en) Deposition method and an apparatus for depositing a silicon-containing material
US20220005693A1 (en) Silicon nitride and silicon oxide deposition methods using fluorine inhibitor
TW202138292A (zh) 具有可調整碳含量之碳氮化矽間隙填充
CN113555315A (zh) 在半导体衬底上的三维结构中填充间隙的方法
JP2024504165A (ja) パルス高周波無線周波数(hfrf)プラズマを使用した間隙充填処理
US20240145235A1 (en) Sin gap fill via nucleation inhibition
US11996286B2 (en) Silicon precursors for silicon nitride deposition
US20220181148A1 (en) Silicon precursors for silicon nitride deposition
CN116092933A (zh) 薄膜沉积方法和系统
CN117253774A (zh) 用于形成包含氧化硅的层的方法和系统
CN115142043A (zh) 用中间处理过程沉积氮化硅的方法和系统

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination