CN115485821A - 用于移除含铝膜的系统和方法 - Google Patents

用于移除含铝膜的系统和方法 Download PDF

Info

Publication number
CN115485821A
CN115485821A CN202180032187.1A CN202180032187A CN115485821A CN 115485821 A CN115485821 A CN 115485821A CN 202180032187 A CN202180032187 A CN 202180032187A CN 115485821 A CN115485821 A CN 115485821A
Authority
CN
China
Prior art keywords
etching method
substrate
plasma
halogen
containing precursor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202180032187.1A
Other languages
English (en)
Inventor
崔振江
王安川
R·P·雷迪
X·陈
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN115485821A publication Critical patent/CN115485821A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Paints Or Removers (AREA)
  • Cleaning And De-Greasing Of Metallic Materials By Chemical Methods (AREA)
  • ing And Chemical Polishing (AREA)

Abstract

示例性的蚀刻方法可包括使含卤素前驱物流至半导体处理腔室的基板处理区域中。含卤素前驱物的特征可在于气体密度大于或约为5g/L。方法可包括用含卤素前驱物接触基板处理区域中容纳的基板。基板可界定含卤素材料的暴露区域。接触可产生卤化铝材料。方法可包括使蚀刻剂前驱物流至基板处理区域中。方法可包括用蚀刻剂前驱物接触卤化铝材料。方法可包括移除卤化铝材料。

Description

用于移除含铝膜的系统和方法
相关申请的交叉引用
本申请要求于2020年9月11日提交的、并且题为“SYSTEMS AND METHODS FORALUMINUM-CONTAINING FILM REMOVAL(用于移除含铝膜的系统和方法)”的美国非临时申请第17/018,229号的权益以及优先权,出于所有目的,所述申请的内容通过引用以其整体并入本文。
技术领域
本技术涉及半导体工艺和设备。更具体而言,本技术涉及选择性蚀刻含铝结构。
背景技术
集成电路可通过在基板表面上产生复杂图案化材料层的工艺制得。在基板上产生图案化材料需要可控的用于移除暴露材料的方法。化学蚀刻用于多种目的,包括将光刻胶中的图案转移至下方层上、薄化层或薄化已存在于表面上的特征的侧向尺寸。通常期望具有蚀刻工艺,所述蚀刻工艺蚀刻一种材料快于蚀刻另一材料,这促进(例如)图案转移工艺。认为此蚀刻工艺对第一材料具有选择性。由于材料、电路和工艺的多样性,因此已开发对多种材料具有选择性的蚀刻工艺。
基于工艺中所使用的材料,可将蚀刻工艺称为湿法蚀刻或干法蚀刻。举例而言,湿法蚀刻可相比其他介电质和材料优先移除介电一些氧化物介电质。然而,湿法蚀刻可能难以穿透一些受约束的沟槽,并且有时还可能使剩余的材料变形。基板处理区域内所形成的局部等离子体中产生的干法蚀刻可穿透更受约束的沟槽,并且展现出精细的剩余结构的更少的变形。然而,局部等离子体可经由在其放电时产生电弧而损坏基板。
由此,需要能用于产生高品质器件和结构的改进的系统和方法。本技术满足这些和其他的需要。
发明内容
示例性的蚀刻方法可包括使含卤素前驱物流至半导体处理腔室的基板处理区域中。含卤素前驱物的特征可在于气体密度大于或约为5g/L。方法可包括用含卤素前驱物接触基板处理区域中容纳的基板。基板可界定含卤素材料的暴露区域。接触可产生卤化铝材料。方法可包括使蚀刻剂前驱物流至基板处理区域中。方法可包括用蚀刻剂前驱物接触卤化铝材料。方法可包括移除卤化铝材料。
在一些实施例中,含卤素前驱物可包括过渡金属,并且蚀刻剂前驱物可为或包括含氯前驱物。含卤素前驱物可包括钨或铌。含铝材料可为或包括氧化铝。蚀刻方法可为无等离子体蚀刻工艺。可在大于或约为300℃的温度下执行蚀刻方法。可在大于或约为0.1托(Torr)的压力下执行蚀刻方法。可在小于或约为50托的压力下执行蚀刻方法。方法可包括在使含卤素前驱物流动之前执行预处理。预处理可包括用包含氧、氢或氮中的一者或多者的等离子体接触基板。方法可包括在蚀刻方法之后执行后处理。后处理可包括用包含氧、氢或氮中的一者或多者的等离子体接触基板。
本技术的一些实施例可包含蚀刻方法。方法可包括形成包括氧、氢或氮中的一者或多者的处理前驱物等离子体,以产生处理等离子体流出物。方法可包括使处理等离子体流出物流至半导体处理腔室的基板处理区域中。方法可包括用含处理等离子体流出物接触基板处理区域中容纳的基板。基板可界定含铝材料的暴露区域。处理等离子体流出物可经配置以从含铝材料的表面移除残留物。方法可包括使第一含卤素材料流至半导体处理腔室的基板处理区域中。方法可包括用第一含卤素材料接触基板。方法可包括使第二含卤素前驱物流至半导体处理腔室的基板处理区域中。方法可包括移除含铝材料。
在一些实施例中,第一含卤素材料可包括钨或铌或含氟前驱物的等离子体流出物。第二含卤素前驱物可为或包括三氯化硼。方法可包括在使第一含卤素前驱物流动之前停止等离子体形成。可在大于或约为300℃的温度下执行蚀刻方法。可在大于或约为0.1托的压力下执行蚀刻方法。方法可包括在蚀刻方法之后执行后处理。后处理可包括用包含氧、氢或氮中的一者或多者的等离子体接触基板。
本技术的一些实施例可包含蚀刻方法。方法可包括使含氟前驱物流至半导体处理腔室的基板处理区域中。含氟前驱物的特征可在于气体密度大于或约为5g/L。方法可包括用含氟前驱物接触基板处理区域中容纳的基板。基板可界定含铝材料的暴露区域。方法可包括使含氯前驱物流至半导体处理腔室的基板处理区域中。方法可包括用含氯前驱物接触基板。方法可包括移除含铝材料。方法可包括形成包括氧、氢或氮中的一者或多者的处理前驱物等离子体,以产生处理等离子体流出物。方法可包括用处理等离子体流出物接触基板。
在一些实施例中,含氟前驱物可包括钨或铌,含氯前驱物可包括硼。处理等离子体流出物可经配置以从基板或半导体处理腔室中的一者或多者移除残留的钨或铌。可在大于或约为300℃的温度下和大于或约为0.1托的压力下执行蚀刻方法。
相比于常规的系统和技术,此技术可提供许多好处。举例而言,工艺可允许执行干法蚀刻,其可保护基板的特征。另外,工艺可相对于基板上的其他暴露材料来选择性地移除含铝膜。结合以下描述和附图来更详细地描述这些和其他实施例以及它们的优点和特征中的许多个。
附图说明
可参考说明书和附图的剩余部分来进一步理解所公开的技术的性质和优点。
图1示出根据本技术的一些实施例的示例性处理系统的一个实施例的俯视平面图。
图2A示出根据本技术的一些实施例的示例性处理腔室的示意性横截面图。
图2B示出根据本技术的一些实施例的图2A所示的处理腔室的一部分的详细视图。
图3示出根据本技术的一些实施例的示例性喷头的底视平面图。
图4示出根据本技术的一些实施例的方法的示例性操作。
图5A至图5B示出根据本技术的一些实施例的所蚀刻的材料的示意性横截面图。
附图中的若干个被包括作为为示意图。应理解,附图用于说明性的目的,并且除非明确指出为按比例的,否则不应认为其为按比例的。另外,提供附图作为示意图以帮助理解,并且附图与实际表示相比可能不包括所有方面或信息,并且可为了说明性目的而包括额外或夸张的材料。
在附图中,相似的部件和/或特征可具有相同的参考标记。另外,可通过在参考标记后添加区分相似部件的字母来区分同一类型的各个部件。如果说明书中仅使用第一参考标记,则不管字母,描述适用于具有同一第一参考标记的相似部件中的任一者。
具体实施方式
在许多不同的半导体工艺中可使用稀酸,以用于清洁基板以及从这些基板移除材料。举例而言,稀氢氟酸可为氧化硅、氧化铝和其他材料的有效的蚀刻剂,并且可用于从基板表面移除这些材料。在蚀刻或清洁操作完成之后,可从晶片或基板表面干燥酸。使用稀氢氟酸(dilute hydrofluoric acid;“DHF”)可称为“湿”法蚀刻,并且稀释剂通常为水。可使用其他蚀刻工艺,其使用输送至基板的前驱物。举例而言,等离子体增强工艺也可通过等离子体增强前驱物以执行干法蚀刻来选择性地蚀刻材料。
虽然使用水溶液或水性工艺的湿法蚀刻剂对于某些基板结构可有效地操作,但水可能在各种条件下具有挑战。举例而言,当设置在包括金属材料的基板上时,在蚀刻工艺期间使用水可能造成问题。举例而言,在基板上已形成一定量的金属化之后,可执行诸如凹陷间隙、移除氧化物介电质之类的某些后制造工艺或其他工艺,以移除含氧材料。如果在蚀刻期间以某一方式使用水,则可产生电解质,当接触金属材料时,介电质可使不同金属之间发生电流腐蚀,可在各种工艺中腐蚀或置换金属。另外,由于水稀释剂的表面张力,微小的结构可发生图案变形和崩坏。由于表面张力效应,水基材料也不能渗透一些高深宽比特征。
等离子体蚀刻可克服与水性蚀刻相关联的问题,但可能出现其他问题。举例而言,氧化铝和其他铝基介电质已经被并入许多半导体结构中,并且展现出介电性质。由于介电性质,这些铝材料不容易导电。因此,当带电等离子体物种流向这些材料时,沿铝基介电质的表面可发生电荷聚集。聚集一旦超过临界值,则电压可造成崩溃,从而可损坏铝材料。
本技术通过执行干法蚀刻工艺克服这些问题,所述干法蚀刻工艺可相对于待蚀刻的材料钝化多种材料,并且在一些实施例中,工艺可在蚀刻期间无等离子体。通过利用可促进卤素解离以提供蚀刻剂材料的特定前驱物,可执行蚀刻工艺,其可保护周围的结构。另外,相比于常规的技术,所使用的材料和条件可允许改进蚀刻。
虽然余下的公开内容将例行地说明使用所公开的技术的具体蚀刻工艺,但将容易地理解,系统和方法等效地可应用于可在所描述的腔室中发生的沉积和清洁工艺,以及包括中段工艺和后段工艺处理的其他蚀刻技术,以及可用各种暴露材料(可经维持或基本上维持)执行的其他蚀刻。因此,不应将本技术视为限制于仅用于示例性的蚀刻工艺或腔室。此外,虽然将示例性腔室描述为提供本技术的基础,但应理解本技术可应用于可允许所描述的操作的几乎任何半导体处理腔室。
图1展示根据实施例的具有沉积、蚀刻、烘烤和固化腔室的处理系统100的一个实施例的俯视图。在图中,一对前开式标准舱(front opening unified pod;FOUP)102供应各种尺寸的基板,所述基板由机器人手臂104接收,并且放置于低压保持区域106中,然后放置于基板处理腔室108a至108f中的一者中,基板处理腔室108a至108f定位在串列区段109a至109c中。第二机器人手臂110可用于将基板晶片从保持区域106输送到基板处理腔室108a至108f并返回。每一基板处理腔室108a至108f可经配置以执行数个基板处理操作,所述数个基板处理操作包括本文描述的干法蚀刻工艺,以及周期性层沉积(cyclical layerdeposition;CLD)、原子层沉积(atomic layer deposition;ALD)、化学气相沉积(chemicalvapor deposition;CVD)、物理气相沉积(physical vapor deposition;PVD)、蚀刻、预清洁、除气、定向和其他基板工艺。
基板处理腔室108a至108f可包括一个或多个系统部件,用于沉积、退火、固化和/或蚀刻基板晶片上的介电膜。在一个配置中,可使用两对处理腔室(例如108c至108d和108e至108f)在基板上沉积介电材料,并且可使用第三对处理腔室(例如108a至108b)蚀刻所沉积的介电质。在另一配置中,所有三对腔室(例如108a至108f)可经配置以蚀刻基板上的介电膜。所描述的工艺中的任何一者或多者可在与不同实施例中展示的制造系统分离的(多个)腔室中进行。将了解由系统100考虑用于介电膜的沉积、蚀刻、退火和固化腔室的其他配置。
图2A展示示例性工艺腔室系统200的横截面图,处理腔室内有经分隔的等离子体产生区域。在膜蚀刻期间,例如氮化钛、氮化钽、钨、硅、多晶硅、氧化硅、氮化硅、氮氧化硅、碳氧化硅等,工艺气体可经由气体入口组件205流至第一等离子体区域215中。系统中可以任选地包括远程等离子体系统(remote plasma system;RPS)201,并且远程等离子体系统201可处理第一气体,第一气体随后行进穿过气体入口组件205。入口组件205可包括两个或两个以上不同的气体供应通道,其中第二通道(未示出)可绕过RPS 201(如果包括)。
示出了冷却板203、面板217、离子抑制器223、喷头225和其上设置基板255的底座265或基板支撑件,并且根据实施例可包括以上每一部件。底座265可具有热交换通道,热交换流体流过所述热交换通道以控制基板的温度,可操作所述热交换流体以在处理操作期间加热和/或冷却基板或晶片。也可使用嵌入式电阻式加热器元件电阻式加热底座265的晶片支撑盘(其可包括铝、陶瓷或其组合),以便达到相对高的温度,诸如从高达或约为100℃至高于或约为1100℃。
面板217可为棱锥形、圆锥形或另一类似的结构,由窄的顶部部分延展至宽的底部部分。面板217可另外如图所示为平坦的,并且包括用于分配工艺气体的多个贯穿通道。取决于RPS 201的使用,等离子体产生气体和/或等离子体激发物种可通过面板217中的多个孔(如图2B所示),以更均匀地输送至第一等离子体区域215中。
示例性配置可包括使气体入口组件205开至气体供应区域258中,气体供应区域258通过面板217而与第一等离子体区域215分隔,使得气体/物种通过面板217中的孔流至第一等离子体区域215中。可选择结构和操作特征以阻止等离子体从第一等离子体区域215显著回流至供应区域258、气体入口组件205和流体供应系统210中。示出面板217或腔室的导电顶部部分和喷头225,绝缘环220位于特征之间,相对于喷头225和/或离子抑制器223,允许向面板217施加AC电位。绝缘环220可位于面板217与喷头225和/或离子抑制器223之间,使第一等离子体区域中能够形成电容耦合等离子体(capacitively coupled plasma;CCP)。挡板(未示出)可另外位于第一等离子体区域215中,或以其他方式与气体入口组件205耦接,以影响流体经由气体入口组件205流至区域中。
气体抑制器223可包含板或其他几何形状,其界定穿过结构的多个孔口,所述多个孔口经配置以抑制离子带电物种迁移出第一等离子体区域215,同时允许不带电的中性或自由基物种通过离子抑制器223到达抑制器与喷头之间的活化气体输送区域中。在实施例中,离子抑制器223可包含具有各种孔口配置的多孔板。这些不带电物种可包括高反应性物种,其与低反应性载体气体经由孔口一起输送。如上文所指出,可减少离子物种穿过孔的迁移,并且在一些情况下,可完全抑制离子物种穿过孔的迁移。控制穿过离子抑制器223的离子物种量可有利地提供对接触下方晶片基板的气体混合物的加大控制,从而又可加大对气体混合物的沉积和/或蚀刻特性的控制。举例而言,调整气体混合物的离子浓度可显著改变其蚀刻选择性,例如SiNx:SiOx蚀刻比、Si:SiOx蚀刻比等。在其中执行沉积的替代实施例中,调整气体混合物的离子浓度也可调整对于介电材料的保形与流动式沉积的平衡。
离子抑制器223中的多个孔口可经配置以控制活化气体(即离子、自由基和/或中性物种)通过离子抑制器223。举例而言,可控制孔的深宽比或孔直径与长度的比和/或孔的几何形状,使得减少活化气体中离子带电物种通过离子抑制器223的流动。离子抑制器223中的孔可包括面向等离子体激发区域215的梯形部分和面向喷头225的圆柱形部分。可调整圆柱形部分的形状和尺寸以控制离子物种向喷头225的流动。还可对离子抑制器223施加可调整的电偏压,作为额外的手段以控制离子物种穿过抑制器的流动。
离子抑制器223可以用于减少或消除从等离子体产生区域向基板行进的离子带电物种的量。不带电的中性物种和自由基物种仍可通过离子抑制器中的开口以与基板反应。应指出在实施例中可能不执行完全消除围绕基板的反应区域中的离子带电物种。在某些情况下,预期离子物种到达基板,以便执行蚀刻和/或沉积工艺。在这些情况下,离子抑制器可有助于将反应区域中的离子物种浓度控制于有助于工艺的水平。
喷头225与离子抑制器223结合可以允许第一等离子体区域215中存在的等离子体以避免直接激发基板处理区域233中的气体,同时仍允许激发物种从腔室等离子体区域215行进至基板处理区域233中。以此方式,腔室可经配置以阻止等离子体接触正在蚀刻的基板255。这可有利地保护基板上图案化的多个复杂的结构和膜,如果直接接触所产生的等离子体,所述结构和膜可能损坏、脱位或以其他方式翘曲。另外,当允许等离子体接触基板或接近基板水平时,氧化物物种蚀刻的速率可提高。因此,如果材料的暴露区域为氧化物,则可通过将等离子体维持在基板远端,来进一步保护此材料。
处理系统可进一步包括与处理腔室电耦接的功率供应240,功率供应240向面板217、离子抑制器223、喷头225和/或底座265提供电功率以在第一等离子体区域215或者处理区域233中产生等离子体。功率供应可经配置以取决于所执行的工艺来向腔室输送可调整的功率量。这样的配置可允许在所执行的工艺中使用可调的等离子体。与通常具有开或关功能的远程等离子体单元不同,可配置可调等离子体以向等离子体区域215输送特定的功率量。这继而可允许发展特定的等离子体特性,使得以特定的方式解离前驱物来增强由这些前驱物产生的蚀刻轮廓。
可在高于喷头225的腔室等离子体区域215中或在低于喷头225的基板处理区域233中点燃等离子体。等离子体可存在于腔室等离子体区域215中,以从(例如)含氟前驱物或其他前驱物的流入来产生自由基前驱物。可在处理腔室的导电顶部部分(诸如面板217)与喷头225和/或离子抑制器223之间施加通常在射频(radio frequency;RF)范围中的AC电压,以在沉积期间点燃腔室等离子体区域215中的等离子体。RF功率供应可产生13.56Mhz的高RF频率,但也可独立地或与13.56MHz频率结合产生其他频率。
图2B示出影响穿过面板217的处理气体分配的特征的详细视图253。如图2A和图2B所示,面板217、冷却板203和气体入口组件205相交,以界定气体供应区域258,可将工艺气体从气体入口205输送至所述气体供应区域258中。气体可填充气体供应区域258,并且穿过面板217中的孔口259流至第一等离子体区域215。孔口259可经配置以基本上单向的方式引导流动,使得工艺气体可流至处理区域233中,但在横越面板217后,可部分地或完全阻止工艺气体回流至气体供应区域258中。
用于处理腔室部分200中的气体分配组件(例如喷头225)可称为双通道喷头(dualchannel showerhead;DCSH),并且图3所描述的实施例对此详细地描述。双通道喷头可提供用于蚀刻工艺,其允许分离处理区域233之外的蚀刻剂以在将蚀刻剂输送至处理区域中之前提供与腔室部件和彼此的有限的相互作用。
喷头225可包含上板214和下板216。可使所述板彼此耦接以界定板之间的容积218。板的耦接可用于提供穿过上板和下板的第一流体通道219、和穿过下板216的第二流体通道221。所形成的通道可经配置以使流体仅经由第二流体通道221穿过下板216从容积218进入,并且第一流体通道219可同板与第二流体通道221之间的容积218流体地隔离。可穿过喷头225的一侧流体地进入容积218。
图3是根据实施例的用于与处理腔室一起使用的喷头325的底视图。喷头325可对应于图2A所示的喷头225。通孔365(其示出第一流体通道219的视图)可具有多个形状和配置,以便控制并影响前驱物穿过喷头225的流动。小孔375(其示出第二流体通道221的视图)可基本上均匀地分布于喷头的表面之上,甚至分布于通孔365中,并且可以相比于其他配置有助于在前驱物离开喷头时提供前驱物的更均匀的混合。
可使用前文所讨论的腔室执行包括蚀刻方法的示例性方法。转至图4,其示出根据本技术的实施例的方法400中的示例性操作。方法400在方法起始之前包括一个或多个操作,包括前段处理、沉积、栅极形成、蚀刻、抛光、清洁或可在所描述操作之前执行的任何其他操作。方法可包括数个可选的操作,所述操作可与根据本技术的方法的一些实施例具体相关联或不相关联。举例而言,描述操作中的许多个以便提供所执行的工艺的更广泛范围,但这并非技术的关键,或可通过下文将进一步讨论的替代方法来执行所述操作。方法400可描述图5A至图5B所示意性地示出的操作,将结合方法400的操作来描述图5A至图5B。应理解附图仅示出部分示意图,并且基板可包含任何数量的其他材料和特征,其具有图中所说明的各种特性和方面。
方法400可能涉及或不涉及可选的操作以使半导体结构发展至特定的制造操作。应理解可在如图5A所示的任何数量的半导体结构或基板505上执行方法400,半导体结构或基板505包括示例性结构,可在所述示例性结构上执行氧化物移除操作。示例性半导体结构可包括沟槽、通孔或可包括一种或多种暴露材料的其他凹陷特征。举例而言,示例性基板可含有硅或某一其他半导体基板材料以及层间介电材料,可穿过层间介电材料形成凹部、沟槽、通孔或隔离结构。蚀刻工艺期间任何时刻的暴露材料可为或包括金属材料,诸如栅极、介电材料、接触材料、晶体管材料或可用于半导体工艺中的任何其他材料。在一些实施例中,示例性基板可包括含铝材料515,诸如氧化铝或某一其他含铝介电质。相对于一种或多种包括金属的其他材料510、包括氧化硅或氮化硅的其他介电质或数种其他半导体材料(相对于对其移除含铝材料,诸如钛、钽的氮化物或其他材料)中的任一者,可暴露含铝材料。
应理解所指出的结构不意欲是限制性的,并且类似地包含数种其他半导体结构(包括含铝材料)中的任一者。其他示例性结构可包括半导体生产中常见的二维结构和三维结构,并且其中相对于一种或多种其他材料移除诸如氧化铝之类的含铝材料,这是因为本技术可相对于其他暴露材料(诸如含硅材料或本文其他地方所讨论的其他材料中的任一者)选择性地移除含铝材料。另外,虽然高深宽比结构可从本技术受益,但本技术可以等效地应用于低深宽比和其他结构。
举例而言,根据本技术的材料层的特征可在于结构的任何深宽比或高度宽度比,但在一些实施例中,材料的特征可在于更大的深宽比,这可能不允许使用常规的技术或方法来充分蚀刻。举例而言,在一些实施例中,示例性结构的任何层的深宽比可大于或约为10:1,大于或约为20:1,大于或约为30:1,大于或约为40:1,大于或约为50:1或更大。另外,每一层的特征可在于较小的宽度或厚度,其小于或约为100nm,小于或约为80nm,小于或约为60nm,小于或约为50nm,小于或约为40nm,小于或约为30nm,小于或约为20nm,小于或约为10nm,小于或约为50nm,小于或约为1nm或更小,包括所叙述的数字中的任意个的任何分数,诸如20.5nm、1.5nm等。这种高深宽比和最小厚度的组合对于许多常规的蚀刻操作可具有挑战性,或需要非常长的蚀刻时间来移除层,沿垂直或水平距离穿过有限的宽度。此外,常规的技术也可能发生损坏或移除其他暴露层。
在实施例中可执行方法400以移除暴露的含铝材料,但在本技术的实施例中,可在任何数量的结构中移除任何数量的氧化物或含铝材料。方法可包括用于移除含铝材料的具体操作,并且可包括用于制备或处理含铝材料的一个或多个可选的操作。举例而言,示例性基板结构可在待移除的膜上具有先前的处理残留物,诸如氧化铝。举例而言,先前处理的残留光刻胶或副产物可留在氧化铝层上。这些材料可阻止氧化铝的进入,或可与清洁的氧化铝表面不同地与蚀刻剂发生相互作用,这可使蚀刻的一个或多个方面受阻。因此,在一些实施例中,在可选的操作405可发生含铝膜或材料的可选的预处理。示例性预处理操作可包括热处理、湿处理或等离子体处理,例如其可在腔室200以及上文所描述的系统100上可包括的任何数量的腔室中执行。
在一个示例性等离子体处理中,可从意欲以一种或多种方式与残留物相互作用的前驱物中发展远程等离子体或局部等离子体。举例而言,使用诸如上文所描述的腔室200之类的腔室,可从一种或多种前驱物产生远程或局部等离子体。举例而言,可使含氧前驱物、含氢前驱物、含氮前驱物、含氦前驱物或某一其他前驱物流至远程等离子体区域或处理区域中,其中可碰到等离子体。可使等离子体流出物流至基板,并且等离子体流出物可接触残留的材料。取决于将移除以暴露含铝材料的材料,等离子体工艺可为物理工艺或化学工艺。举例而言,等离子体流出物可流动以接触并物理移除残留物(诸如通过溅射操作),或前驱物可流动以与残留物相互作用以产生挥发性副产物,可从腔室移除挥发性副产物。
预处理中使用的示例性前驱物可为或包括氢、烃、水蒸汽、醇、过氧化氢或本领域技术人员将理解的包括氢的任何材料。示例性含氧前驱物可包括分子氧、臭氧、氧化亚氮、一氧化氮或其他含氧材料。还可使用氮气,或可使用具有氢、氧和/或氮中的一者或多者的组合前驱物来移除特定的残留物。一旦移除残留物或副产物,则可暴露清洁的氧化铝表面以用于蚀刻。
方法400可包括在操作410处使包括第一含卤素前驱物的含卤素前驱物流至容纳所描述基板或某一其他基板的半导体处理腔室的基板处理区域中。可使含卤素前驱物流动穿过处理腔室的远程等离子体区域,例如上文所描述的区域215,但在一些实施例中,方法400在蚀刻操作期间可能不使用等离子体流出物。举例而言,方法400可在前驱物不暴露于等离子体的情况下使含氟或其他含卤素前驱物流至基板,并且可在不产生等离子体流出物的情况下执行含铝材料的移除。在一些实施例中,可等离子体增强含卤素前驱物,这可发生于远程等离子体区域中以保护基板上的材料,使其不接触等离子体流出物。含卤素前驱物可接触包括暴露含铝材料的半导体基板,并且可产生氟化材料,诸如氟化铝或卤化铝材料,所述氟化材料可保留在半导体基板上。在一些实施例中,含卤素前驱物可施与一个或多个氟原子,同时接受一个或多个氧原子。诸如等离子体增强前驱物之类的一些含卤素前驱物可提供氟自由基,而其他等离子体自由基可从膜接受氧。
在氟化操作之后,可在操作415处使蚀刻剂前驱物流至处理区域中。在一些实施例中,蚀刻剂前驱物可为第二含卤素前驱物,并且可包括与第一含卤素前驱物相同或不同的卤素。蚀刻剂前驱物可进一步替代以产生铝副产物,其在处理条件下可为挥发性的,并且可从基板离析。因此,如图5B所示,在操作420处蚀刻剂前驱物可蚀刻或移除铝材料。
如上文所指出,在蚀刻操作410至420中,可在无等离子体发展的情况下执行本技术。通过使用特定的前驱物且在某些工艺条件下执行蚀刻,可执行无等离子体移除,并且移除还可为干法蚀刻。因此,可执行根据本技术方面的技术以从窄特征移除氧化铝和高深宽比特征,以及可能在其他情况下不适合于湿法蚀刻的薄尺寸。可执行可选的操作以清除基板或腔室的残留物,并且可在可选的操作425处包括后处理。后处理可包括与预处理类似的操作,并且可包括上文关于预处理所讨论的前驱物或操作中的任一者。在一些实施例中,后处理可从基板或腔室清除残留过渡金属。应理解虽然预处理和/或后处理操作可包括等离子体产生和将等离子体流出物输送至基板,但在蚀刻操作期间可能不形成等离子体。举例而言,在一些实施例中,当将含卤素前驱物或前驱物输送至处理腔室时,不产生等离子体。另外,在一些实施例中,蚀刻前驱物在一些实施例中可为无氢的,并且蚀刻方法在蚀刻期间可能不包括含氢前驱物,但在可选的预处理或后处理操作中的任一者或两者期间可使用含氢前驱物。
两步骤操作中的任一者期间的前驱物可包括含卤素前驱物,并且在一些实施例中可包括氟或氯中的一者或多者。具体的前驱物可基于前驱物的键结或稳定性。举例而言,在一些实施例中,第一含卤素前驱物可包括过渡金属和/或特征在于特定的气体密度。过渡金属可包括能够与卤素键结并且可在上文讨论的操作条件下解离的任何过渡金属。示例性过渡金属可包括钨、铌或任何其他材料,并且可包括含过渡金属和卤素的前驱物,所述含过渡金属和卤素的前驱物的特征可在于大于或约为3g/L的气体密度,并且特征可在于大于或约为4g/L、大于或约为5g/L、大于或约为6g/L、大于或约为7g/L、大于或约为8g/L、大于或约为9g/L、大于或约为10g/L、大于或约为11g/L、大于或约为12g/L、大于或约为13g/L或更高的气体密度。
由于重金属与卤素之间的键结性质,这些前驱物的特征可在于相对高的热和化学稳定性。前驱物的特征还可在于过渡金属,过渡金属的特征在于相对低的电阻率,其可在较低的温度下进一步促进键结稳定性,并且在较高的温度下容易地解离。因此,材料的特征可在于小于或约为50μQ·cm的电阻率,并且特征可在于小于或约为40μQ·cm、小于或约为30μQ·cm、小于或约为20μQ·cm、小于或约为15μQ·cm、小于或约为10μQ·cm、小于或约为5μQ·cm或更小的电阻率。前驱物还可包括任何数量的载体气体,其可包括氮、氦、氩,或其他稀有、惰性或有用的前驱物。
包括所叙述的特性的一些示例性前驱物可包括六氟化钨、五氯化钨、四氯化铌或其他过渡金属卤化物以及包括氟化氢、三氟化氮或任何有机氟化物的其他卤化物。还可在各种组合中使前驱物一起流动。在一些实施例中,可用氢和增强等离子体来使三氟化氮或某一其他含氟前驱物输送至远程等离子体区域,以在第一操作中产生铝的氟化表面。根据本技术的一些实施例的蚀刻剂前驱物可具体包括重金属卤化物,其特征可以在于在大气条件下具有稳定性,其中在增高的温度下相对容易地解离。举例而言,示例性前驱物的特征可在于在较高的温度下相对弱的键结,这可允许控制氧化铝对卤素蚀刻剂的暴露。
作为非限制性示例,六氟化钨可在较高的温度下容易地施与一个或两个氟原子,并且诸如从氧化铝接受氧原子,并且在气相中维持。因此,氧氟化钨可发展为反应副产物,其可为气体分子,并且可从处理腔室将其抽出或移除。由于氟化铝可不具有挥发性,因此可使用含氯前驱物、含溴前驱物或含碘前驱物(其中任一者可包括硼、钛、锡、钼、钨或铌)施与铝、溴或碘,并且在相同的温度下接受氟。虽然相对于氧化铝,氯、溴或碘可能不容易施与,但材料可施与至氟化铝,而蚀刻剂前驱物可接受氟,产生包括氯化铝的两种挥发性成分,其可从处理腔室排出。因此,工艺可在处理条件下移除氧化铝,所述处理条件经配置以在蚀刻剂与暴露表面之间交换氟与氧,随后用氟交换氯,并且产生挥发性的铝副产物,并将大部分钨和蚀刻剂前驱物维持为蒸气形式。因此,本技术类似地包含的钨和其他重金属与工艺的相互作用有限或基本上无相互作用,同时将卤素输送至待蚀刻的材料。由于输送受控,因此氧化钨和其他金属卤化物可能不蚀刻或与其他暴露表面发生最低程度的相互作用,同时容易地移除氧化铝,这相对于常规技术可产生增强的选择性。
处理条件可影响并促进根据本技术的蚀刻。由于蚀刻反应可基于从过渡金属热解离卤素而进行,因此温度可至少部分地取决于前驱物的特定卤素和/或过渡金属,从而引发解离。如所示,当温度高于或约为300℃,蚀刻开始发生或增加,这可指示前驱物的解离和/或激发与氧化铝的反应。当温度继续增加,可以进一步促进解离,与氧化铝的反应也如此。
因此,在本技术的一些实施例中,可在高于或约为300℃的基板、底座和/或腔室温度下执行蚀刻方法,并且可在高于或约为350℃、高于或约为400℃、高于或约为450℃、高于或约为500℃或更高的温度下执行蚀刻方法。还可将温度维持在这些范围、这些范围所包含的更小范围或这些范围中的任一者之间内的任何温度。在一些实施例中,可对可具有多个所产生特征的基板执行方法,这可产生热预算。因此,在一些实施例中,可在低于或约为800℃的温度下的温度下执行方法,并且可在低于或约为750℃、低于或约为700℃、低于或约为650℃、低于或约为600℃、低于或约为550℃、低于或约为500℃或更低的温度下执行方法。
腔室内的温度还可影响所执行的操作,以及影响卤素从过渡金属中解离的温度。因此,在一些实施例中,可将压力维持为低于约为50托、低于或约为40托、低于或约为30托、低于或约为25托、低于或约为20托、低于或约为15托、低于或约为10托、低于或约为9托、低于或约为8托、低于或约为7托、低于或约为6托、低于或约为5托、低于或约为4托、低于或约为3托、低于或约为2托、低于或约为1托、低于或约为0.1托或更低。还可将压力维持在这些范围、这些范围包含的更小范围或这些范围中的任一者之间内的任何压力。在一些实施例中,可促进蚀刻量,并且当压力增大至高于约为1托时,蚀刻开始。另外,当压力继续增大,蚀刻可增加至某一点,随后开始减少,并且最终当压力继续增大时停止。
不受限于任何特定的理论,腔室内的压力可影响用上文所描述的前驱物的处理。在低压力下,可减少基板上的流动,并且可类似地减少解离。当压力增大,蚀刻剂前驱物与基板之间的相互作用可增加,这可增加反应和蚀刻速率。然而,当压力继续增大,由于分子的相对稳定性,解离的卤素原子与重金属碱的重组可增加。因此,可在不与基板反应的情况下有效地将前驱物回抽出腔室。另外,当压力继续增大,可抑制与氧化铝表面的相互作用,或可将副产物氟化铝重新引入至所蚀刻的膜,进一步限制移除。因此,在一些实施例中,可在一些实施例中将处理腔室内的压力维持在低于或约为10托。
可调整(包括原位调整)含卤素前驱物的流动速率以控制蚀刻工艺。举例而言,在移除操作期间可降低、维持或增加含卤素前驱物的流动速率。通过增加含卤素前驱物的流动速率,可将蚀刻速率增加至饱和点。在方法400的操作中的任一者期间,含氟前驱物的流动速率可在约5sccm与约1000sccm之间。另外,可将含卤素前驱物的流动速率维持在低于或约为900sccm、低于或约为800sccm、低于或约为700sccm、低于或约为600sccm、低于或约为500sccm、低于或约为400sccm、低于或约为300sccm、低于或约为200sccm、低于或约为100sccm或更小。流动速率还可在这些所叙述的流动速率中的任一者之间,或在由这些数字中的任一者所包含的更小的范围内。
为进一步加大对蚀刻速度的控制,在一些实施例中可脉冲输入含卤素前驱物,并且可在蚀刻工艺中连续地或以一系列脉冲输送含卤素前驱物,所述一系列脉冲可为持续的或随时间变化。脉冲输送的特征可在于含卤素前驱物流动的第一时间段,和含卤素前驱物暂停或停止的第二时间段。任何脉冲操作的时间段可彼此相同或彼此不同,其中任一时间段都可以更长。在实施例中,可执行时间段或前驱物连续流动达大于或约为1秒,大于或约为2秒,大于或约为3秒,大于或约为4秒,大于或约为5秒,大于或约为6秒,大于或约为7秒,大于或约为8秒,大于或约为9秒,大于或约为10秒,大于或约为11秒,大于或约为12秒,大于或约为13秒,大于或约为14秒,大于或约为15秒,大于或约为20秒,大于或约为30秒,大于或约为45秒,大于或约为60秒或更长的时间段。时间还可为这些范围中的任一者所包含的任何更小的范围。在一些实施例中,当输送前驱物发生达更长的时间段,蚀刻速率可增加。
通过执行根据本技术的实施例的操作,可相对于包含其他氧化物的其他材料而选择性地蚀刻氧化铝或其他含铝材料。举例而言,相对于金属、包括含硅材料(包括氧化硅)的介电质或其他材料的暴露区域,本技术可选择性地蚀刻氧化铝。本技术的实施例可按至少约20:1的速率相对于氧化硅或其他材料中的任一者而蚀刻氧化铝,并且可按大于或约为25:1、大于或约为30:1、大于或约为50:1、大于或约为100:1、大于或约为150:1、大于或约为200:1、大于或约为250:1、大于或约为300:1、大于或约为350:1、大于或约为400:1、大于或约为450:1、大于或约为500:1或更高的选择性相对于氧化硅或所指出的其他材料而蚀刻氧化铝。举例而言,根据本技术的一些实施例执行的蚀刻可蚀刻氧化铝,同时基本上或大体上维持氧化硅或其他材料,诸如硅、钛、钽或其他材料的氮化物。
选择性可部分地基于所使用的前驱物以及在更受控的温度范围内解离的能力。举例而言,常规的前驱物(包括三氟化氮)在操作压力下在低于或约为500℃的温度下可能无法容易地解离,并且其特征还可在于与待移除材料的较慢反应速率,这可增加基板上其他材料的暴露时间,并且可增加这些材料的移除。因此,常规的干法蚀刻剂可能不能产生本技术的实施例的蚀刻选择性。类似地,由于湿法蚀刻剂容易地移除氧化硅,因此湿法蚀刻剂也可能不能在与本技术的实施例可比较的速率下选择性地蚀刻。
前文所讨论的方法可允许相对于数个其他暴露材料移除含铝材料。通过使用如前文描述的过渡金属,可执行改进的氧化铝蚀刻,这相比于常规技术可提高选择性,以及改进对小间距特征中的凹部的蚀刻。
在前文的描述中,出于解释的目的,已阐述许多细节,以便理解本技术的各个实施例。然而,对于本领域技术人员将明显的是,可在没有这些细节中的一些或存在其他细节的情况下实践某些实施例。
虽然已公开若干实施例,但本领域技术人员应了解可在不脱离实施例的精神的情况下使用修改、替代构造和等效物。另外,未描述许多已知的工艺和要素,以便避免不必要地使本技术难以理解。因此,不应认为以上描述限制本技术的范围。另外,本文按顺序或按步骤描述方法或工艺,但应理解可同时执行操作或按与所列出的次序不同的次序执行操作。
在提供数值范围的情况下,应理解除非上下文另有明确规定,还具体地公开此范围的上限与下限之间的小至下限单位最小分数的每一中介值。涵盖所叙述的范围中的任何所叙述的值或未叙述的中介值与任何其他所叙述的或中介值之间的任何较窄的范围。那些较小范围的上限和下限可独立地包括于范围或从范围中排除,并且本技术内还涵盖每一范围(其中上限和下限均不包括于较小的范围中,或其中一者或两者均包括于较小的范围中),每一范围受到所叙述的范围中的具体排除的限值的限制。在所叙述的范围包括限值中的一者或两者的情形下,还包括排除那些所包括的限值中的一者或两者的范围。
如本文和所附的权利要求中所使用,除非上下文另有明确指出,单数形式的“一(a)”、“一(an)”和“所述”包括复数的提法。由此,举例而言,提及“一前驱物”包括多个此类前驱物,提及“一层”包括提及本领域技术人员已知的一个或多个层和其等效物,诸如此类。
此外,当用于本说明书和所附的权利要求书中时,词语“包含(comprise(s))”、“包含(comprising)”、“含有(contain(s))”、“含有(containing)”、“包括(include(s))”和“包括(include(s))”意欲指明所叙述的特征、整数、部件或操作的存在,但其不排除存在或添加一个或多个其他特征、整数、部件、操作、行为或群组。

Claims (20)

1.一种蚀刻方法,所述蚀刻方法包含:
使含卤素前驱物流至半导体处理腔室的基板处理区域中,其中所述含卤素前驱物的特征在于大于或约为5g/L的气体密度;
用所述含卤素前驱物接触所述基板处理区域中容纳的基板,其中所述基板界定含铝材料的暴露区域,并且其中所述接触产生卤化铝材料;
使蚀刻剂前驱物流至所述基板处理区域中;
用所述蚀刻剂前驱物接触所述卤化铝材料;以及
移除所述卤化铝材料。
2.如权利要求1所述的蚀刻方法,其中所述含卤素前驱物包含过渡金属,并且其中所述蚀刻剂前驱物包含含氯前驱物。
3.如权利要求2所述的蚀刻方法,其中所述含卤素前驱物包含钨或铌。
4.如权利要求1所述的蚀刻方法,其中所述含铝材料包含氧化铝。
5.如权利要求1所述的蚀刻方法,其中所述蚀刻方法包含无等离子体蚀刻工艺。
6.如权利要求1所述的蚀刻方法,其中在大于或约为300℃的温度下执行所述蚀刻方法。
7.如权利要求1所述的蚀刻方法,其中在大于或约为0.1托的压力下执行所述蚀刻方法。
8.如权利要求7所述的蚀刻方法,其中在小于或约为50托的压力下执行所述蚀刻方法。
9.如权利要求1所述的蚀刻方法,所述蚀刻方法进一步包含:在使所述含卤素前驱物流动之前执行预处理,其中所述预处理包含:用包含氧、氢或氮中的一者或多者的等离子体接触所述基板。
10.如权利要求1所述的蚀刻方法,所述蚀刻方法进一步包含:在所述蚀刻方法之后执行后处理,其中所述后处理包含:用包含氧、氢或氮中的一者或多者的等离子体接触所述基板。
11.一种蚀刻方法,所述蚀刻方法包含:
形成包含氧、氢或氮中的一者或多者的处理前驱物的等离子体,以产生处理等离子体流出物;
使所述处理等离子体流出物流至半导体处理腔室的基板处理区域中;
用所述处理等离子体流出物接触所述基板处理区域中容纳的基板,其中所述基板界定含铝材料的暴露区域,并且其中所述处理等离子体流出物经配置以从所述含铝材料的表面移除残留物;
使第一含卤素材料流至所述半导体处理腔室的所述基板处理区域中;
用所述第一含卤素材料接触所述基板;
使第二含卤素前驱物流至所述半导体处理腔室的所述基板处理区域中;以及
移除所述含铝材料。
12.如权利要求11所述的蚀刻方法,其中所述第一含卤素材料包含钨或铌或含氟前驱物的等离子体流出物,并且其中所述第二含卤素前驱物包含三氯化硼。
13.如权利要求11所述的蚀刻方法,所述蚀刻方法进一步包含:在使所述第一含卤素前驱物流动之前停止所述等离子体形成。
14.如权利要求11所述的蚀刻方法,其中在大于或约为300℃的温度下执行所述蚀刻方法。
15.如权利要求11所述的蚀刻方法,其中在大于或约为0.1托的压力下执行所述蚀刻方法。
16.如权利要求11所述的蚀刻方法,所述蚀刻方法进一步包含:在所述蚀刻方法之后执行后处理,其中所述后处理包含:用包含氧、氢或氮中的一者或多者的等离子体接触所述基板。
17.一种蚀刻方法,所述蚀刻方法包含:
使含氟前驱物流至半导体处理腔室的基板处理区域中,其中所述含氟前驱物的特征在于大于或约为5g/L的气体密度;
用所述含氟前驱物接触所述基板处理区域中容纳的基板,其中所述基板界定含铝材料的暴露区域;
使含氯前驱物流至所述半导体处理腔室的所述基板处理区域中;
用所述含氯前驱物接触所述基板;
移除所述含铝材料;
形成包含氧、氢或氮中的一者或多者的处理前驱物的等离子体,以产生处理等离子体流出物;以及
用所述处理等离子体流出物接触基板。
18.如权利要求17所述的蚀刻方法,其中所述含氟前驱物包含钨或铌,并且其中所述含氯前驱物包含硼。
19.如权利要求18所述的蚀刻方法,其中所述处理等离子体流出物经配置以从所述基板或所述半导体处理腔室中的一者或多者移除残留的钨或铌。
20.如权利要求17所述的蚀刻方法,其中在大于或约为300℃的温度下并在大于或约为0.1托的压力下执行所述蚀刻方法。
CN202180032187.1A 2020-09-11 2021-09-07 用于移除含铝膜的系统和方法 Pending CN115485821A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US17/018,229 2020-09-11
US17/018,229 US11062921B1 (en) 2020-09-11 2020-09-11 Systems and methods for aluminum-containing film removal
PCT/US2021/049276 WO2022055876A1 (en) 2020-09-11 2021-09-07 Systems and methods for aluminum-containing film removal

Publications (1)

Publication Number Publication Date
CN115485821A true CN115485821A (zh) 2022-12-16

Family

ID=76764699

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202180032187.1A Pending CN115485821A (zh) 2020-09-11 2021-09-07 用于移除含铝膜的系统和方法

Country Status (6)

Country Link
US (1) US11062921B1 (zh)
JP (1) JP2023529534A (zh)
KR (1) KR20220154787A (zh)
CN (1) CN115485821A (zh)
TW (1) TWI781757B (zh)
WO (1) WO2022055876A1 (zh)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11062921B1 (en) * 2020-09-11 2021-07-13 Applied Materials, Inc. Systems and methods for aluminum-containing film removal
US11631589B2 (en) * 2021-05-04 2023-04-18 Applied Materials, Inc. Metal etch in high aspect-ratio features
US20230015080A1 (en) * 2021-07-15 2023-01-19 Applied Materials, Inc. Metal oxide directional removal

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS59189633A (ja) * 1983-04-13 1984-10-27 Fujitsu Ltd 半導体装置の製造方法
US7270761B2 (en) * 2002-10-18 2007-09-18 Appleid Materials, Inc Fluorine free integrated process for etching aluminum including chamber dry clean
KR20060116482A (ko) * 2005-05-10 2006-11-15 삼성전자주식회사 반도체 제조 장치의 세정방법
US8808562B2 (en) * 2011-09-12 2014-08-19 Tokyo Electron Limited Dry metal etching method
US9299583B1 (en) * 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
WO2016100873A1 (en) * 2014-12-18 2016-06-23 The Regents Of The University Of Colorado, A Body Corporate Novel methods of atomic layer etching (ale) using sequential, self-limiting thermal reactions
WO2017213842A2 (en) * 2016-05-23 2017-12-14 The Regents Of The University Of Colorado, A Body Corporate Enhancement of thermal atomic layer etching
US11062921B1 (en) * 2020-09-11 2021-07-13 Applied Materials, Inc. Systems and methods for aluminum-containing film removal

Also Published As

Publication number Publication date
KR20220154787A (ko) 2022-11-22
TW202217959A (zh) 2022-05-01
TWI781757B (zh) 2022-10-21
WO2022055876A1 (en) 2022-03-17
JP2023529534A (ja) 2023-07-11
US11062921B1 (en) 2021-07-13

Similar Documents

Publication Publication Date Title
US10770346B2 (en) Selective cobalt removal for bottom up gapfill
US10049891B1 (en) Selective in situ cobalt residue removal
TWI781757B (zh) 用於移除含鋁薄膜之系統及方法
JP7401593B2 (ja) 空隙を形成するためのシステム及び方法
US11121002B2 (en) Systems and methods for etching metals and metal derivatives
US20220351979A1 (en) Systems and methods for selective metal compound removal
US11728177B2 (en) Systems and methods for nitride-containing film removal
US11682560B2 (en) Systems and methods for hafnium-containing film removal
US10872778B2 (en) Systems and methods utilizing solid-phase etchants
US10256112B1 (en) Selective tungsten removal
US11488835B2 (en) Systems and methods for tungsten-containing film removal
CN111819669B (zh) 形成气隙的系统及方法
JP7483933B2 (ja) 窒化物含有膜除去のためのシステム及び方法
TWI837885B (zh) 高深寬比特徵中的金屬沉積及蝕刻
US11984325B2 (en) Selective removal of transition metal nitride materials
US20230010978A1 (en) Selective removal of transition metal nitride materials

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination