KR20230134554A - 펄스형 hfrf(high-frequency radio-frequency) 플라즈마를사용한 갭 충전 프로세스 - Google Patents

펄스형 hfrf(high-frequency radio-frequency) 플라즈마를사용한 갭 충전 프로세스 Download PDF

Info

Publication number
KR20230134554A
KR20230134554A KR1020237028186A KR20237028186A KR20230134554A KR 20230134554 A KR20230134554 A KR 20230134554A KR 1020237028186 A KR1020237028186 A KR 1020237028186A KR 20237028186 A KR20237028186 A KR 20237028186A KR 20230134554 A KR20230134554 A KR 20230134554A
Authority
KR
South Korea
Prior art keywords
hfrf
sccm
gap filling
ranging
substrate
Prior art date
Application number
KR1020237028186A
Other languages
English (en)
Inventor
아이쿠트 아이딘
루이 청
스시 장
카르틱 야나키라만
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20230134554A publication Critical patent/KR20230134554A/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32055Deposition of semiconductive layers, e.g. poly - or amorphous silicon layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76837Filling up the space between adjacent conductive structures; Gap-filling properties of dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Abstract

기판 표면의 피처들을 갭 충전하기 위한 방법들이 설명된다. 피처들 각각은 기판 표면으로부터 기판 내로 일정 거리만큼 연장되고 최하부 및 적어도 하나의 측벽을 갖는다. 방법들은 복수의 HFRF(high-frequency ratio-frequency) 펄스들로 기판 표면의 피처에 비-등각성 막을 증착하는 단계를 포함한다. 비-등각성 막은 적어도 하나의 측벽보다 피처들의 최하부 상에서 더 큰 두께를 갖는다. 증착된 막은 실질적으로 피처의 측벽들로부터 에칭된다. 피처들을 충전하기 위해 증착 및 에칭 프로세스들이 반복된다.

Description

펄스형 HFRF(HIGH-FREQUENCY RADIO-FREQUENCY) 플라즈마를 사용한 갭 충전 프로세스
[0001] 본 개시내용은 일반적으로 갭 충전(gapfill)을 위한 방법들에 관한 것이다. 특히, 본 개시내용은 펄스형 HFRF(high-frequency radio-frequency) 플라즈마를 사용하여 갭을 충전하기 위한 프로세스들에 관한 것이다.
[0002] 마이크로전자 디바이스 제작에서, 다수의 애플리케이션들에 대해, 10:1 초과의 AR(aspect ratio)들을 갖는 좁은 트렌치들을 공극(void) 없이 충전할 필요가 있다. 하나의 애플리케이션은 STI(shallow trench isolation)에 대한 것이다. 이 애플리케이션의 경우, 막은 매우 낮은 누설로 트렌치 전체에 걸쳐 높은 품질(예컨대, 2 미만의 습식 에칭 레이트 비를 가짐)로 이루어질 필요가 있다. 과거에 성공을 거둔 하나의 방법은 유동성 CVD이다. 이 방법에서, 올리고머(oligomer)들이 가스 상으로 신중하게 형성되고, 이들은 표면 상에 응축된 후에, 트렌치들 내로 "유동"한다. 증착-직후의(as-deposited) 막은 매우 불량한 품질로 이루어지고, 프로세싱 단계들, 이를테면 스팀 어닐링들 및 UV-경화들을 요구한다.
[0003] 구조들의 치수들이 감소되고 종횡비들이 증가됨에 따라, 증착 직후의 유동성 막들의 사후 경화 방법들이 어려워지게 된다. 결과로, 충전된 트렌치 전체에 걸쳐 변동하는 조성을 갖는 막들이 초래된다.
[0004] 비정질 실리콘은 반도체 제작 프로세스들에서 희생 층으로서 광범위하게 사용되어 왔는데, 이는 비정질 실리콘이 다른 막들(예컨대, 실리콘 산화물, 비정질 탄소 등)에 대하여 양호한 에칭 선택성을 제공할 수 있기 때문이다. 반도체 제작에서 CD(critical dimension)들이 감소됨에 따라, 고 종횡비 갭들을 충전하는 것은 진보된 웨이퍼 제작에 있어서 점점 더 민감해지게 된다. 현재의 금속 대체 게이트(metal replacement gate) 프로세스들은 퍼니스 폴리-실리콘(furnace poly-silicon) 또는 비정질 실리콘 더미 게이트(dummy gate)를 수반한다. 프로세스의 성질로 인해, Si 더미 게이트의 중간에 시임(seam)이 형성된다. 이 시임은 사후 프로세스 동안에 벌어질 수 있고, 구조 장애를 야기할 수 있다.
[0005] 비정질 실리콘(a-Si)의 종래의 PECVD(plasma-enhanced chemical vapor deposition)는 좁은 트렌치들의 최상부 상에 "머시룸 형상(mushroom shape)" 막을 형성한다. 이는 플라즈마가 깊은 트렌치들 내로 침투하는 것이 가능하지 않기 때문이다. 좁은 트렌치를 최상부로부터 핀치-오프(pinch-off)하는 것의 결과로, 트렌치의 최하부에 공극이 형성된다.
[0006] 종래의 열 CVD/퍼니스 프로세스들은 실리콘 전구체(예컨대, 실란, 디실란)의 열 분해를 통해 a-Si를 성장시킬 수 있다. 그러나, 부적절한 전구체 공급 또는 분해 부산물의 존재로 인해, 트렌치들의 최상부 상에서의 증착 레이트가 최하부에서의 증착 레이트와 비교하여 더 높게 된다. 좁은 시임 또는 공극이 트렌치에서 관찰될 수 있다.
[0007] 따라서, 무-시임(seam-free) 막 성장을 제공할 수 있는, 고 종횡비 구조들에서의 갭 충전을 위한 방법들이 필요하다.
[0008] 본 개시내용의 하나 이상의 실시예들은 갭 충전의 방법에 관한 것이다. 하나 이상의 실시예들에서, 방법은 비-등각성 막(non-conformal film)을 증착하기 위해 복수의 HFRF(high-frequency radio-frequency) 펄스들을 갖는 펄스형 HFRF 플라즈마를 포함하는 증착 프로세스에 기판 표면을 갖는 기판을 노출시키는 단계 ― 기판 표면은 기판 표면에 형성된 복수의 피처(feature)들을 갖고, 복수의 피처들 각각은 기판 표면으로부터 기판 내로 일정 거리만큼 연장되고 최하부 및 적어도 하나의 측벽을 갖고, 비-등각성 막은 적어도 하나의 측벽보다 피처들의 최하부 상에서 더 큰 두께를 가짐 ―; 및 피처들의 최하부로부터의 두께보다 피처들의 측벽들 상에서 비-등각성 막의 더 큰 두께를 에칭하기 위한 에칭 처리에 비-등각성 막을 노출시키는 단계를 포함한다.
[0009] 본 개시내용의 다른 실시예들은 갭 충전에 HFRF를 사용하는 방법에 관한 것이다. 하나 이상의 실시예들에서, 방법은 기판 표면을 갖는 기판을, 막을 증착하기 위해 2 Torr 압력에서 복수의 제1 HFRF 펄스들을 갖는 화학 기상 증착에 노출시키는 단계 ― 기판 표면은 기판 표면에 형성된 복수의 피처들을 갖고, 각각의 피처는 기판 표면으로부터 기판 내로 일정 거리만큼 연장되고 최하부 및 적어도 하나의 측벽을 가짐 ―; 및 2 Torr 내지 5 Torr 범위의 압력에서 복수의 제2 HFRF 펄스들로 기판을 처리함으로써 막을 에칭하는 단계를 포함한다.
[0010] 본 개시내용의 위에 열거된 특징들이 상세히 이해될 수 있는 방식으로, 앞서 간략히 요약된 본 개시내용의 보다 구체적인 설명이 실시예들을 참조로 하여 이루어질 수 있는데, 이러한 실시예들의 일부는 첨부된 도면들에 예시되어 있다. 그러나, 첨부된 도면들은 본 개시내용의 단지 전형적인 실시예들을 예시하는 것이므로 본 개시내용의 범위를 제한하는 것으로 간주되지 않아야 한다는 것이 주목되어야 하는데, 이는 본 개시내용이 다른 균등하게 유효한 실시예들을 허용할 수 있기 때문이다.
[0011] 도 1은 본 개시내용의 하나 이상의 실시예에 따른 기판 피처의 단면도를 도시한다.
[0012] 도 2는 본 개시내용의 하나 이상의 실시예에 따른 프로세스 흐름을 도시한다.
[0013] 도 3a 내지 도 3d는 본 개시내용의 하나 이상의 실시예들에 따른 갭 충전 프로세스의 개략적인 단면도들을 도시한다.
[0014] 본 개시내용의 여러 예시적인 실시예들을 설명하기 전에, 본 개시내용은 다음의 설명에서 제시되는 구성 또는 프로세스 단계들의 세부사항들에 제한되지 않는다는 것이 이해되어야 한다. 본 개시내용은 다른 실시예들이 가능하며, 다양한 방식들로 실시되거나 수행될 수 있다.
[0015] 본원에서 사용되는 "기판"은, 제작 프로세스 중에 막 프로세싱이 수행되는, 임의의 기판, 또는 기판 상에 형성된 재료 표면을 의미한다. 예컨대, 프로세싱이 수행될 수 있는 기판 표면은 애플리케이션에 따라, 실리콘, 실리콘 산화물, 변형된 실리콘(strained silicon), SOI(silicon on insulator), 탄소 도핑된 실리콘 산화물들, 비정질 실리콘, 도핑된 실리콘, 게르마늄, 갈륨 비소, 유리, 사파이어와 같은 재료들, 및 금속들, 금속 질화물들, 금속 합금들 및 다른 전도성 재료들과 같은 임의의 다른 재료들을 포함한다. 기판들은 제한 없이, 반도체 웨이퍼들을 포함한다. 기판들은 기판 표면을 연마, 에칭, 환원, 산화, 수산화, 어닐링, UV 경화, e-빔 경화 및/또는 베이크(bake)하기 위한 전처리 프로세스에 노출될 수 있다. 본 개시내용에서는, 기판의 표면 자체에 대해 직접 막을 프로세싱하는 것 외에도, 아래에서 보다 상세히 개시되는 바와 같이 기판 상에 형성된 하층에 대해서도, 개시된 막 프로세싱 단계들 중 임의의 단계가 또한 수행될 수 있으며, "기판 표면"이라는 용어는 맥락이 나타내는 것과 같은 그러한 하층을 포함하는 것으로 의도된다. 따라서 예컨대, 막/층 또는 부분 막/층이 기판 표면 상에 증착된 경우, 새로 증착된 막/층의 노출된 표면이 기판 표면이 된다.
[0016] 본 개시내용의 하나 이상의 실시예들은 저온 실리콘 갭 충전 프로세스들을 제공한다. 일부 트렌치 구조들 주위에 실리콘 막을 먼저 증착한 다음 에칭함으로써, 트렌치들의 측벽들 또는 최상부에 비해 트렌치들의 최하부에 상당히 두꺼운 양의 비정질 실리콘(a-Si) 막들이 생성되었다. 일부 실시예들은 무-시임 실리콘 갭 충전(seamfree silicon gapfill)을 형성하기 위해 증착 및 에칭을 순환시키는 방법들을 제공한다.
[0017] 본 개시내용의 실시예들은 작은 치수들을 갖는 고 AR(aspect ratio) 구조들에 막(예컨대, 비정질 실리콘)을 증착하는 방법들을 제공한다. 일부 실시예들은 유리하게, 클러스터 도구 환경에서 수행될 수 있는 순환 증착-에칭-처리 프로세스들을 수반하는 방법들을 제공한다. 일부 실시예들은 유리하게, 작은 치수들을 갖는 고 AR 트렌치들을 충전하기 위해, 무-시임 도핑된 또는 합금된 고 품질 비정질 실리콘 막들을 제공한다.
[0018] 도 1은 피처(110)를 갖는 기판(100)의 부분 단면도를 도시한다. 도면들이 예시적인 목적들을 위해 단일 피처를 갖는 기판들을 도시하지만, 당업자는 하나 초과의 피처가 존재할 수 있다는 것을 이해할 것이다. 피처(110)의 형상은 트렌치들 및 원통형 비아들을 포함하는(그러나 이에 제한되지는 않음) 임의의 적합한 형상일 수 있다. 이와 관련하여 사용되는 바와 같이, "피처"라는 용어는 임의의 의도적 표면 불규칙을 의미한다. 피처들의 적합한 예들은, 최상부, 2개의 측벽들 및 최하부를 갖는 트렌치들, 최상부 및 2개의 측벽들을 갖는 피크(peak)들을 포함한다(그러나 이에 제한되지는 않음). 피처들은 임의의 적합한 종횡비(피처의 깊이 대 피처의 폭의 비)를 가질 수 있다. 일부 실시예들에서, 종횡비는 약 5:1, 10:1, 15:1, 20:1, 25:1, 30:1, 35:1, 또는 40:1 이상이다.
[0019] 기판(100)은 기판 표면(120)을 갖는다. 적어도 하나의 피처(110)는 기판 표면(120)에 개구를 형성한다. 피처(110)는 기판 표면(120)으로부터 깊이(D)로 최하부 표면(112)까지 연장된다. 피처(110)는 제1 측벽(114) 및 제2 측벽(116)을 갖고, 제1 측벽(114) 및 제2 측벽(116)은 피처(110)의 폭(W)을 정의한다. 측벽들과 최하부에 의해 형성된 개방 영역은 또한, 갭으로서 지칭된다.
[0020] 갭 충전 프로세스들 동안, 충전 재료에 시임이 형성되는 것이 일반적이다. 시임의 사이즈 및 폭은 갭 충전 컴포넌트의 전체 동작성(operability)에 영향을 미칠 수 있다. 시임의 사이즈 및 폭은 또한, 프로세스 조건들 및 증착되는 재료에 의해 영향을 받을 수 있다. 따라서, 하나 이상의 실시예들은 유리하게는, 무-시임(또는 무-공극) 갭 충전을 위한 방법을 제공한다. 방법의 일부 실시예들은 유리하게는, 갭 충전을 위한 순환 증착-처리-에칭 프로세스를 개시한다. 일부 실시예들에서, 갭 충전은 무-시임이다.
[0021] 도 2 및 도 3a 내지 도 3d는 본 개시내용의 하나 이상의 실시예들에 따른 예시적인 갭 충전 방법(200)을 도시한다. 도 2에 예시된 실시예에서, 방법(200)은 적어도 하나의 피처(110)를 갖는 기판(100) 상에서 수행된다. 일부 실시예들에서, 피처(110)는 5:1, 10:1, 15:1, 20:1, 25:1, 30:1, 35:1, 또는 40:1 이상의 종횡비를 갖는다. 일부 실시예들에서, 방법(200)은 막을 증착하는 단계(220) 및 막을 에칭하는 단계(240)를 포함한다. 일부 실시예들에서, 막 증착(220) 및/또는 막 에칭(240)은 클러스터 도구 환경 내 하나 이상의 프로세싱 챔버에서 수행된다. 일부 실시예들에서, 막 증착(220) 및/또는 막 에칭(240)은 복수의 HFRF(high-frequency radio-frequency) 펄스들을 포함한다. 하나 이상의 실시예들에서, 플라즈마는 펄스형 HFRF 플라즈마를 포함한다. 일부 실시예들에서, 펄스형 HFRF 플라즈마는 복수의 HFRF 펄스들을 포함한다. 일부 실시예들에서, 펄스형 HFRF 플라즈마는 비-등각성 막을 증착한다.
[0022] 일부 실시예들은 유리하게는, 피처들의 최하부보다 피처들의 측벽들 상에서 더 빠르게 재료들(예컨대, Si)을 에칭하기 위해 플라즈마를 사용하는 방법들을 제공한다. 일부 실시예들은 유리하게, 증착-에칭 프로세스를 순환시킴으로써, 상향식(bottom up) 성장을 생성하기 위해, 상이한 표면들 및 상이한 위치들 상에서 상이한 에칭 레이트들을 사용한다.
[0023] 도 3a에 예시된 실시예에서, 기판(100)은 그 위에 형성된 피처(110) 및 2개의 상이한 표면들: 제1 표면(350) 및 제2 표면(360)을 갖는다. 제1 표면(350) 및 제2 표면(360)은 상이한 재료들일 수 있다. 예컨대, 표면들 중 하나는 금속일 수 있고, 다른 하나는 유전체일 수 있다. 일부 실시예들에서, 제1 표면(350) 및 제2 표면(360)은 동일한 화학적 조성을 갖지만, 상이한 물리적 속성들(예컨대, 결정화도)을 갖는다. 이하의 방법들을 설명함에 있어서, 기판(100)에 대한 언급은 제1 표면(350) 및 제2 표면(360) 또는 피처들(110)이 형성된 단일 표면을 의미한다.
[0024] 도 3a에 예시된 실시예에서, 피처(110)는 제1 표면(350) 및 제2 표면(360)에 의해 형성된다. 예시된 피처(110)는, 제1 표면(350)이 피처의 최하부를 형성하고 제2 표면(360)이 측벽들 및 최상부를 형성하는 트렌치이다.
[0025] 일부 실시예들의 방법(200)은 선택적 기판 전처리(210)를 포함한다. 일부 실시예들에서, 기판들은 증착을 위해 기판 표면을 전처리하거나 준비하기 위해 하나 이상의 프로세스 조건에 노출된다. 예컨대, 일부 실시예들에서 전처리는 기판 표면을 치밀화하거나 표면 종결부들을 변경한다. 일부 실시예들에서, 선택적 전처리(210)는 폴리싱, 에칭, 환원, 산화, 수산화, 어닐링, UV 경화, e-빔 경화, 플라즈마 처리 및/또는 기판 표면의 베이킹 중 하나 이상을 포함한다. 일부 실시예들에서, 플라즈마 처리는 NH3 플라즈마 처리를 포함한다.
[0026] 증착 프로세스(220)에서, 막(370)이 기판(100) 상에 증착된다. 하나 이상의 실시예들에서, 막(370)을 증착하는 것은 PECVD(plasma-enhanced chemical vapor deposition) 프로세스 또는 PEALD(plasma-enhanced atomic layer deposition) 프로세스를 포함한다. 일부 실시예들에서, 증착 프로세스(220)는 PECVD 프로세스를 포함한다. 일부 실시예들에서, 증착 프로세스(220)는 PEALD 프로세스를 포함한다. 일부 실시예들에서, PECVD는 제1 펄스형 HFRF(high-frequency radio-frequency) 플라즈마를 포함한다. 일부 실시예들에서, 제1 펄스형 HFRF 플라즈마는 복수의 제1 HFRF 펄스들을 포함한다. "제1", "제2" 등과 같은 서수들의 사용은 상이한 프로세스들 또는 컴포넌트들을 식별하는 데 사용되며 특정 동작 또는 사용 순서를 암시하는 것으로 의도되지 않는다.
[0027] 본원에서 사용되는 바와 같이, 고주파 라디오 주파수 플라즈마는 전력의 고주파 온/오프 펄스들을 포함한다. 온 시에, 전력이 라디오 주파수로 전달된다. 펄스 주파수 및 라디오 주파수는 독립적으로 제어될 수 있는 플라즈마를 생성하는 데 사용되는 전력의 상이한 양상들을 지칭한다.
[0028] 막(370)은 제2 표면(360)에 비해 제1 표면(350) 상에 선택적으로 증착될 수 있는 임의의 적합한 막일 수 있다. 일부 실시예들에서, 막(370)은 실리콘을 포함한다. 일부 실시예들에서, 막(370)은 실리콘을 필수적 요소로 하여 구성된다(consist essentially of). 이러한 방식으로 사용되는 바와 같이, "필수적 요소로 하여 구성되는"이라는 용어는 막이, 원자 기초로, 약 90%, 93%, 95%, 98% 또는 99% 이상의 실리콘(또는 명시된 종)으로 이루어진 것을 의미한다. 일부 실시예들에서, 막(370)은 비정질 실리콘을 포함한다. 일부 실시예들에서, 막(370)은 실질적으로 비정질 실리콘만을 포함한다. 이러한 방식으로 사용되는 바와 같이, "실질적으로 비정질 실리콘만"이라는 용어는 막(370)이 약 90%, 93%, 95%, 98% 또는 99% 이상의 비정질 실리콘으로 이루어진 것을 의미한다.
[0029] 도 3a는 기판 표면(최상부(374)), 피처(110)의 측벽들(376) 및 최하부(372) 상에 형성된 막(370)을 예시한다. 기판 상에 증착된 막(370)은 피처의 측벽에서의 막 두께(Ts), 피처의 최상부에서의(즉, 기판의 표면 상의) 막 두께(Tt), 및 피처(110)의 최하부에서의 막 두께(Tb)를 가질 것이다.
[0030] 일부 실시예들에서, 막(370)은 적어도 하나의 피처 상에 비-등각성으로 형성된다. 본원에서 사용되는 바와 같이, "비-등각성" 또는 "비-등각성으로"라는 용어는, 막의 평균 두께에 대하여 10% 초과인 두께 변동으로, 노출된 표면들에 접착되어 그 노출된 표면들을 비-균일하게 커버하는 층을 지칭한다. 예컨대, 100Å의 평균 두께를 갖는 막은 10Å 초과의 두께 변동들을 가질 것이다. 이러한 두께 변동은 리세스(recess)들의 에지들, 코너들, 측면들, 및 최하부를 포함한다. 일부 실시예들에서, 변동은 10%, 15%, 20%, 25%, 30%, 35%, 40%, 45%, 50%, 55%, 60%, 65%, 70%, 75%, 80%, 85% 또는 90% 이상이다. 일부 실시예들에서, 트렌치의 측벽들 상에 증착된 막은 트렌치가 형성되는 표면 또는 트렌치의 최하부 상에 증착된 막의 두께보다 얇다. 일부 실시예들에서, 측벽들 상의 증착된 막의 평균 두께는 트렌치의 최하부 및/또는 최상부 상의 평균 두께의 90%, 80%, 70%, 60%, 50%, 40%, 30% 또는 20% 이하이다.
[0031] 일부 실시예들에서, 막(370)은 증착을 정지하기 전에 1 nm 내지 100 nm, 1 nm 내지 80 nm, 1 nm 내지 50 nm, 10 nm 내지 100 nm, 10 nm 내지 80 nm, 10 nm 내지 50 nm, 20 nm 내지 100 nm, 20 nm 내지 80 nm 또는 20 nm 내지 50 nm 범위의 평균 두께로 증착된다. 일부 실시예들에서, 막(370)은 5 nm 내지 100 nm, 5 nm 내지 80 nm, 5 nm 내지 40 nm, 5 nm 내지 30 nm 또는 10 nm 내지 30 nm 범위의 평균 두께로 증착된다.
[0032] 막(370)을 증착하기 위해 사용되는 프로세스 파라미터들은 피처의 측벽, 피처의 최상부 및/또는 피처의 최하부에서의 막 두께에 영향을 미칠 수 있다. 예컨대, 특정 전구체들 및/또는 반응성 종들, 플라즈마 조건들, 온도 등이 있다. 일부 실시예들에서, 피처의 상부에서의 두께(Tt)는 피처의 측벽에서의 두께(Ts)보다 크다. 일부 실시예들에서, 피처의 최하부에서의 두께(Tb)는 피처의 측벽에서의 두께(Ts)보다 크다. 일부 실시예들에서, 피처의 최상부에서의 두께(Tt)는 피처의 최하부에서의 두께(Tb)보다 크다. 일부 실시예들에서, 피처의 최하부에서의 두께(Tb)는 피처의 최상부에서의 두께(Tt)보다 크다.
[0033] 막 증착(220) 프로세스 동안, 기판은 막(370)을 형성하는 하나 이상의 프로세스 가스들 및/또는 조건들에 노출된다. 일부 실시예들에서, 프로세스 가스는 프로세스 챔버의 프로세싱 구역 내로 유동되고 막(370)을 증착하기 위해 펄스형 HFRF 플라즈마가 프로세스 가스로부터 형성된다. 일부 실시예들의 프로세스 가스는 실리콘 전구체 및 캐리어 가스를 포함하고, 캐리어 가스는 HFRF 전력에 의해 플라즈마로 점화된다.
[0034] 하나 이상의 실시예들에서, 제1 펄스형 HFRF 플라즈마는 CCP(conductively-coupled plasma) 또는 ICP(inductively coupled plasma)이다. 일부 실시예들에서, 제1 펄스형 HFRF 플라즈마는 직접 플라즈마 또는 원격 플라즈마이다. 일부 실시예들에서, 복수의 제1 HFRF 펄스들 각각은 0 W 내지 500 W, 50 W 내지 500 W, 50 W 내지 400 W, 50 W 내지 300 W, 50 W 내지 200 W, 50 W 내지 100 W, 100 W 내지 500 W, 100 W 내지 400 W, 100 W 내지 300 W, 100 W 내지 200 W, 200 W 내지 500 W, 200 W 내지 400 W 또는 200 W 내지 300 W 범위의 제1 전력에서 독립적으로 생성된다. 일부 실시예들에서, 최소 제1 플라즈마 전력은 0 W보다 크다. 일부 실시예들에서, 제1 펄스들 모두는 동일한 전력을 갖는다. 일부 실시예들에서, 제1 HFRF 플라즈마의 개별 펄스 전력은 변동된다.
[0035] 하나 이상의 실시예들에서, 복수의 제1 HFRF 플라즈마 펄스들은 1% 내지 50%, 1% 내지 45%, 1% 내지 40%, 1% 내지 35%, 1% 내지 30%, 1% 내지 25%, 1% 내지 20%, 1% 내지 15%, 1% 내지 10%, 5% 내지 50%, 5% 내지 45%, 5% 내지 40%, 5% 내지 35%, 5% 내지 30%, 5% 내지 25%, 5% 내지 20%, 5% 내지 15%, 5% 내지 10%, 10% 내지 50%, 10% 내지 45%, 10% 내지 40%, 10% 내지 35%, 10% 내지 30%, 10% 내지 25%, 10% 내지 20% 또는 10% 내지 15% 범위의 제1 듀티 사이클을 갖는다. 일부 실시예들에서, 증착 프로세스 동안 플라즈마 펄스들 각각은 동일한 듀티 사이클을 갖는다. 일부 실시예들에서, 듀티 사이클은 증착 프로세스 동안 변경된다.
[0036] 하나 이상의 실시예들에서, 복수의 제1 HFRF 플라즈마 펄스 각각은 독립적으로, 5 msec 내지 50 μsec, 4 msec 내지 50 μsec, 3 msec 내지 50 μsec, 2 msec 내지 50 μsec, 1 msec 내지 50 μsec, 800 μsec 내지 50 μsec, 500 μsec 내지 50 μsec, 200 μsec 내지 50 μsec, 5 msec 내지 100 μsec, 4 msec 내지 100 μsec, 3 msec 내지 100 μsec, 2 msec 내지 100 μsec, 1 msec 내지 100 μsec, 800 μsec 내지 100 μsec, 500 μsec 내지 100 μsec, 200 μsec 내지 100 μsec 범위의 펄스 폭을 갖는다. 일부 실시예들에서, 펄스 폭들 각각은 증착 프로세스 동안 동일하다. 일부 실시예들에서, 펄스 폭들은 증착 프로세스 동안 변동된다.
[0037] 하나 이상의 실시예들에서, 복수의 제1 HFRF 플라즈마 펄스들 각각은 독립적으로, 0.1 kHz 내지 20 kHz, 0.1 kHz 내지 15 kHz, 0.1 kHz 내지 10 kHz, 0.1 kHz 내지 5 kHz, 0.5 kHz 내지 20 kHz, 0.5 kHz 내지 15 kHz, 0.5 kHz 내지 10 kHz, 0.5 kHz 내지 5 kHz, 1 kHz 내지 20 kHz, 1 kHz 내지 15 kHz, 1 kHz 내지 10 kHz, 1 kHz 내지 5 kHz, 2 kHz 내지 20 kHz, 2 kHz 내지 15 kHz, 2 kHz 내지 10 kHz 또는 2 kHz 내지 5 kHz 범위의 제1 펄스 주파수를 갖는다. 일부 실시예들에서, 펄스 주파수는 증착 프로세스 동안 동일하게 유지된다. 일부 실시예들에서, 펄스 주파수는 증착 프로세스 동안 변동된다.
[0038] 하나 이상의 실시예들에서, 복수의 제1 HFRF 펄스들은 5 MHz 내지 20 MHz, 5 MHz 내지 15 MHz, 5 MHz 내지 10 MHz, 10 MHz 내지 20 MHz 또는 10 MHz 내지 15 MHz 범위의 제1 라디오 주파수를 갖는다. 하나 이상의 실시예들에서, 복수의 제1 HFRF 펄스들은 13.56 MHz의 제1 라디오 주파수를 갖는다. 일부 실시예들에서, 펄스들의 라디오 주파수는 증착 프로세스 동안 동일하다. 일부 실시예들에서, 펄스들의 라디오 주파수들은 증착 프로세스 동안 변동된다. 하나 이상의 실시예들에서, 복수의 제1 HFRF 펄스들 각각은 독립적으로 5 MHz 내지 20 MHz, 5 MHz 내지 15 MHz, 5 MHz 내지 10 MHz, 10 MHz 내지 20 MHz 또는 10 MHz 내지 15 MHz 범위의 제1 라디오 주파수를 갖는다. 하나 이상의 실시예들에서, 복수의 제1 HFRF 펄스들 각각은 독립적으로 13.56 MHz의 제1 라디오 주파수를 갖는다.
[0039] 하나 이상의 실시예들에서, 복수의 제1 HFRF 펄스들 각각은 1% 내지 50%, 1% 내지 45%, 1% 내지 40%, 1% 내지 35%, 1% 내지 30%, 1% 내지 25%, 1% 내지 20%, 1% 내지 15%, 1% 내지 10%, 5% 내지 50%, 5% 내지 45%, 5% 내지 40%, 5% 내지 35%, 5% 내지 30%, 5% 내지 25%, 5% 내지 20%, 5% 내지 15%, 5% 내지 10%, 10% 내지 50%, 10% 내지 45%, 10% 내지 40%, 10% 내지 35%, 10% 내지 30%, 10% 내지 25%, 10% 내지 20% 또는 10% 내지 15% 범위의 제1 듀티 사이클을 갖는다. 일부 실시예들에서, 펄스들의 듀티 사이클은 증착 프로세스 동안 동일하다. 일부 실시예들에서, 펄스들의 듀티 사이클은 증착 프로세스 동안 변동된다.
[0040] 증착 프로세스(220)는 임의의 적합한 기판 온도에서 발생할 수 있다. 일부 실시예들에서, 증착 프로세스(220) 동안, 기판은 15℃ 내지 250℃, 15℃ 내지 225℃, 15℃ 내지 200℃, 15℃ 내지 175℃, 15℃ 내지 150℃, 15℃ 내지 125℃, 15℃ 내지 100℃, 25℃ 내지 250℃, 25℃ 내지 225℃, 25℃ 내지 200℃, 25℃ 내지 175℃, 25℃ 내지 150℃, 25℃ 내지 125℃, 25℃ 내지 100℃, 50℃ 내지 250℃, 50℃ 내지 225℃, 50℃ 내지 200℃, 50℃ 내지 175℃, 50℃ 내지 150℃, 50℃ 내지 125℃, 50℃ 내지 100℃, 75℃ 내지 250℃, 75℃ 내지 225℃, 75℃ 내지 200℃, 75℃ 내지 175℃, 75℃ 내지 150℃, 75℃ 내지 125℃ 또는 75℃ 내지 100℃ 범위의 온도로 유지된다.
[0041] 하나 이상의 실시예들에서, 막 증착 프로세스(220)는 제1 캐리어 가스, 전구체 또는 제1 반응물 중 하나 이상을 기판 표면 상으로 유동시키는 것을 포함한다. 일부 실시예들에서, 캐리어 가스는 아르곤(Ar), 헬륨(He), H2 또는 N2를 포함한다(그러나 이에 제한되지 않음). 일부 실시예들에서, 캐리어 가스는 헬륨(He)을 포함하거나 이를 필수적 요소로 하여 구성된다. 일부 실시예들에서, 캐리어 가스는 아르곤(Ar)을 포함한다. 하나 이상의 실시예들에서, 전구체는 실란, 디실란, 디클로로실란(DCS), 트리실란 또는 테트라실란을 포함한다(그러나 이에 제한되지 않음). 일부 실시예들에서, 전구체 가스는 실란(SiH4)을 포함한다. 일부 실시예들에서, 전구체 가스는 디실란(Si2H6)을 포함하거나 이를 필수적 요소로 하여 구성된다. 일부 실시예들에서, 전구체 가스는 뜨거운 캔에서 가열되어 증기압을 증가시키고 캐리어 가스를 사용하여 챔버로 전달된다. 일부 실시예들에서, 제1 반응물 가스는 H2를 포함한다.
[0042] 하나 이상의 실시예들에서, 제1 캐리어 가스, 전구체 가스 또는 제1 반응물 가스 각각은 40 sccm 내지 10000 sccm, 40 sccm 내지 5000 sccm, 40 sccm 내지 2000 sccm, 40 sccm 내지 1000 sccm, 40 sccm 내지 500 sccm, 40 sccm 내지 100 sccm, 100 sccm 내지 10000 sccm, 100 sccm 내지 5000 sccm, 100 sccm 내지 2000 sccm, 100 sccm 내지 1000 sccm, 100 sccm 내지 500 sccm, 250 sccm 내지 10000 sccm, 250 sccm 내지 5000 sccm, 250 sccm 내지 2000 sccm, 250 sccm 내지 1000 sccm, 250 sccm 내지 500 sccm, 500 sccm 내지 10000 sccm, 500 sccm 내지 5000 sccm, 500 sccm 내지 2000 sccm 또는 500 sccm 내지 1000 sccm 범위의 도즈로 기판 표면 상으로 독립적으로 유동된다.
[0043] 일부 실시예들에서, 도 3a에 도시된 바와 같이, 증착 프로세스(220) 동안 증착된 막(370)은 연속적인 막이다. 본원에서 사용되는 바와 같이, "연속적인"이라는 용어는, 증착된 층의 아래에 놓인 재료를 드러내는 갭들 또는 베어 스폿들 없이, 전체 노출된 표면을 커버하는 층을 지칭한다. 연속적인 막은 막의 총 표면적의 약 1 % 미만의 표면적을 갖는 갭들 또는 베어 스폿들을 가질 수 있다.
[0044] 증착 프로세스(220) 후에, 방법(200)은 판단 지점(230)에 도달한다. 판단 지점(230)에서, 피처의 충전 조건이 평가된다. 피처(110) 또는 갭이 완전히 충전된 경우, 방법(200)은 중단될 수 있고, 기판은 선택적인 사후-프로세싱(260)을 거칠 수 있다. 피처 또는 갭이 채워지지 않은 경우, 방법(200)은 에칭 처리(240)로 이동한다.
[0045] 하나 이상의 실시예들에서, 증착 프로세스(220) 후, 그러나 에칭 처리(240) 전에, 기판(100)은 퍼징 처리 및/또는 진공 처리를 거친다. 일부 실시예들에서, 아르곤과 같은 퍼지 가스는 반응 존을 퍼지하거나 그렇지 않으면 증착 프로세스(220)와 에칭 처리(240) 사이에 반응 존으로부터 임의의 잔류 반응성 화합물 또는 부산물들을 제거하기 위해 프로세싱 챔버 내로 도입된다. 일부 실시예들에서, 퍼지 가스는 방법(200) 전체에 걸쳐 프로세싱 챔버 내로 연속적으로 유동된다. 일부 실시예들에서, 증착 프로세스(220)와 에칭 처리(240) 사이에 반응 존으로부터 임의의 잔류 반응성 화합물 또는 부산물들을 제거하기 위해 프로세싱 챔버 내로 음압이 인가된다. 일부 실시예들에서, 음압은 방법(200) 전체에 걸쳐 프로세싱 챔버 내로 연속적으로 인가된다. 일부 실시예들에서, 퍼징 처리 및/또는 진공 처리는 사후-프로세싱 처리(260) 이전에 적용된다.
[0046] 하나 이상의 실시예들에서, 에칭 처리(240)는 비-등각성 막을 에칭한다. 일부 실시예들에서, 에칭 처리(240)는 피처(110)의 최하부로부터의 두께(Tb)보다 피처들(110)의 측벽 상의 막(370)의 더 큰 두께(Ts)를 에칭한다. 하나 이상의 실시예들에서, 에칭 처리는 피처(110)의 최상부로부터의 두께(Tt)보다 피처들(110)의 측벽 상의 막(370)의 더 큰 두께(Ts)를 에칭한다.
[0047] 어떠한 특정 동작 이론에 얽매이지 않고, 지향성 플라즈마 처리는 측벽 막(376)에 대해 최상부 막(374) 및 최하부 막(372)을 우선적으로 수정하는 것으로 여겨진다. 수정된 막은 보다 에칭 저항성이 있는 것으로 보인다. 이는 나중에 더 높은 측벽 에칭 레이트로 이어진다. 도 3b는 본 개시내용의 하나 이상의 실시예들에 따라 최상부 막(384) 및 최하부 막(382)의 수정을 야기하는 막 에칭을 받은 피처(110)를 예시한다.
[0048] 도 3c는 본 개시내용의 하나 이상의 실시예들에 따른 에칭된 막을 예시한다. 막(370)의 에칭은 피처(110)로부터 실질적으로 모든 측벽 막(376)을 제거하고 최상부 막(384) 및 최하부 막(382)의 일부를 남긴다. 일부 실시예들에서, 실질적으로 모든 측벽 막(376)을 제거한다는 것은 측벽들의 표면적의 적어도 약 95%, 98% 또는 99%가 에칭되었음을 의미한다. 일부 실시예들에서, 실질적으로 모든 측벽 막(376)을 제거하는 것은 후속 증착 프로세스(220)를 위한 핵형성 지연(nucleation delay)을 포함한다.
[0049] 하나 이상의 실시예들에서, 에칭 처리(240)는 기판 표면을 제2 캐리어 가스 또는 제2 반응물 가스 중 하나 이상에 노출시키는 것을 포함한다. 일부 실시예들에서, 제2 캐리어 가스는 아르곤(Ar), 헬륨(He) 또는 질소(N2) 중 하나 이상을 포함한다. 일부 실시예들에서, 제2 반응물 가스는 Cl2, H2, NF3, 또는 HCl 중 하나 이상을 포함한다. 일부 실시예에서, 제2 반응물 가스는 H2를 포함하거나 H2를 필수적 요소로 하여 구성된다. 일부 실시예들에서, 제2 캐리어 가스 또는 제2 반응물 가스 각각은 40 sccm 내지 10000 sccm, 40 sccm 내지 5000 sccm, 40 sccm 내지 2000 sccm, 40 sccm 내지 1000 sccm, 40 sccm 내지 500 sccm, 40 sccm 내지 100 sccm, 100 sccm 내지 10000 sccm, 100 sccm 내지 5000 sccm, 100 sccm 내지 2000 sccm, 100 sccm 내지 1000 sccm, 100 sccm 내지 500 sccm, 250 sccm 내지 10000 sccm, 250 sccm 내지 5000 sccm, 250 sccm 내지 2000 sccm, 250 sccm 내지 1000 sccm, 250 sccm 내지 500 sccm, 500 sccm 내지 10000 sccm, 500 sccm 내지 5000 sccm, 500 sccm 내지 2000 sccm 또는 500 sccm 내지 1000 sccm 범위의 유량으로 기판 표면 상으로 독립적으로 유동된다.
[0050] 하나 이상의 실시예들에서, 에칭 처리(240)는 15℃ 내지 250℃, 15℃ 내지 225℃, 15℃ 내지 200℃, 15℃ 내지 175℃, 15℃ 내지 150℃, 15℃ 내지 125℃, 15℃ 내지 100℃, 25℃ 내지 250℃, 25℃ 내지 225℃, 25℃ 내지 200℃, 25℃ 내지 175℃, 25℃ 내지 150℃, 25℃ 내지 125℃, 25℃ 내지 100℃, 50℃ 내지 250℃, 50℃ 내지 225℃, 50℃ 내지 200℃, 50℃ 내지 175℃, 50℃ 내지 150℃, 50℃ 내지 125℃, 50℃ 내지 100℃, 75℃ 내지 250℃, 75℃ 내지 225℃, 75℃ 내지 200℃, 75℃ 내지 175℃, 75℃ 내지 150℃, 75℃ 내지 125℃ 또는 75℃ 내지 100℃ 범위의 온도로 기판(100)을 유지하는 것을 포함한다. 일부 실시예들에서, 기판은 증착 프로세스(220) 및 에칭 처리(240) 동안 동일한 온도로 유지된다. 일부 실시예들에서, 기판은 증착 프로세스(220) 및 에칭 처리(240) 동안 상이한(ΔT >10℃) 온도로 유지된다.
[0051] 하나 이상의 실시예들에서, 에칭 처리(240)는 0.1 Torr 내지 12 Torr, 0.5 Torr 내지 12 Torr, 1 Torr 내지 12 Torr, 2 Torr 내지 12 Torr, 3 Torr 내지 12 Torr, 4 Torr 내지 12 Torr, 0.1 Torr 내지 10 Torr, 0.5 Torr 내지 10 Torr, 1 Torr 내지 10 Torr, 2 Torr 내지 10 Torr, 3 Torr 내지 10 Torr, 4 Torr 내지 10 Torr, 0.1 Torr 내지 8 Torr, 0.5 Torr 내지 8 Torr, 1 Torr 내지 8 Torr, 2 Torr 내지 8 Torr, 3 Torr 내지 8 Torr, 4 Torr 내지 8 Torr, 0.1 Torr 내지 5 Torr, 0.5 Torr 내지 5 Torr, 1 Torr 내지 5 Torr, 2 Torr 내지 5 Torr, 3 Torr 내지 5 Torr 또는 4 Torr에서 5 Torr 범위의 압력에서 기판(100)을 유지하는 것을 포함한다.
[0052] 일부 실시예들에서, 에칭 처리(240)는 에칭 플라즈마를 포함한다. 일부 실시예들에서, 에칭 플라즈마는 CCP(conductively-coupled plasma) 또는 ICP(inductively coupled plasma)이다. 일부 실시예들에서, 에칭 플라즈마는 직접 플라즈마 또는 원격 플라즈마이다. 일부 실시예들에서, 에칭 플라즈마는 0 W 내지 500 W, 50 W 내지 500 W, 50 W 내지 400 W, 50 W 내지 300 W, 50 W 내지 200 W, 50 W 내지 100 W, 100 W 내지 500 W, 100 W 내지 400 W, 100 W 내지 300 W, 100 W 내지 200 W, 200 W 내지 500 W, 200 W 내지 400 W 또는 200 W 내지 300 W 범위의 전력에서 동작된다. 일부 실시예들에서, 플라즈마에 대한 최소 전력은 0 W를 초과한다.
[0053] 일부 실시예들에서, 에칭 프로세스는 연속적인 전력 레벨에서 발생한다. 일부 실시예들에서, 에칭 프로세스는 제2 HFRF 플라즈마 펄스들로 발생한다. 일부 실시예들에서, 복수의 제2 HFRF 플라즈마 펄스들 각각은 0 W 내지 500 W, 50 W 내지 500 W, 50 W 내지 400 W, 50 W 내지 300 W, 50 W 내지 200 W, 50 W 내지 100 W, 100 W 내지 500 W, 100 W 내지 400 W, 100 W 내지 300 W, 100 W 내지 200 W, 200 W 내지 500 W, 200 W 내지 400 W 또는 200 W 내지 300 W 범위의 제2 전력에서 독립적으로 생성된다. 일부 실시예들에서, 최소 제2 플라즈마 전력은 0 W보다 크다. 일부 실시예에서, 펄스들의 전력은 에칭 처리 동안 동일하다. 일부 실시예들에서, 펄스의 전력은 에칭 처리 동안 변동된다.
[0054] 하나 이상의 실시예들에서, 복수의 제2 HFRF 플라즈마 펄스들은 1% 내지 50%, 1% 내지 45%, 1% 내지 40%, 1% 내지 35%, 1% 내지 30%, 1% 내지 25%, 1% 내지 20%, 1% 내지 15%, 1% 내지 10%, 5% 내지 50%, 5% 내지 45%, 5% 내지 40%, 5% 내지 35%, 5% 내지 30%, 5% 내지 25%, 5% 내지 20%, 5% 내지 15%, 5% 내지 10%, 10% 내지 50%, 10% 내지 45%, 10% 내지 40%, 10% 내지 35%, 10% 내지 30%, 10% 내지 25%, 10% 내지 20% 또는 10% 내지 15% 범위의 듀티 사이클을 갖는다. 일부 실시예들에서, 펄스들의 듀티 사이클들은 에칭 처리 동안 동일하다. 일부 실시예들에서, 펄스들의 듀티 사이클은 에칭 처리 동안 변동된다.
[0055] 하나 이상의 실시예들에서, 복수의 제2 HFRF 플라즈마 펄스 각각은 5 msec 내지 50 μsec, 4 msec 내지 50 μsec, 3 msec 내지 50 μsec, 2 msec 내지 50 μsec, 1 msec 내지 50 μsec, 800 μsec 내지 50 μsec, 500 μsec 내지 50 μsec, 200 μsec 내지 50 μsec, 5 msec 내지 100 μsec, 4 msec 내지 100 μsec, 3 msec 내지 100 μsec, 2 msec 내지 100 μsec, 1 msec 내지 100 μsec, 800 μsec 내지 100 μsec, 500 μsec 내지 100 μsec, 200 μsec 내지 100 μsec 범위의 펄스 폭을 갖는다. 일부 실시예에서, 펄스들의 펄스 폭은 에칭 처리 동안 동일하다. 일부 실시예들에서, 펄스들의 펄스 폭은 에칭 처리 동안 변동된다.
[0056] 하나 이상의 실시예들에서, 복수의 제2 HFRF 플라즈마 펄스들 각각은 독립적으로, 0.1 kHz 내지 20 kHz, 0.1 kHz 내지 15 kHz, 0.1 kHz 내지 10 kHz, 0.1 kHz 내지 5 kHz, 0.5 kHz 내지 20 kHz, 0.5 kHz 내지 15 kHz, 0.5 kHz 내지 10 kHz, 0.5 kHz 내지 5 kHz, 1 kHz 내지 20 kHz, 1 kHz 내지 15 kHz, 1 kHz 내지 10 kHz, 1 kHz 내지 5 kHz, 2 kHz 내지 20 kHz, 2 kHz 내지 15 kHz, 2 kHz 내지 10 kHz 또는 2 kHz 내지 5 kHz 범위의 펄스 주파수를 갖는다. 일부 실시예들에서, 펄스들의 주파수들은 에칭 처리 동안 동일하다. 일부 실시예들에서, 펄스들의 주파수는 에칭 처리 동안 변동된다.
[0057] 하나 이상의 실시예들에서, 복수의 제2 HFRF 펄스들은 5 MHz 내지 20 MHz, 5 MHz 내지 15 MHz, 5 MHz 내지 10 MHz, 10 MHz 내지 20 MHz 또는 10 MHz 내지 15 MHz 범위의 제2 라디오 주파수를 갖는다. 하나 이상의 실시예들에서, 복수의 제2 HFRF 펄스들은 13.56 MHz의 제2 라디오 주파수를 갖는다. 일부 실시예들에서, 펄스들의 라디오 주파수들은 에칭 처리 동안 동일하다. 일부 실시예들에서, 펄스들의 라디오 주파수는 에칭 처리 동안 변동된다. 하나 이상의 실시예들에서, 복수의 제2 HFRF 펄스들 각각은 독립적으로 5 MHz 내지 20 MHz, 5 MHz 내지 15 MHz, 5 MHz 내지 10 MHz, 10 MHz 내지 20 MHz 또는 10 MHz 내지 15 MHz 범위의 제2 라디오 주파수를 갖는다. 하나 이상의 실시예들에서, 복수의 제2 HFRF 펄스들 각각은 독립적으로 13.56 MHz의 제2 라디오 주파수를 갖는다.
[0058] 하나 이상의 실시예들에서, 방법(200)은 갭 충전을 위해 증착 프로세스(220) 및 막 에칭(240)을 반복하는 단계를 더 포함한다. 일부 실시예들에서, 반복 증착 프로세스(220) 및 반복 막 에칭(240) 각각은 HFRF 플라즈마를 포함한다. 일부 실시예들에서, 갭 충전은 무-시임이다. 도 3d는 증착-에칭-처리 프로세스를 통한 다수의 사이클들 후에 충전된 피처(110)를 예시한다.
[0059] 하나 이상의 실시예들에서, 하나 이상의 부가적인 효과들은 추가로, 피처의 최하부 상의 비-등각성 막보다 피처들의 측벽들 상의 비-등각성 막의 에칭 레이트를 차별화한다. 일부 실시예들에서, 하나 이상의 부가적인 효과들은 기판 표면 상에 증착될 재료들(예컨대, Si)의 핵형성 레이트, 기판 표면 상에 증착될 재료들의 핵형성 레이트에 영향을 미치는 기판 표면의 속성들, 또는 기판 표면 상에 증착될 재료들(예컨대, Si)의 에칭 레이트를 포함한다.
[0060] 일부 실시예들은 선택적인 사후-프로세싱(260) 프로세스를 포함한다. 사후-프로세스(260)는 막의 일부 파라미터를 개선하도록 막(370)을 수정하는데 사용될 수 있다. 일부 실시예들에서, 사후-프로세스(260)는 막(370)을 어닐링하는 것을 포함한다. 일부 실시예들에서, 사후-프로세스(260)는 증착(220) 및/또는 에칭(240)을 위해 사용된 프로세스 챔버와 동일한 프로세스 챔버에서 인-시튜 어닐링에 의해 수행될 수 있다. 적합한 어닐링 프로세스들은 RTP(rapid thermal processing) 또는 RTA(rapid thermal anneal), 스파이크 어닐링, 또는 UV 경화, 또는 e-빔 경화, 및/또는 레이저 어닐링을 포함한다(그러나 이에 제한되지는 않음). 어닐링 온도는 약 500℃ 내지 900℃ 범위일 수 있다. 어닐링 동안의 환경의 조성은, H2, Ar, He, N2, NH3, SiH4 등 중 하나 이상을 포함할 수 있다. 어닐링 동안의 압력은 약 100 mTorr 내지 약 1 atm 범위일 수 있다.
[0061] 하나 이상의 실시예들에 따르면, 기판(100)은 층을 형성하기 전에 그리고/또는 층을 형성한 후에 프로세싱된다. 이러한 프로세싱은 동일한 챔버에서, 또는 하나 이상의 별개의 프로세싱 챔버들에서 수행될 수 있다. 일부 실시예들에서, 기판(100)은 추가 프로세싱을 위해 제1 챔버로부터 별개의 제2 챔버로 이동된다. 기판(100)은 제1 챔버로부터 별개의 프로세싱 챔버로 직접적으로 이동될 수 있거나, 또는 기판(100)은 제1 챔버로부터 하나 이상의 이송 챔버들로 이동된 후에 별개의 프로세싱 챔버로 이동될 수 있다. 따라서, 프로세싱 장치는 이송 스테이션과 연통하는 다수의 챔버들을 포함할 수 있다. 이러한 부류의 장치는 "클러스터 도구" 또는 "클러스터링된 시스템" 등으로서 지칭될 수 있다.
[0062] 일반적으로, 클러스터 도구는, 기판 중심-발견 및 배향, 디개싱(degassing), 어닐링, 증착(220), 및/또는 에칭(240)을 포함하는 다양한 기능들을 수행하는 다수의 챔버들을 포함하는 모듈식 시스템이다. 하나 이상의 실시예들에 따르면, 클러스터 도구는 적어도 제1 챔버 및 중앙 이송 챔버를 포함한다. 중앙 이송 챔버는, 프로세싱 챔버들과 로드록 챔버들 사이에 그리고 이들 간에 기판들을 셔틀링(shuttle)할 수 있는 로봇을 하우징할 수 있다. 이송 챔버는 전형적으로, 진공 조건에서 유지되고, 하나의 챔버로부터 다른 챔버로, 그리고/또는 클러스터 도구의 전단부에 포지셔닝된 로드록 챔버로 기판들을 셔틀링하기 위한 중간 스테이지를 제공한다. 본 개시내용에 대해 적응될 수 있는 2개의 잘-알려진 클러스터 도구들은 Centura® 및 Endura®이며, 이들 둘 모두는 캘리포니아, 산타클라라의 Applied Materials, Inc.로부터 입수 가능하다. 본원에서 설명된 실시예들은 또한 다른 적합한 시스템들을 사용하여 수행될 수 있다. 다른 적합한 시스템은 Producer®, Producer® XP Precision 또는 이들의 등가물들을 포함한다(그러나 이에 제한되지 않음). 그러나, 챔버들의 정확한 어레인지먼트 및 조합은 본원에서 설명되는 바와 같은 프로세스의 특정 단계들을 수행하는 목적들을 위해 변경될 수 있다. 사용될 수 있는 다른 프로세싱 챔버들은, CLD(cyclical layer deposition), ALD(atomic layer deposition), CVD(chemical vapor deposition), PVD(physical vapor deposition), 에칭, 사전-세정, 화학 세정, 열 처리, 이를테면 RTP, 플라즈마 질화, 디개싱, 배향, 수산화, 및 다른 기판 프로세스들을 포함한다(그러나 이에 제한되지는 않음). 클러스터 도구 상의 챔버에서 프로세스들을 수행함으로써, 후속 막을 증착하기 전의 산화 없이도, 대기 불순물들에 의한 기판의 표면 오염이 방지될 수 있다.
[0063] 하나 이상의 실시예들에 따르면, 기판(100)은 계속 진공 또는 "로드록(load lock)" 조건들 하에 있고, 하나의 챔버로부터 다음 챔버로 이동되는 경우 주변 공기에 노출되지 않는다. 그에 따라, 이송 챔버들은 진공 하에 있고, 진공 압력 하에서 "펌프 다운(pump down)"된다. 불활성 가스들이 프로세싱 챔버들 또는 이송 챔버들에 존재할 수 있다. 일부 실시예들에서, 불활성 가스는 반응물들 중 일부 또는 전부를 제거하기 위해 퍼지 가스로서 사용된다. 하나 이상의 실시예들에 따르면, 퍼지 가스는, 반응물들이 증착 챔버로부터 이송 챔버로 그리고/또는 부가적인 프로세싱 챔버로 이동하는 것을 방지하기 위해, 증착 챔버의 출구에서 주입된다. 따라서, 불활성 가스의 유동은 챔버의 출구에서 커튼을 형성한다.
[0064] 기판은, 단일 기판이 로딩되고, 프로세싱되고, 다른 기판이 프로세싱되기 전에 언로딩되는 단일 기판 증착 챔버들에서 프로세싱될 수 있다. 기판은 또한, 컨베이어 시스템과 유사하게 연속적인 방식으로 프로세싱될 수 있고, 여기서, 다수의 기판이 챔버의 제1 부분 내로 개별적으로 로딩되고, 챔버를 통해 이동하고, 챔버의 제2 부분으로부터 언로딩된다. 챔버 및 연관된 컨베이어 시스템의 형상은 직진 경로 또는 만곡된 경로를 형성할 수 있다. 부가적으로, 프로세싱 챔버는, 다수의 기판들이 중심 축을 중심으로 이동되고, 캐러셀 경로의 전체에 걸쳐 증착, 에칭, 어닐링, 세정 등의 프로세스들에 노출되는 캐러셀일 수 있다.
[0065] 프로세싱 동안, 기판(100)은 가열 또는 냉각될 수 있다. 그러한 가열 또는 냉각은, 기판 지지부의 온도를 변경하는 것 그리고 가열된 또는 냉각된 가스들을 기판 표면으로 유동시키는 것을 포함하는(그러나 이에 제한되지는 않는) 임의의 적합한 수단에 의해 달성될 수 있다. 일부 실시예들에서, 기판 지지부는, 기판 온도를 전도에 의해 변경하도록 제어될 수 있는 히터/쿨러를 포함한다. 하나 이상의 실시예들에서, 이용되고 있는 가스들(반응성 가스들 또는 불활성 가스들)은 기판 온도를 로컬로 변경하도록 가열 또는 냉각된다. 일부 실시예들에서, 기판 온도를 대류에 의해 변경하기 위해, 챔버 내에서 기판 표면에 인접하게 히터/쿨러가 포지셔닝된다.
[0066] 기판은 또한, 프로세싱 동안 정적이거나 또는 회전될 수 있다. 회전하는 기판은 연속적으로 또는 불연속적인 스텝들로 회전될 수 있다. 예컨대, 기판이 전체 프로세스의 전체에 걸쳐 회전될 수 있거나, 또는 상이한 반응성 또는 퍼지 가스들에 대한 노출들 사이에서 기판이 소량 회전될 수 있다. (연속적으로 또는 스텝들로) 프로세싱 동안 기판을 회전시키는 것은, 예컨대, 가스 유동 기하학적 구조들의 로컬 변동성의 영향을 최소화함으로써, 더 균일한 증착 또는 에칭을 발생시키는 것을 도울 수 있다.
[0067] 본 명세서 전반에 걸쳐 "일 실시예", "특정 실시예들", "하나 이상의 실시예들" 또는 "실시예"에 대한 언급은, 실시예와 관련하여 설명된 특정 특징, 구조, 재료 또는 특성이 본 개시내용의 적어도 하나의 실시예에 포함된다는 것을 의미한다. 따라서, 본 명세서 전반에 걸쳐 다양한 위치들에서 "하나 이상의 실시예들에서", "특정 실시예들에서", "일 실시예에서" 또는 "실시예에서"와 같은 문구들의 출현들이 반드시 본 개시내용의 동일한 실시예를 지칭하는 것은 아니다. 더욱이, 특정 특징들, 구조들, 재료들 또는 특성들은 하나 이상의 실시예들에서 임의의 적절한 방식으로 조합될 수 있다.
[0068] 본원의 개시내용이 특정 실시예들을 참조하여 설명되었지만, 이들 실시예들은 단지 본 개시내용의 원리들 및 애플리케이션들을 예시한다는 것이 이해되어야 한다. 본 개시내용의 사상 및 범위를 벗어나지 않으면서 본 개시내용의 방법 및 장치에 대해 다양한 수정들 및 변형들이 행해질 수 있다는 것이 당업자들에게 자명할 것이다. 따라서, 본 개시내용은 첨부된 청구항들 및 이들의 등가물들의 범위 내에 있는 수정들 및 변형들을 포함하는 것으로 의도된다.

Claims (20)

  1. 갭 충전의 방법으로서,
    비-등각성 막(non-conformal film)을 증착하기 위해 복수의 HFRF(high-frequency radio-frequency) 펄스들을 갖는 펄스형 HFRF 플라즈마를 포함하는 증착 프로세스에 기판 표면을 갖는 기판을 노출시키는 단계 ― 상기 기판 표면은 상기 기판 표면에 형성된 복수의 피처(feature)들을 갖고, 상기 복수의 피처들 각각은 상기 기판 표면으로부터 상기 기판 내로 일정 거리만큼 연장되고 최하부 및 적어도 하나의 측벽을 갖고, 상기 비-등각성 막은 상기 적어도 하나의 측벽보다 상기 피처들의 최하부 상에서 더 큰 두께를 가짐 ―; 및
    상기 피처들의 최하부로부터의 두께보다 상기 피처들의 측벽들 상에서 상기 비-등각성 막의 더 큰 두께를 에칭하기 위한 에칭 처리에 상기 비-등각성 막을 노출시키는 단계를 포함하는,
    갭 충전의 방법.
  2. 제1 항에 있어서,
    상기 복수의 HFRF 펄스들 각각은 독립적으로 1 kHz 내지 10 kHz 범위의 펄스 주파수를 갖는,
    갭 충전의 방법.
  3. 제1 항에 있어서,
    상기 복수의 HFRF 펄스들 각각은 100 W 내지 300 W 범위의 전력에서 독립적으로 생성되는,
    갭 충전의 방법.
  4. 제1 항에 있어서,
    상기 복수의 HFRF 펄스들 각각은 5 MHz 내지 15 MHz 범위의 라디오 주파수를 갖는,
    갭 충전의 방법.
  5. 제1 항에 있어서,
    상기 복수의 HFRF 펄스들은 1% 내지 20% 범위의 듀티 사이클을 갖는,
    갭 충전의 방법.
  6. 제1 항에 있어서,
    각각의 HFRF 펄스는 1 msec 내지 100 μsec 범위의 펄스 폭을 갖는,
    갭 충전의 방법.
  7. 제1 항에 있어서,
    상기 증착 프로세스는 PECVD(plasma enhanced chemical vapor deposition) 프로세스를 포함하고, 상기 PECVD는 제1 캐리어 가스, 전구체 또는 제1 반응물 중 하나 이상을 40 sccm 내지 10000 sccm 범위의 도즈로 독립적으로 상기 기판 표면 상으로 유동시키는 것을 포함하는,
    갭 충전의 방법.
  8. 제7 항에 있어서,
    상기 제1 캐리어 가스는 헬륨(He) 또는 아르곤(Ar)을 포함하거나, 상기 전구체 가스는 실란(SiH4) 또는 디실란(Si2H6)을 포함하거나, 또는 상기 제1 반응물 가스는 H2를 포함하는,
    갭 충전의 방법.
  9. 제1 항에 있어서,
    상기 에칭 처리는 상기 기판 표면을 제2 캐리어 가스 또는 제2 반응물 가스 중 하나 이상에 노출시키는 것을 포함하는,
    갭 충전의 방법.
  10. 제9 항에 있어서,
    상기 제2 캐리어 가스 또는 상기 제2 반응물 가스 각각은 250 sccm 내지 10000 sccm 범위의 유량으로 독립적으로 상기 기판 상으로 유동되는,
    갭 충전의 방법.
  11. 제9 항에 있어서,
    상기 제2 캐리어 가스는 아르곤(Ar), 헬륨(He) 또는 질소(N2) 중 하나 이상을 포함하고 그리고/또는 상기 제2 반응물 가스는 H2를 포함하는,
    갭 충전의 방법.
  12. 제1 항에 있어서,
    상기 피처를 충전하기 위해 상기 증착 프로세스 및 상기 에칭 처리를 반복하는 단계를 더 포함하는,
    갭 충전의 방법.
  13. 제11 항에 있어서,
    상기 피처는 비정질 실리콘(a-Si)으로 충전되는,
    갭 충전의 방법.
  14. 제1 항에 있어서,
    상기 비-등각성 막은 일정 두께를 갖고, 상기 두께는 상기 비-등각성 막의 평균 두께에 대해 25% 내지 75% 범위의 변동을 갖는,
    갭 충전의 방법.
  15. 제1 항에 있어서,
    상기 기판은 25℃ 내지 175℃ 범위의 온도로 유지되는,
    갭 충전의 방법.
  16. 제1 항에 있어서,
    2 Torr 내지 5 Torr 범위의 압력에서 수행되는,
    갭 충전의 방법.
  17. 갭 충전을 위해 HFRF를 사용하는 방법으로서,
    기판 표면을 갖는 기판을, 막을 증착하기 위해 2 Torr 압력에서 복수의 제1 HFRF 펄스들을 갖는 화학 기상 증착에 노출시키는 단계 ― 상기 기판 표면은 상기 기판 표면에 형성된 복수의 피처들을 갖고, 각각의 피처는 상기 기판 표면으로부터 상기 기판 내로 일정 거리만큼 연장되고 최하부 및 적어도 하나의 측벽을 가짐 ―; 및
    2 Torr 내지 5 Torr 범위의 압력에서 에칭 플라즈마로 상기 기판을 처리함으로써 상기 막을 에칭하는 단계를 포함하는,
    갭 충전을 위해 HFRF를 사용하는 방법.
  18. 제17 항에 있어서,
    상기 복수의 제1 HFRF 펄스들은 5 MHz 내지 15 MHz 범위의 제1 라디오 주파수에서 1 kHz 내지 10 kHz 범위의 제1 펄스 주파수 및 300 W의 제1 전력에서 1% 내지 20% 범위의 제1 듀티 사이클을 갖고, 제1 HFRF 펄스 각각은 1 msec 내지 100 μsec 범위의 제1 펄스 폭을 갖는,
    갭 충전을 위해 HFRF를 사용하는 방법.
  19. 제18 항에 있어서,
    상기 에칭 플라즈마는, 5 MHz 내지 15 MHz 범위의 제2 라디오 주파수에서 1 kHz 내지 10 kHz 범위의 펄스 주파수 및 100 W 내지 300 W 범위의 제2 전력에서 1% 내지 20% 범위의 제2 듀티 사이클을 갖는 복수의 제2 HFRF 펄스들을 포함하고, 제2 HFRF 펄스 각각은 1 msec 내지 100 μsec 범위의 제2 펄스 폭을 갖는,
    갭 충전을 위해 HFRF를 사용하는 방법.
  20. 저온 갭 충전의 방법으로서,
    기판 표면을 갖는 기판을 제공하는 단계 ― 상기 기판 표면은 상기 기판 표면에 형성된 복수의 피처들을 갖고, 각각의 피처는 상기 기판 표면으로부터 일정 거리만큼 연장되고, 최하부 및 적어도 하나의 측벽을 가짐 ―;
    2 Torr 압력에서 복수의 제1 HFRF 펄스들을 갖는 PECVD(plasma enhanced chemical vapor deposition)에 의해 적어도 하나의 피처에 막을 증착하는 단계 ― 상기 PECVD(plasma enhanced chemical vapor deposition)는 40 sccm 내지 100 sccm 범위의 도즈의 전구체 가스(SiH4), 500 sccm 내지 5000 sccm 범위의 도즈의 제1 캐리어 가스(He) 및 200 sccm 내지 500 sccm 범위의 도즈의 제1 반응물 가스(H2)를 상기 기판 표면 상으로 유동시키는 것을 포함함 ―; 및
    2 Torr 내지 5 Torr 범위의 압력에서 에칭 플라즈마로 상기 기판을 처리하여 상기 막을 에칭하는 단계를 포함하고,
    상기 에칭하는 단계는 250 sccm 내지 500 sccm 범위의 도즈의 제2 반응물 가스(H2) 및 250 sccm 내지 500 sccm 범위의 도즈의 제2 캐리어 가스(Ar)를 상기 기판 표면 상으로 유동시키는 단계를 포함하고,
    상기 복수의 제1 HFRF 펄스들은 13.56 MHz의 제1 라디오 주파수에서 1 kHz 내지 10 kHz 범위의 제1 펄스 주파수 및 300 W의 제1 전력에서 1% 내지 20% 범위의 제1 듀티 사이클을 갖고, 제1 HFRF 펄스 각각은 1 msec 내지 100 μsec 범위의 제1 펄스 폭을 갖는,
    저온 갭 충전의 방법.
KR1020237028186A 2021-01-25 2022-01-25 펄스형 hfrf(high-frequency radio-frequency) 플라즈마를사용한 갭 충전 프로세스 KR20230134554A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US17/157,307 2021-01-25
US17/157,307 US20220238331A1 (en) 2021-01-25 2021-01-25 Gapfill process using pulsed high-frequency radio-frequency (hfrf) plasma
PCT/US2022/013683 WO2022159883A1 (en) 2021-01-25 2022-01-25 Gapfill process using pulsed high-frequency radio-frequency (hfrf) plasma

Publications (1)

Publication Number Publication Date
KR20230134554A true KR20230134554A (ko) 2023-09-21

Family

ID=82496012

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020237028186A KR20230134554A (ko) 2021-01-25 2022-01-25 펄스형 hfrf(high-frequency radio-frequency) 플라즈마를사용한 갭 충전 프로세스

Country Status (6)

Country Link
US (1) US20220238331A1 (ko)
JP (1) JP2024504165A (ko)
KR (1) KR20230134554A (ko)
CN (1) CN116982139A (ko)
TW (1) TW202244979A (ko)
WO (1) WO2022159883A1 (ko)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10755922B2 (en) * 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7745346B2 (en) * 2008-10-17 2010-06-29 Novellus Systems, Inc. Method for improving process control and film conformality of PECVD film
SG195494A1 (en) * 2012-05-18 2013-12-30 Novellus Systems Inc Carbon deposition-etch-ash gap fill process
US9117668B2 (en) * 2012-05-23 2015-08-25 Novellus Systems, Inc. PECVD deposition of smooth silicon films
SG2013083241A (en) * 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
US9960033B1 (en) * 2016-12-16 2018-05-01 Asm Ip Holding B.V. Method of depositing and etching Si-containing film

Also Published As

Publication number Publication date
TW202244979A (zh) 2022-11-16
WO2022159883A1 (en) 2022-07-28
US20220238331A1 (en) 2022-07-28
CN116982139A (zh) 2023-10-31
JP2024504165A (ja) 2024-01-30

Similar Documents

Publication Publication Date Title
US11236418B2 (en) Bottom-up growth of silicon oxide and silicon nitride using sequential deposition-etch-treat processing
US11488856B2 (en) Methods for gapfill in high aspect ratio structures
KR102271729B1 (ko) 고 종횡비 구조들에서의 갭충전을 위한 방법들
US10096514B2 (en) Seamless trench fill using deposition/etch techniques
CN110476222B (zh) 用于硅间隙填充的两步工艺
WO2016178845A1 (en) Methods for selective deposition of metal silicides via atomic layer deposition (ald) cycles
KR20230134554A (ko) 펄스형 hfrf(high-frequency radio-frequency) 플라즈마를사용한 갭 충전 프로세스
TWI723282B (zh) 藉由矽化法之含金屬薄膜體積膨脹
KR102650586B1 (ko) 유동성 pecvd를 위한 낮은 증착 레이트들
US20230340661A1 (en) Gapfill Process Using Pulsed High-Frequency Radio-Frequency (HFRF) Plasma
KR20240008945A (ko) 유동성 cvd 막 결함 감소
KR20220038099A (ko) 유동성 cvd 막에 대한 표면 거칠기